# header information: HpurpleFive|8.09a|USER_electrical_units()I70464 # Views: Vdocumentation|doc Vicon|ic Vschematic|sch # External Libraries: LorangeTSMC090nm|orangeTSMC090nm LredFive|redFive # Tools: Ouser|DefaultTechnology()Sartwork|SchematicTechnology()Scmos90 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT # Technologies: Tcmos|ScaleFORcmos()D1000.0 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(ST)LayerForPassivationINcmos90"()S169|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPassivationINcmos90"()S169 Tmocmos|ScaleFORmocmos()D100.0|SelectedFoundryFormocmos()STSMC Trcmos|ScaleFORrcmos()D1000.0 # Cell LEsettings;1{ic} CLEsettings;1{ic}||artwork|1023920563000|1204140525662|E|ATTR_LESETTINGS(D6G1;HNPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;HNPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;HNPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;HNPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;HNPY-2.5;)F0.1|ATTR_max_iter(D6G1;HNPX-4;Y0.5;)I40|ATTR_su(D6G1;HNPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;HNPX-4;Y2.5;)S0.22|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||1.5|-0.5|9|9|||ART_color()I78|trace()V[-4.5/-3.5,-4.5/4.5,4.5/4.5,4.5/-4.5,-4.5/-4.5] Ngeneric:Invisible-Pin|pin@0||1.5|4.5|||||ART_message(D5G1;)S[LEsettings] X # Cell LEsettings;1{sch} CLEsettings;1{sch}||schematic|1023920036000|1213471981644||ATTR_LESETTINGS(D6G1;HNPX-21;Y23;)I1|ATTR_alpha(D5G1;HNPX-18.5;Y25;)D0.7|ATTR_epsilon(D6G1;HNPX-21;Y28;)S0.01|ATTR_gate_cap(D6G1;HNPX-21;Y26;)F0.4|ATTR_keeper_ratio(D5G1;HNPX-17;Y24;)F0.1|ATTR_max_iter(D6G1;HNPX-21;Y27;)I40|ATTR_su(D6G1;HNPX-21;Y30;)S4.5|ATTR_wire_ratio(D6G1;HNPX-21;Y29;)S0.22|ATTR_SPICE_template(D5G1;NTX-7;Y9;)S**LEsettings: None needed for LEsettings|prototype_center()I[12000,48000] ILEsettings;1{ic}|LEsettin@2||-4|2|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)F0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)F0.0010|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.19|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I30|ATTR_su(D6G1;NPX-4;Y3.5;)S3.7|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)F0.16 Ngeneric:Facet-Center|art@0||0|0||||AV Ngeneric:Invisible-Pin|pin@0||-9.5|12|||||ART_message(D6G1;)S[this is the diffusion effort of PMOS gates (Cdiffp/Cgate)] Ngeneric:Invisible-Pin|pin@1||-9.5|13|||||ART_message(D6G1;)S[this is the diffusion effort of NMOS gates (Cdiffn/Cgate)] Ngeneric:Invisible-Pin|pin@2||-9.5|14|||||ART_message(D6G1;)S[gate cap. is used to convert cap loads to gate loads (fF/lambda)] Ngeneric:Invisible-Pin|pin@3||-9.5|15|||||ART_message(D6G1;)S[this sets the maximum number of iterations] Ngeneric:Invisible-Pin|pin@4||-9.5|16|||||ART_message(D6G1;)S[epsilon is the convergence criterion] Ngeneric:Invisible-Pin|pin@5||-9.5|17|||||ART_message(D6G1;)S[wire ratio is the default wire ratio: Cwire/Cgate] Ngeneric:Invisible-Pin|pin@6||-9.5|18|||||ART_message(D6G1;)S[su is the global step-up (fan-out)] Ngeneric:Invisible-Pin|pin@7||-24|21|||||ART_message(D6G2;)S[This Facet is used to set Logical Effort Settings] X # Cell aChangeRecord;1{doc} CaChangeRecord;1{doc}||mocmos|1021415734000|1228430895838||FACET_message()S[This is a change record for the purpleOne library.,"",9 March 02,Ivan added alternate icons for four types of NAND gates.,Ivan fixed output port location on one of the NAND gates.,Ivan set the scale of the pass gate be normalized to minimum transistor.,Ivan checked that all internal expressions are in Java.,Making a new icon copies the form of the icon in the schematic but, DOES NOT MAKE ITS PARAMETER BE Java type.,Ivan updated the dates on the libraries to 9 March 2002,"",14 Apr 2002,"JKG: Minor fixes on text, etc","",14 May 2002,"JKG: Added nmos, nmos4, pmos, pmos4 primitives to allow Monte Carlo simulations","JKG: Added pmos0, invKV, made invK allow longer than minimum lengths","",4 Dec 2008,IES: changed name to purpleFive and added extra icons to permit more,understandable circuit diagrams. See purpleFive:aGallery{sch}.,"","","",""] X # Cell aGallery;1{sch} CaGallery;1{sch}||schematic|1044916063000|1239967814977||prototype_center()I[0,0] ILEsettings;1{ic}|LEsettin@0||-100|6|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I40|ATTR_su(D6G1;NPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)S0.22 IorangeTSMC090nm:NMOS4x_io25;1{ic}|NMOS4_io@0||-66|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4x_io33;1{ic}|NMOS4_io@2||-75|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||-39|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_low;1{ic}|NMOS4f@2||-30|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_high;1{ic}|NMOS4f@13||-48|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io18;1{ic}|NMOS4f_h@0||-57|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io25;1{ic}|NMOS4f_i@0||-66|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S5.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io33;1{ic}|NMOS4f_i@1||-75|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S7.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_native;1{ic}|NMOS4f_n@1||-21|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)S10 IorangeTSMC090nm:NMOS4fwk;1{ic}|NMOS4fwk@0||0|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_low;1{ic}|NMOS4fwk@2||9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_high;1{ic}|NMOS4fwk@7||-9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_native;1{ic}|NMOS4fwk@8||18|32|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4x;1{ic}|NMOS4x@0||-21|56|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-39|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_native;1{ic}|NMOS@1||-21|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_low;1{ic}|NMOS@2||-30|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_high;1{ic}|NMOS@3||-48|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4x_io18;1{ic}|NMOS_hig@0||-57|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_native_od25;1{ic}|NMOS_nat@0||-96|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_native_od18;1{ic}|NMOS_nat@2||-87|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_native_od33;1{ic}|NMOS_nat@3||-105|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSf;1{ic}|NMOSf@0||-39|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_high;1{ic}|NMOSf@1||-48|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io25;1{ic}|NMOSf_25@0||-66|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io33;1{ic}|NMOSf_33@0||-75|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io18;1{ic}|NMOSf_io@0||-57|39|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOSf_low;1{ic}|NMOSf_na@1||-30|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_native;1{ic}|NMOSf_na@2||-21|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od25;1{ic}|NMOSf_na@3||-96|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od18;1{ic}|NMOSf_na@5||-87|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od33;1{ic}|NMOSf_na@6||-105|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSfwk;1{ic}|NMOSfwk@0||0|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_low;1{ic}|NMOSfwk@1||9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_high;1{ic}|NMOSfwk@2||-9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_native;1{ic}|NMOSfwk_@1||18|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_low;1{ic}|NMOSwk@1||9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_high;1{ic}|NMOSwk@2||-9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_native;1{ic}|NMOSwk_l@0||18|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x_io25;1{ic}|PMOS4_io@0||-66|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOS4x_io33;1{ic}|PMOS4_io@2||-75|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||-39|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4f_low;1{ic}|PMOS4f@2||-30|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4f_high;1{ic}|PMOS4f@3||-48|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io18;1{ic}|PMOS4f_i@0||-57|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io25;1{ic}|PMOS4f_i@1||-66|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io33;1{ic}|PMOS4f_i@3||-75|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io18;1{ic}|PMOS4f_i@5||-57|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io25;1{ic}|PMOS4f_i@6||-66|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io33;1{ic}|PMOS4f_i@7||-75|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4fwk;1{ic}|PMOS4fwk@0||0|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4fwk_high;1{ic}|PMOS4fwk@1||-9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4fwk_low;1{ic}|PMOS4fwk@2||9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-39|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSx_low;1{ic}|PMOS@1||-30|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSx_high;1{ic}|PMOS@2||-48|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x_io18;1{ic}|PMOS_hig@0||-57|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOSf;1{ic}|PMOSf@0||-39|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSf_high;1{ic}|PMOSf@2||-48|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSf_low;1{ic}|PMOSf_lo@1||-29.75|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSfwk;1{ic}|PMOSfwk@0||0|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSfwk_high;1{ic}|PMOSfwk@1||-9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSfwk_low;1{ic}|PMOSfwk@2||9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSxwk_high;1{ic}|PMOSwk@1||-9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSxwk_low;1{ic}|PMOSwk@2||9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x;1{ic}|PMOSx@0||-24|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:R440;1{ic}|R110@0||146|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8 Ngeneric:Facet-Center|art@0||0|0||||AV IorangeTSMC090nm:gateResistor;1{ic}|gateResi@0||119|49.5|||D0G4;|ATTR_W(D5G1;NPY-1.5;)I3 IredFive:hifidely;1{ic}|hifidely@0||94|17|||D5G4; IredFive:inv2i;1{ic}|inv2i@0||-24|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2i;1{ic}|inv2i@1||-24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iHT;1{ic}|inv2iHT@0||8|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2iHT;1{ic}|inv2iHT@1||8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iK;1{ic}|inv2iK@0||97|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKn;1{ic}|inv2iK@1||113|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKnD;1{ic}|inv2iKn@1||128|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKp;1{ic}|inv2iKnD@1||106|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKpD;1{ic}|inv2iKp@1||120.5|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iLT;1{ic}|inv2iLT@0||-8|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2iLT;2{ic}|inv2iLT@1||-8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iV;1{ic}|inv2iV@0||151|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2o;1{ic}|inv2o@0||146.5|-18|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1 IredFive:inv;1{ic}|inv@0||-104|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv;1{ic}|inv@1||-104|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invCLK;1{ic}|invCLK@0||-40|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvCLK;1{ic}|invCLK@1||-40|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invCTLn;1{ic}|invCTLn@0||24|-27|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX3.5;Y-3;)I175 IinvCTLn;1{ic}|invCTLn@1||24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_LEPARALLGRP(D5G1;P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3.5;)I175|ATTR_su(D5G1;P)I-1 IinvCTLp;1{ic}|invCTLn@2||40|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_sloDelay(D5G1;NX1.75;Y-3.5;)I175 IredFive:invCTLp;1{ic}|invCTLn@3||40|-27|Y||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175 IredFive:invHT;1{ic}|invHT@0||-72|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvHT;1{ic}|invHT@1||-72|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invHTI;2{ic}|invHTI@0||-72|-36|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invI;2{ic}|invI@0||-104|-36|||D5G4;|ATTR_Delay(D5G1;NPX1.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invK;1{ic}|invK@0||-56|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su()I-1 IinvK;1{ic}|invK@1||-56|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:invKV;1{ic}|invKV@0||123|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.25;)I1|ATTR_XP(D5G1.5;NPX1.5;Y1.75;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1 IredFive:invLT;1{ic}|invLT@0||-88|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvLT;1{ic}|invLT@1||-88|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invLTI;2{ic}|invLTI@0||-88|-36|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invV;1{ic}|invV@0||137|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.75;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.75;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invVn;1{ic}|invVn@0||95|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invVp;1{ic}|invVp@0||109|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv_passgate;1{ic}|inv_pass@0||145|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mullerC;1{ic}|mullerC@0||74|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 ImullerC;1{ic}|mullerC@1||74|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mullerC_sy;1{ic}|mullerC_@0||57|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 ImullerC_sy;1{ic}|mullerC_@1||57|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mux21_tri;1{ic}|mux21_tr@0||129|-101|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5G1.5;NPX4;Y2;)I1 Imux21_tri;1{ic}|mux21_tr@1||129|-89|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1;OJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Imux1;1{ic}|mux21_tr@2||162|-18|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;P)I-1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1;OJX4;Y2;)SLE.getdrive()|ATTR_drive0()Sstrong0|ATTR_drive1()Sstrong1 IredFive:nand2;1{ic}|nand2@0||-72|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2;1{ic}|nand2@1||-72|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||56|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HLT_sy;1{ic}|nand2HLT@1||56|-48|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HT;1{ic}|nand2HT@0||24|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1 Inand2HT;1{ic}|nand2HT@1||24|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HTen;1{ic}|nand2HTe@0||40|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HTen;1{ic}|nand2HTe@1||40|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LT;1{ic}|nand2LT@0||-24|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT;1{ic}|nand2LT@1||-24|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||-8|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT_sy;1{ic}|nand2LT_@1||-8|-48|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LTen;1{ic}|nand2LTe@0||8|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LTen;1{ic}|nand2LTe@1||8|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2PH;1{ic}|nand2PH@0||162|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2PHfk;1{ic}|nand2PHf@0||162|-41|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2Schmitt;1{ic}|nand2PHf@1||162|-50|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_su(D5G1;P)I-1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1.5;NOJX2.5;Y2.5;)SLE.getdrive() IredFive:nand2_sy;1{ic}|nand2_sy@0||-57|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2_sy;1{ic}|nand2_sy@1||-57|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2en;1{ic}|nand2en@0||-40|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2en;1{ic}|nand2en@1||-40|-48|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2k;1{ic}|nand2k@1||106|-48|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:nand2n;1{ic}|nand2n@0||-72|-75|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2n;1{ic}|nand2n@1||-72|-57|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2n_sy;1{ic}|nand2n_s@0||-57|-75|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2n_sy;1{ic}|nand2n_s@1||-57|-57|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3;1{ic}|nand3@0||-104|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3;1{ic}|nand3@1||-104|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT;1{ic}|nand3LT@0||-4|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LT;1{ic}|nand3LT@1||-4|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||54|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LT_sy3;1{ic}|nand3LT_@1||54|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand3LT_sy6;1{ic}|nand3LT_@2||74|-89|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y3.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT_sy6;1{ic}|nand3LT_@3||74|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nand3LTen;1{ic}|nand3LTe@0||14|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nand3LTen_sy;1{ic}|nand3LTe@1||34|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LTen;1{ic}|nand3LTe@2||14|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand3LTen_sy;1{ic}|nand3LTe@3||34|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3MLT;1{ic}|nand3MLT@0||-24|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3MLT;1{ic}|nand3MLT@1||-24|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3en;1{ic}|nand3en@0||-64|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3en;1{ic}|nand3en@1||-64|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3en_sy;1{ic}|nand3en_@0||-44|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3en_sy;1{ic}|nand3en_@1||-44|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3_sy6;1{ic}|nand3en_@2||-84|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3_sy6;1{ic}|nand3en_@3||-84|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inms1;1{ic}|nms1@0||-48|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:nms1;2{ic}|nms1@1||-56|55|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1 Inms1K;1{ic}|nms1K@0||0|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1 IredFive:nms2b;1{ic}|nms2@0||-35|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S1 Inms2;1{ic}|nms2@1||41|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1 Inms2K;1{ic}|nms2K@0||11|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)S"" IredFive:nms2_sy;1{ic}|nms2_sy@0||43|42|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S1|ATTR_su()I-1 Inms2_sy;3{ic}|nms2_sy@1||55|75|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:nms2a;2{ic}|nms2a@0||-44.5|75|||D5G4;|ATTR_Delay(D5G1;NPX3.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-1.5;)I1 IredFive:nms3;1{ic}|nms3@0||18|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NPX-2;Y0.5;)I1 Inms3;1{ic}|nms3@1||72|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:nms3_2sy;1{ic}|nms3_2sy@0||97|37|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)I1 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||76|37|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-8.5;Y1;)I1 Inms3_sy3;1{ic}|nms3_sy3@1||87|75|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)S"" IredFive:nms3a;1{ic}|nms3a@0||-18|75|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-0.5;)I100|ATTR_X(D5G1;NOLPX4.5;Y0.5;)S1 IredFive:nms3b;1{ic}|nms3b@0||-6|75|||D5G4;|ATTR_Delay(D5G1;NPX-3.25;Y0.5;)I100|ATTR_X(D5G1;NOLPX-3.25;Y1.5;)S1 IredFive:nms3c;1{ic}|nms3c@0||6|75|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-3;)I100|ATTR_X(D5G1;NOLPX-3.5;Y-2;)S1 Inor2;1{ic}|nor2@1||-105|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2;1{ic}|nor2@2||-105|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nor2HT_sya;2{ic}|nor2HT_s@0||153|-82|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.5;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2_sy;2{ic}|nor2_sy@1||-87|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2_sy;1{ic}|nor2_sy@3||-87|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2en;1{ic}|nor2en@0||72|-48|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2en;1{ic}|nor2en@1||72|-66|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2k;1{ic}|nor2k@0||90|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inor2kresetV;1{ic}|nor2kres@0||162|-60.5|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;NPX-92.75;Y-40.75;)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2n;1{ic}|nor2n@0||-105|-75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2n;1{ic}|nor2n@1||-105|-57|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inor2n_sy;2{ic}|nor2n_sy@3||-87|-57|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inor2n_sy;2{ic}|nor2n_sy@4||162|-72|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2n_sy;1{ic}|nor2n_sy@5||-87|-75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:passF;1{ic}|passF@0||121|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1 IredFive:passT;1{ic}|passT@0||109|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1 IredFive:passTF;1{ic}|passTF@0||134|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1 Ngeneric:Invisible-Pin|pin@0||127|10.5|||||ART_message(D5G2;)S[no PURPLE gates for these] Ngeneric:Invisible-Pin|pin@1||41|33|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant] Ngeneric:Invisible-Pin|pin@2||153|-12|||||ART_message(D5G2;)Sno RED gates for these Ngeneric:Invisible-Pin|pin@3||48.5|-3.5|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant] Ngeneric:Invisible-Pin|pin@4||128.5|42|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant] Ngeneric:Invisible-Pin|pin@5||-39|20|||| Ngeneric:Invisible-Pin|pin@7||103.5|56.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant] Ngeneric:Invisible-Pin|pin@8||74.5|22.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant] Ngeneric:Invisible-Pin|pin@9||74|8|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant] Ipms1;1{ic}|pms1@0||-45|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:pms1;2{ic}|pms1@1||-57|22|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1 Ipms1K;1{ic}|pms1K@0||0|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:pms2;1{ic}|pms2@0||29.5|-1.5|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S1 Ipms2;1{ic}|pms2@1||27|23|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1 Ipms2K;1{ic}|pms2K@0||11|23|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)S""|ATTR_M(D5G1;NX2;)I1 IredFive:pms2_sy;1{ic}|pms2_sy@0||40|11.5|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1 Ipms2_sy;1{ic}|pms2_sy@1||40|23|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:pms2a;2{ic}|pms2a@0||22|-2|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1 Ipms3;1{ic}|pms3@0||55|25.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:pms3;1{ic}|pms3@1||55|11.5|||D5G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1 IredFive:pms3a;1{ic}|pms3a@0||59.5|43|||D5G4;|ATTR_Delay(D5G1;NPX-12;Y12;)I100|ATTR_X(D5G1;NPX-12.25;Y13;)I1 IorangeTSMC090nm:R110;1{ic}|polyResi@1||133|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8 IredFive:triInv;1{ic}|triInv@0||115|-101|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX2.5;Y2;)I1 ItriInv;1{ic}|triInv@1||115|-89|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IorangeTSMC090nm:wire90xcpl2;1{ic}|wire90xc@0||161|35|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8 IorangeTSMC090nm:wire90xcpl3;1{ic}|wire90xc@1||161|27|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8 IorangeTSMC090nm:wire90;1{ic}|wire180@0||106|33|||D0G4;|ATTR_L(D5G1;PUD)I100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NPY-1;)I1|ATTR_width(D5G1;NPY-2;)I3 Iwire350;1{ic}|wire350@0||144|33|||D0G4;|ATTR_L(D5G1;P)I16666|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3 IorangeTSMC090nm:wire;1{ic}|wire@0||106|27|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-2.5;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5FLeave alone;G1;NOLPURY-1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width IorangeTSMC090nm:wireC;1{ic}|wireC@0||129|31|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3 IorangeTSMC090nm:wireR;1{ic}|wireR@0||119|33|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3 IorangeTSMC090nm:wire_xcp_gnd;1{ic}|wire_xcp@0||161|48|||D5G4;|ATTR_C(D5G1;NPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;PURY1;)I100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8 IorangeTSMC090nm:wire_xcpl_sides;1{ic}|wire_xcp@1||161|42|||D5G4;|ATTR_C(D5G1;NPUCY-1;)S0.0000223p|ATTR_L(D5G1;PUDY1;)I100|ATTR_LEIGNORE(PUD)I1 IredFive:xor2;1{ic}|xor2@0||100|-101|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Ixor2;1{ic}|xor2@1||100|-89|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 X # Cell branchfactor;1{ic} Cbranchfactor;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_BF(D5G1.5;HNPY3;)I1|ATTR_Delay(D5G1;HNPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;HNPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;HNPX4.75;Y1.5;)I-1|ATTR_M(D5G1;HNPX2;Y-1.25;)I1|ATTR_S(D5G1;HOJPT)SLE.getdrive()|ATTR_su(D5G1;HNPX2;Y-5.25;)I1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0,0.25/0,-0.25/0,-0.25/0.5,0.25/0.5] NThick-Circle|art@2||-0.5|-0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@3||-0.75|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5] NThick-Circle|art@4||-0.5|0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@5||0|0|3|4|||ART_color()I78|trace()V[-1.5/-2,-1.5/2,1.5/2,1.5/-2,-1.5/-2] NPin|pin@0||2.5|0|||| NPin|pin@1||1.5|0|||| Nschematic:Bus_Pin|pin@2||2.5|0|-2|-2|| NPin|pin@3||-2.5|0|||| NPin|pin@4||-1.5|0|1|1|| Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@0||2.5|0|pin@1||1.5|0|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78 Ein||D5G1;|pin@5||I Eout||D5G1;|pin@2||O X # Cell branchfactor;1{sch} Cbranchfactor;1{sch}||schematic|1021415734000|1028570639000||ATTR_BF(D5G1;HNPX-12;Y-8.25;)I1|ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I0|ATTR_LEGATE(D5G1;HNPX-12;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPX-12;Y-6.5;)I-1|ATTR_M(D5G1;HNPX-12;Y-6.5;)I1|ATTR_S(D5G1;HNOJPX-12;Y-4.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-12;Y-9.5;)I1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV Ibranchfactor;1{ic}|branchfa@0||18|8.5|||D0G4;|ATTR_BF(D5G1.5;NPY3;)I1|ATTR_Delay(D5G1;NPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;NPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;NPX4.75;Y1.5;)I-1|ATTR_M(D5G1;NPX2;Y-1.25;)I1|ATTR_S(OJP)S1|ATTR_su(D5G1;NPX2;Y-5.25;)S"" NOff-Page|conn@0||-11|0|||| NOff-Page|conn@1||8|0|||| Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[branchfactor] Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[Implements a branch factor of BF] Awire|net@0|||0|conn@1|a|6|0|conn@0|y|-9|0 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NOJY-2;)S@BF Eout||D5G2;|conn@1|y|O X # Cell gallery;1{sch} Cgallery;1{sch}||schematic|1044916063000|1238803698726||prototype_center()I[0,0] ILEsettings;1{ic}|LEsettin@0||-100|6|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I40|ATTR_su(D6G1;NPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)S0.22 IorangeTSMC090nm:NMOS4x_io25;1{ic}|NMOS4_io@0||-66|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4x_io33;1{ic}|NMOS4_io@2||-75|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||-39|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_low;1{ic}|NMOS4f@2||-30|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_high;1{ic}|NMOS4f@13||-48|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io18;1{ic}|NMOS4f_h@0||-57|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io25;1{ic}|NMOS4f_i@0||-66|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S5.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_io33;1{ic}|NMOS4f_i@1||-75|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S7.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOS4f_native;1{ic}|NMOS4f_n@1||-21|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)S10 IorangeTSMC090nm:NMOS4fwk;1{ic}|NMOS4fwk@0||0|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_low;1{ic}|NMOS4fwk@2||9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_high;1{ic}|NMOS4fwk@7||-9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4fwk_native;1{ic}|NMOS4fwk@8||18|32|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0 IorangeTSMC090nm:NMOS4x;1{ic}|NMOS4x@0||-39|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-39|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_native;1{ic}|NMOS@1||-21|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_low;1{ic}|NMOS@2||-30|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_high;1{ic}|NMOS@3||-48|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOS4x_io18;1{ic}|NMOS_hig@0||-57|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSx_native_od25;1{ic}|NMOS_nat@0||-96|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_native_od18;1{ic}|NMOS_nat@2||-87|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSx_native_od33;1{ic}|NMOS_nat@3||-105|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1 IorangeTSMC090nm:NMOSf;1{ic}|NMOSf@0||-39|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_high;1{ic}|NMOSf@1||-48|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io25;1{ic}|NMOSf_25@0||-66|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io33;1{ic}|NMOSf_33@0||-75|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_io18;1{ic}|NMOSf_io@0||-57|39|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 IorangeTSMC090nm:NMOSf_low;1{ic}|NMOSf_na@1||-30|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:NMOSf_native;1{ic}|NMOSf_na@2||-21|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od25;1{ic}|NMOSf_na@3||-96|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od18;1{ic}|NMOSf_na@5||-87|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSf_native_od33;1{ic}|NMOSf_na@6||-105|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10 IorangeTSMC090nm:NMOSfwk;1{ic}|NMOSfwk@0||0|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_low;1{ic}|NMOSfwk@1||9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_high;1{ic}|NMOSfwk@2||-9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:NMOSfwk_native;1{ic}|NMOSfwk_@1||18|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_low;1{ic}|NMOSwk@1||9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_high;1{ic}|NMOSwk@2||-9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:NMOSxwk_native;1{ic}|NMOSwk_l@0||18|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x_io25;1{ic}|PMOS4_io@0||-66|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOS4x_io33;1{ic}|PMOS4_io@2||-75|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||-39|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4f_low;1{ic}|PMOS4f@2||-30|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4f_high;1{ic}|PMOS4f@3||-48|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io18;1{ic}|PMOS4f_i@0||-57|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io25;1{ic}|PMOS4f_i@1||-66|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4f_io33;1{ic}|PMOS4f_i@3||-75|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io18;1{ic}|PMOS4f_i@5||-57|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io25;1{ic}|PMOS4f_i@6||-66|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOSf_io33;1{ic}|PMOS4f_i@7||-75|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3 IorangeTSMC090nm:PMOS4fwk;1{ic}|PMOS4fwk@0||0|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4fwk_high;1{ic}|PMOS4fwk@1||-9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOS4fwk_low;1{ic}|PMOS4fwk@2||9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-39|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSx_low;1{ic}|PMOS@1||-30|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSx_high;1{ic}|PMOS@2||-48|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x_io18;1{ic}|PMOS_hig@0||-57|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3 IorangeTSMC090nm:PMOSf;1{ic}|PMOSf@0||-39|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSf_high;1{ic}|PMOSf@2||-48|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSf_low;1{ic}|PMOSf_lo@1||-29.75|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 IorangeTSMC090nm:PMOSfwk;1{ic}|PMOSfwk@0||0|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSfwk_high;1{ic}|PMOSfwk@1||-9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSfwk_low;1{ic}|PMOSfwk@2||9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSxwk_high;1{ic}|PMOSwk@1||-9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOSxwk_low;1{ic}|PMOSwk@2||9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:PMOS4x;1{ic}|PMOSx@0||-39|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1 IorangeTSMC090nm:R440;1{ic}|R110@0||146|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8 Ngeneric:Facet-Center|art@0||0|0||||AV IorangeTSMC090nm:gateResistor;1{ic}|gateResi@0||119|49.5|||D0G4;|ATTR_W(D5G1;NPY-1.5;)I3 IredFive:hifidely;1{ic}|hifidely@0||94|17|||D5G4; IredFive:inv2i;1{ic}|inv2i@0||-24|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2i;1{ic}|inv2i@1||-24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iHT;1{ic}|inv2iHT@0||8|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2iHT;1{ic}|inv2iHT@1||8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iK;1{ic}|inv2iK@0||97|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKn;1{ic}|inv2iK@1||113|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKnD;1{ic}|inv2iKn@1||128|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKp;1{ic}|inv2iKnD@1||106|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Iinv2iKpD;1{ic}|inv2iKp@1||120.5|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iLT;1{ic}|inv2iLT@0||-8|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2iLT;2{ic}|inv2iLT@1||-8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:inv2iV;1{ic}|inv2iV@0||151|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv2o;1{ic}|inv2o@0||146.5|-20|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1 IredFive:inv;1{ic}|inv@0||-104|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv;1{ic}|inv@1||-104|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invCLK;1{ic}|invCLK@0||-40|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvCLK;1{ic}|invCLK@1||-40|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invCTLn;1{ic}|invCTLn@0||24|-28|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX3.5;Y-3;)I175 IinvCTLn;1{ic}|invCTLn@1||24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_LEPARALLGRP(D5G1;P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3.5;)I175|ATTR_su(D5G1;P)I-1 IinvCTLp;1{ic}|invCTLn@2||40|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_sloDelay(D5G1;NX1.75;Y-3.5;)I175 IredFive:invCTLp;1{ic}|invCTLn@3||40|-28|Y||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175 IredFive:invHT;1{ic}|invHT@0||-72|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvHT;1{ic}|invHT@1||-72|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invK;1{ic}|invK@0||-56|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su()I-1 IinvK;1{ic}|invK@1||-56|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:invKV;1{ic}|invKV@0||123|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.25;)I1|ATTR_XP(D5G1.5;NPX1.5;Y1.75;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1 IredFive:invLT;1{ic}|invLT@0||-88|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IinvLT;1{ic}|invLT@1||-88|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invV;1{ic}|invV@0||137|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.75;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.75;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invVn;1{ic}|invVn@0||95|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invVp;1{ic}|invVp@0||109|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Iinv_passgate;1{ic}|inv_pass@0||145|-32|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mullerC;1{ic}|mullerC@0||74|-28|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 ImullerC;1{ic}|mullerC@1||74|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mullerC_sy;1{ic}|mullerC_@0||57|-28|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 ImullerC_sy;1{ic}|mullerC_@1||57|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:mux21_tri;1{ic}|mux21_tr@0||129|-80|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5G1.5;NPX4;Y2;)I1 Imux21_tri;1{ic}|mux21_tr@1||129|-68|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1;OJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Imux1;1{ic}|mux21_tr@2||162|-21|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;P)I-1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1;OJX4;Y2;)SLE.getdrive()|ATTR_drive0()Sstrong0|ATTR_drive1()Sstrong1 IredFive:nand2;1{ic}|nand2@0||-104|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2;1{ic}|nand2@1||-104|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||24|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HLT_sy;1{ic}|nand2HLT@1||24|-43|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HT;1{ic}|nand2HT@0||-8|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1 Inand2HT;1{ic}|nand2HT@1||-8|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2HTen;1{ic}|nand2HTe@0||8|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HTen;1{ic}|nand2HTe@1||8|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LT;1{ic}|nand2LT@0||-56|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT;1{ic}|nand2LT@1||-56|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||-40|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT_sy;1{ic}|nand2LT_@1||-40|-43|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2LTen;1{ic}|nand2LTe@0||-24|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LTen;1{ic}|nand2LTe@1||-24|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2PH;1{ic}|nand2PH@0||163|-32|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2PHfk;1{ic}|nand2PHf@0||163|-41|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2Schmitt;1{ic}|nand2PHf@1||163|-50|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_su(D5G1;P)I-1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1.5;NOJX2.5;Y2.5;)SLE.getdrive() IredFive:nand2_sy;1{ic}|nand2_sy@0||-88|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2_sy;1{ic}|nand2_sy@1||-88|-39.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2en;1{ic}|nand2en@0||-72|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2en;1{ic}|nand2en@1||-72|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand2k;1{ic}|nand2k@1||-88|-46|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:nand2n;1{ic}|nand2n@0||105|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2n;1{ic}|nand2n@1||105.5|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S"LE.subdrive(\"nand2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand2n_sy;1{ic}|nand2n_s@0||121|-54|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2n_sy;1{ic}|nand2n_s@1||121|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)S"LE.subdrive(\"nand2_sy\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3;1{ic}|nand3@0||-104|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3;1{ic}|nand3@1||-104|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT;1{ic}|nand3LT@0||-4|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LT;1{ic}|nand3LT@1||-4|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||54|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LT_sy3;1{ic}|nand3LT_@1||54|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand3LT_sy6;1{ic}|nand3LT_@2||74|-68|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y3.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3LT_sy6;1{ic}|nand3LT_@3||74|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nand3LTen;1{ic}|nand3LTe@0||14|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nand3LTen_sy;1{ic}|nand3LTe@1||34|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3LTen;1{ic}|nand3LTe@2||14|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inand3LTen_sy;1{ic}|nand3LTe@3||34|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3MLT;1{ic}|nand3MLT@0||-24|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3MLT;1{ic}|nand3MLT@1||-24|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3en;1{ic}|nand3en@0||-64|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3en;1{ic}|nand3en@1||-64|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3en_sy;1{ic}|nand3en_@0||-44|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3en_sy;1{ic}|nand3en_@1||-44|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nand3_sy6;1{ic}|nand3en_@2||-84|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand3_sy6;1{ic}|nand3en_@3||-84|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inms1;1{ic}|nms1@0||-48|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 Inms1K;1{ic}|nms1K@0||0|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1 IredFive:nms2b;1{ic}|nms2@0||29|42|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S1 Inms2;1{ic}|nms2@1||29|54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1 Inms2K;1{ic}|nms2K@0||11|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)S"" IredFive:nms2_sy;1{ic}|nms2_sy@0||43|42|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1 Inms2_sy;3{ic}|nms2_sy@1||43|54|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:nms3;1{ic}|nms3@0||60|37|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NPX-2;Y0.5;)I1 Inms3;1{ic}|nms3@1||60|54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:nms3_2sy;1{ic}|nms3_2sy@0||97|37|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)I1 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||76|37|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-8.5;Y1;)I1 Inms3_sy3;1{ic}|nms3_sy3@1||81|54|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)S"" IredFive:nor2;1{ic}|nor2@0||56|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su()I-1 Inor2;1{ic}|nor2@1||56|-39.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2HT_sya;2{ic}|nor2HT_s@0||153|-82|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.75;Y2.75;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:nor2_sy;1{ic}|nor2_sy@0||72|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(T)I-1 Inor2_sy;2{ic}|nor2_sy@1||72|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inor2en;1{ic}|nor2en@0||40|-43|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2en;1{ic}|nor2en@1||40|-54|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2k;1{ic}|nor2k@0||56|-46|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Inor2kresetV;1{ic}|nor2kres@0||163|-60.5|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;NPX-92.75;Y-19.75;)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2n;1{ic}|nor2n@0||88|-54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2n;1{ic}|nor2n@1||88|-43|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:nor2n_sy;1{ic}|nor2n_sy@1||165|-82|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2n_sy;2{ic}|nor2n_sy@2||163.5|-72|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:passF;1{ic}|passF@0||121|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1 IredFive:passT;1{ic}|passT@0||109|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1 IredFive:passTF;1{ic}|passTF@0||134|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1 Ngeneric:Invisible-Pin|pin@0||127|10.5|||||ART_message(D5G2;)S[no PURPLE gates for these] Ngeneric:Invisible-Pin|pin@1||41|33|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant] Ngeneric:Invisible-Pin|pin@2||153|-12|||||ART_message(D5G2;)Sno RED gates for these Ngeneric:Invisible-Pin|pin@3||39.5|-0.5|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant] Ngeneric:Invisible-Pin|pin@4||128.5|42|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant] Ngeneric:Invisible-Pin|pin@5||-39|20|||| Ngeneric:Invisible-Pin|pin@7||103.5|56.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant] Ngeneric:Invisible-Pin|pin@8||74.5|22.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant] Ngeneric:Invisible-Pin|pin@9||74|8|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant] Ipms1;1{ic}|pms1@0||-48|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)I-1 Ipms1K;1{ic}|pms1K@0||0|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 IredFive:pms2;1{ic}|pms2@0||26.5|11|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S1 Ipms2;1{ic}|pms2@1||27|23|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1 Ipms2K;1{ic}|pms2K@0||11|23|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)S""|ATTR_M(D5G1;NX2;)I1 IredFive:pms2_sy;1{ic}|pms2_sy@0||40|11.5|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1 Ipms2_sy;1{ic}|pms2_sy@1||40|23|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1 Ipms3;1{ic}|pms3@0||55|25.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1 IredFive:pms3;1{ic}|pms3@1||55|11.5|||D5G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1 IorangeTSMC090nm:R110;1{ic}|polyResi@1||133|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8 IredFive:triInv;1{ic}|triInv@0||115|-80|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX2.5;Y2;)I1 ItriInv;1{ic}|triInv@1||115|-68|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IorangeTSMC090nm:wire90xcpl2;1{ic}|wire90xc@0||161|35|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8 IorangeTSMC090nm:wire90xcpl3;1{ic}|wire90xc@1||161|27|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8 IorangeTSMC090nm:wire90;1{ic}|wire180@0||106|33|||D0G4;|ATTR_L(D5G1;PUD)I100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NPY-1;)I1|ATTR_width(D5G1;NPY-2;)I3 Iwire350;1{ic}|wire350@0||144|33|||D0G4;|ATTR_L(D5G1;P)I16666|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3 IorangeTSMC090nm:wire;1{ic}|wire@0||106|27|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-2.5;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5FLeave alone;G1;NOLPURY-1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width IorangeTSMC090nm:wireC;1{ic}|wireC@0||129|31|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3 IorangeTSMC090nm:wireR;1{ic}|wireR@0||119|33|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3 IorangeTSMC090nm:wire_xcp_gnd;1{ic}|wire_xcp@0||161|48|||D5G4;|ATTR_C(D5G1;NPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;PURY1;)I100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8 IorangeTSMC090nm:wire_xcpl_sides;1{ic}|wire_xcp@1||161|42|||D5G4;|ATTR_C(D5G1;NPUCY-1;)S0.0000223p|ATTR_L(D5G1;PUDY1;)I100|ATTR_LEIGNORE(PUD)I1 IredFive:xor2;1{ic}|xor2@0||100|-80|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Ixor2;1{ic}|xor2@1||100|-68|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 X # Cell inv;1{doc} Cinv;1{doc}||mocmos|1012171260000|1012171294000||FACET_message()S[This is the one parameter inverter.,Drawn by Ivan Sutherland 27 January 2002,""] X # Cell inv;1{ic} Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NPin|pin@0||1.5|0|1|1|| Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2|| NPin|pin@2||-1.5|0|1|1|| NPin|pin@3||-2.5|0|||| Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 Ein||D5G1;|pin@1||I Eout||D5G1;|pin@4||O X # Cell inv;2{sch} Cinv;2{sch}||schematic|1021415734000|1159375611590||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||11|0|||| NOff-Page|conn@1||-14|0|||| IredFive:inv;1{ic}|inv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv;1{ic}|inv@1||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S"" Ngeneric:Invisible-Pin|pin@0||-2|14.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@1||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@2||-2|16.5|||||ART_message(D5G2;)S[one-parameter inverter] Ngeneric:Invisible-Pin|pin@3||-1.5|20|||||ART_message(D5G6;)S[inv] Awire|net@0|||1800|conn@1|y|-12|0|inv@0|in|-2|0 Awire|net@1|||1800|inv@0|out|3|0|conn@0|a|9|0 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.0 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell inv2i;1{ic} Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78 NPin|pin@0||-2.5|-1|||| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2|| AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 Ein[n]||D5G1;|pin@9||I Ein[p]||D5G1;|pin@8||I Eout||D5G1;|pin@7||O X # Cell inv2i;1{sch} Cinv2i;1{sch}||schematic|1021415734000|1159375631875||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||12|0|||| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||-10.5|-1|||Y| IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2i;1{ic}|inv2i@1||13.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@1||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@2||-4|25|||||ART_message(D5G6;)S[inv2i] Ngeneric:Invisible-Pin|pin@3||-4|20|||||ART_message(D5G2;)S[two-input inverter] Awire|net@0|||0|inv2i@0|in[n]|-2.5|-1|conn@2|y|-8.5|-1 Awire|net@1|||0|inv2i@0|in[p]|-2.5|1|conn@1|y|-8.5|1 Awire|net@2|||0|conn@0|a|10|0|inv2i@0|out|2.5|0 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell inv2iHT;1{ic} Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NThick-Circle|art@3||-1|1|1|1|||ART_color()I78 NPin|pin@0||-2.5|-1|||| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2|| AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 Ein[n]||D5G1;|pin@9||I Ein[p]||D5G1;|pin@8||I Eout||D5G1;|pin@7||O X # Cell inv2iHT;1{sch} Cinv2iHT;1{sch}||schematic|1021415734000|1159375639594||ATTR_Delay(D5G1;HNPX-11;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||12|0|||| NOff-Page|conn@1||-10|1|||Y| NOff-Page|conn@2||-10|-1|||Y| IredFive:inv2iHT;1{ic}|inv2iHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2iHT;1{ic}|inv2iHT@1||23|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||13|-11|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength] Ngeneric:Invisible-Pin|pin@1||-3.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1] Ngeneric:Invisible-Pin|pin@2||-3.5|20.5|||||ART_message(D5G6;)S[inv2iHT] Ngeneric:Invisible-Pin|pin@3||-3.5|15.5|||||ART_message(D5G2;)S[two-input HI-threshold inverter] Awire|net@0|||0|inv2iHT@0|in[p]|-2.5|1|conn@1|y|-8|1 Awire|net@1|||0|inv2iHT@0|in[n]|-2.5|-1|conn@2|y|-8|-1 Awire|net@2|||0|conn@0|a|10|0|inv2iHT@0|out|2.5|0 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.67 X # Cell inv2iK;1{ic} Cinv2iK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1|1|1|1|||ART_color()I78 NThick-Circle|art@2||2|0|1|1|||ART_color()I78 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[K] Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| NPin|pin@8||1.5|0|1|1|| NPin|pin@9||-1.5|-1|1|1|| NPin|pin@10||-2.5|-1|||| AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78 Ein[n]||D5G1;|pin@1||I Ein[p]||D5G1;|pin@2||I Eout||D5G1;|pin@3||O X # Cell inv2iK;1{sch} Cinv2iK;1{sch}||schematic|1021415734000|1159376928498||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10.5|-1|||Y| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||24|0|||| IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2iK;1{ic}|inv2iK@0||24|17|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:invK;1{ic}|invK@0||8|6|R||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1 IredFive:invK;1{ic}|invK@1||14.5|6|YR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1 NWire_Pin|pin@0||8|10.5|||| NWire_Pin|pin@1||14.5|10.5|||| NWire_Pin|pin@2||14.5|0|||| NWire_Pin|pin@3||8|0|||| Ngeneric:Invisible-Pin|pin@4||11|-5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi'] Ngeneric:Invisible-Pin|pin@5||-4|20|||||ART_message(D5G2;)S[two-input inverter with keeper] Ngeneric:Invisible-Pin|pin@6||-4|25|||||ART_message(D5G6;)S[inv2iK] Ngeneric:Invisible-Pin|pin@7||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@8||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||8|0 Awire|net@3|||2700|pin@3||8|0|invK@0|in|8|3.5 Awire|net@4|||2700|invK@0|out|8|8.5|pin@0||8|10.5 Awire|net@5|||900|pin@1||14.5|10.5|invK@1|in|14.5|8.5 Awire|net@6|||2700|pin@2||14.5|0|invK@1|out|14.5|3.5 Awire|net@7|||1800|pin@0||8|10.5|pin@1||14.5|10.5 Awire|net@8|||1800|pin@3||8|0|pin@2||14.5|0 Awire|net@9|||1800|pin@2||14.5|0|conn@2|a|22|0 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell inv2iKn;1{ic} Cinv2iKn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78 NPin|pin@0||-2.5|-1|||| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2|| Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kn] AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 Ein[n]||D5G1;|pin@9||I Ein[p]||D5G1;|pin@8||I Eout||D5G1;|pin@7||O X # Cell inv2iKn;1{sch} Cinv2iKn;1{sch}||schematic|1021415734000|1159376541802||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||15|0|||| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||-10.5|-1|||Y| IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2iKn;1{ic}|inv2iKn@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||27|-1|||||VERILOG_code(D6G1;)S[initial begin, force out = 1;, #30000 release out;,end] NWire_Pin|pin@1||-4.5|-1|||| NWire_Pin|pin@2||-4.5|-5.5|||| NWire_Pin|pin@3||4.5|0|||| Ngeneric:Invisible-Pin|pin@4||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@5||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@6||0|20|||||ART_message(D5G6;)S[inv2iKn] Ngeneric:Invisible-Pin|pin@7||0|15|||||ART_message(D5G2;)S[two-input inverter with n-side keeper] Ngeneric:Invisible-Pin|pin@8||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi'] NPower|pwr@0||4.5|-10.5|||| Awire|net@0|||1800|pin@1||-4.5|-1|inv2i@0|in[n]|-2.5|-1 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||4.5|0 Awire|net@3|||900|pin@3||4.5|0|PMOSwk@0|s|4.5|-3.5 Awire|net@4|||1800|pin@2||-4.5|-5.5|PMOSwk@0|g|1.5|-5.5 Awire|net@5|||900|PMOSwk@0|d|4.5|-7.5|pwr@0||4.5|-10.5 Awire|net@6|||0|conn@0|a|13|0|pin@3||4.5|0 Awire|net@7|||900|pin@1||-4.5|-1|pin@2||-4.5|-5.5 Awire|net@8|||1800|conn@2|y|-8.5|-1|pin@1||-4.5|-1 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell inv2iKnD;1{ic} Cinv2iKnD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1|1|1|1|||ART_color()I78 NThick-Circle|art@2||2|0|1|1|||ART_color()I78 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[KnD] Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| NPin|pin@8||1.5|0|1|1|| NPin|pin@9||-1.5|-1|1|1|| NPin|pin@10||-2.5|-1|||| Ngeneric:Invisible-Pin|pin@11||0|2|||| NPin|pin@12||0|1|||R| NPin|pin@13||0|2|1|1|R| AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@5|||FS900|pin@13||0|2|pin@12||0|1|ART_color()I78 Ectl||D5G2;|pin@11||I Ein[n]||D5G1;|pin@1||I Ein[p]||D5G1;|pin@2||I Eout||D5G1;|pin@3||O X # Cell inv2iKnD;1{sch} Cinv2iKnD;1{sch}||schematic|1021415734000|1159376976699||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10.5|-1|||Y| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||15|0|||| NOff-Page|conn@3||-4|6|||| IredFive:inv2iCTLn;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X Iinv2iKnD;1{ic}|inv2iKnD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||1|9|||||ART_message(D5G2;)S["Set input in N, reset input is P"] Ngeneric:Invisible-Pin|pin@1||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi'] Ngeneric:Invisible-Pin|pin@2||0|15|||||ART_message(D5G2;)S[degradable two-input inverter with n-side keeper] Ngeneric:Invisible-Pin|pin@3||0|20|||||ART_message(D5G6;)S[inv2iKnD] Ngeneric:Invisible-Pin|pin@4||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@5||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] NWire_Pin|pin@6||4.5|0|||| NWire_Pin|pin@7||-4.5|-5.5|||| NWire_Pin|pin@8||-4.5|-1|||| Ngeneric:Invisible-Pin|pin@9||27|-1|||||VERILOG_code(D6G1;)S[initial begin, force out = 1;, #30000 release out;,end] NWire_Pin|pin@10||0|6|||| NPower|pwr@0||4.5|-10.5|||| Awire|net@0|||900|pin@6||4.5|0|PMOSwk@0|s|4.5|-3.5 Awire|net@1|||1800|pin@7||-4.5|-5.5|PMOSwk@0|g|1.5|-5.5 Awire|net@2|||900|PMOSwk@0|d|4.5|-7.5|pwr@0||4.5|-10.5 Awire|net@3|||1800|conn@1|y|-8.5|1|inv2iCTL@0|inP|-2.5|1 Awire|net@4|||2700|inv2iCTL@0|ctl|0|-2|pin@10||0|6 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@6||4.5|0 Awire|net@6|||1800|pin@8||-4.5|-1|inv2iCTL@0|inN|-2.5|-1 Awire|net@7|||1800|conn@0|y|-8.5|-1|pin@8||-4.5|-1 Awire|net@8|||900|pin@8||-4.5|-1|pin@7||-4.5|-5.5 Awire|net@9|||0|conn@2|a|13|0|pin@6||4.5|0 Awire|net@10|||0|pin@10||0|6|conn@3|y|-2|6 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F0.67 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.67 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.33 X # Cell inv2iKp;1{ic} Cinv2iKp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1|1|1|1|||ART_color()I78 NThick-Circle|art@2||2|0|1|1|||ART_color()I78 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[Kp] Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| NPin|pin@8||1.5|0|1|1|| NPin|pin@9||-1.5|-1|1|1|| NPin|pin@10||-2.5|-1|||| AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78 Ein[n]||D5G1;|pin@1||I Ein[p]||D5G1;|pin@2||I Eout||D5G1;|pin@3||O X # Cell inv2iKp;1{sch} Cinv2iKp;1{sch}||schematic|1021415734000|1159376567086||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10.5|-1|||Y| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||15|0|||| NGround|gnd@0||4.5|11||-1|Y| IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2iKp;1{ic}|inv2iKp@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||24.5|0|||||VERILOG_code(D6G1;)S[initial begin, force out = 0;, #30000 release out;,end] NWire_Pin|pin@1||-4.5|1|||| Ngeneric:Invisible-Pin|pin@2||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo'] Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[two-input inverter with p-side keeper] Ngeneric:Invisible-Pin|pin@4||0|24|||||ART_message(D5G6;)S[inv2iKp] Ngeneric:Invisible-Pin|pin@5||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@6||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] NWire_Pin|pin@7||4.5|0|||| NWire_Pin|pin@8||-4.5|5.5|||| Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1 Awire|net@1|||1800|pin@1||-4.5|1|inv2i@0|in[p]|-2.5|1 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@7||4.5|0 Awire|net@3|||2700|pin@7||4.5|0|NMOSwk@0|s|4.5|3.5 Awire|net@4|||2700|NMOSwk@0|d|4.5|7.5|gnd@0||4.5|9.5 Awire|net@5|||1800|pin@8||-4.5|5.5|NMOSwk@0|g|1.5|5.5 Awire|net@6|||2700|pin@1||-4.5|1|pin@8||-4.5|5.5 Awire|net@7|||1800|conn@1|y|-8.5|1|pin@1||-4.5|1 Awire|net@8|||0|conn@2|a|13|0|pin@7||4.5|0 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I1 X # Cell inv2iKpD;1{ic} Cinv2iKpD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78 NThick-Circle|art@3||0|1.5|1|1|||ART_color()I78 NPin|pin@0||-2.5|-1|||| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2|| Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kp] Ngeneric:Invisible-Pin|pin@11||0|2|||| AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 Ectl||D5G2;|pin@11||I Ein[n]||D5G1;|pin@9||I Ein[p]||D5G1;|pin@8||I Eout||D5G1;|pin@7||O X # Cell inv2iKpD;1{sch} Cinv2iKpD;1{sch}||schematic|1021415734000|1159376918981||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||15|0|||| NOff-Page|conn@1||-10.5|1|||Y| NOff-Page|conn@2||-10.5|-1|||Y| NOff-Page|conn@3||-4|-6|||| NGround|gnd@0||4.5|11||-1|Y| IredFive:inv2iCTLp;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X Iinv2iKpD;1{ic}|inv2iKpD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||1|14|||||ART_message(D5G2;)S["set input is P, reset input is N"] NWire_Pin|pin@1||-4.5|5.5|||| NWire_Pin|pin@2||4.5|0|||| Ngeneric:Invisible-Pin|pin@3||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@4||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@5||0|24|||||ART_message(D5G6;)S[inv2iKpD] Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[degradable two-input inverter with p-side keeper] Ngeneric:Invisible-Pin|pin@7||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo'] NWire_Pin|pin@8||-4.5|1|||| Ngeneric:Invisible-Pin|pin@9||24.5|0|||||VERILOG_code(D6G1;)S[initial begin, force out = 0;, #30000 release out;,end] NWire_Pin|pin@10||0|-6|||| Awire|net@0|||2700|pin@2||4.5|0|NMOSwk@0|s|4.5|3.5 Awire|net@1|||2700|NMOSwk@0|d|4.5|7.5|gnd@0||4.5|9.5 Awire|net@2|||1800|pin@1||-4.5|5.5|NMOSwk@0|g|1.5|5.5 Awire|net@3|||1800|pin@8||-4.5|1|inv2iCTL@0|inP|-2.5|1 Awire|net@4|||900|inv2iCTL@0|ctl|0|-2|pin@10||0|-6 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@2||4.5|0 Awire|net@6|||1800|conn@2|y|-8.5|-1|inv2iCTL@0|inN|-2.5|-1 Awire|net@7|||0|conn@0|a|13|0|pin@2||4.5|0 Awire|net@8|||1800|conn@1|y|-8.5|1|pin@8||-4.5|1 Awire|net@9|||2700|pin@8||-4.5|1|pin@1||-4.5|5.5 Awire|net@10|||0|pin@10||0|-6|conn@3|y|-2|-6 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX1;Y-2;)F1.33 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)D1.67 X # Cell inv2iLT;2{ic} Cinv2iLT;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-1|1|1|1|||ART_color()I78 NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-2.5|-1|||| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 Ein[n]||D5G1;|pin@7||I Ein[p]||D5G1;|pin@8||I Eout||D5G1;|pin@9||O X # Cell inv2iLT;2{sch} Cinv2iLT;2{sch}||schematic|1021415734000|1159375635930||ATTR_Delay(D5G1;HNPX-11.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11.5;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-11.5;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11.5;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11.5;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11.5;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10|-1|||Y| NOff-Page|conn@1||-10|1|||Y| NOff-Page|conn@2||12.5|0|||| IredFive:inv2iLT;1{ic}|inv2iLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv2iLT;2{ic}|inv2iLT@1||21|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||16|-12.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength] Ngeneric:Invisible-Pin|pin@1||0.5|11.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2] Ngeneric:Invisible-Pin|pin@2||-3.5|16|||||ART_message(D5G2;)S[two-input LO-threshold inverter] Ngeneric:Invisible-Pin|pin@3||0.5|18.5|||||ART_message(D5G6;)S[inv2iLT] Awire|net@0|||0|inv2iLT@0|in[n]|-2.5|-1|conn@0|y|-8|-1 Awire|net@1|||0|inv2iLT@0|in[p]|-2.5|1|conn@1|y|-8|1 Awire|net@2|||0|conn@2|a|10.5|0|inv2iLT@0|out|2.5|0 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F0.67 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33 X # Cell inv2o;1{ic} Cinv2o;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_DelayH(D5G1;HNPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;HNPX2;Y-3.5;)I100|ATTR_X(D5G1.5;HNOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.5|-1|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NOpened-Thicker-Polygon|art@2||-0.5|1|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NThick-Circle|art@3||1|1|1|1|1200||ART_color()I78|ART_degrees()F[0.0,2.0943952] NThick-Circle|art@4||2|-1|1|1|||ART_color()I78 NThick-Circle|art@5||2|1|1|1|||ART_color()I78 NPin|pin@0||1.5|-1|1|1|| NPin|pin@1||0|0|1|1|| NPin|pin@2||-1.5|-3|1|1|| NPin|pin@3||1.5|1|1|1|| NPin|pin@4||-1.5|3|1|1|| NPin|pin@5||0|0|1|1|| NPin|pin@6||-2.5|0|1|1|| NPin|pin@7||-1.5|0|1|1|| Nschematic:Wire_Pin|pin@8||2.5|-1|-0.5|-0.5|| Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||2.5|1|-2|-2|| AThicker|net@0|||FS2700|pin@2||-1.5|-3|pin@4||-1.5|3|ART_color()I78 AThicker|net@1|||FS337|pin@0||1.5|-1|pin@2||-1.5|-3|ART_color()I78 AThicker|net@2|||FS3263|pin@0||1.5|-1|pin@1||0|0|ART_color()I78 AThicker|net@3|||FS337|pin@3||1.5|1|pin@5||0|0|ART_color()I78 AThicker|net@4|||FS3263|pin@3||1.5|1|pin@4||-1.5|3|ART_color()I78 AThicker|net@5|||FS0|pin@7||-1.5|0|pin@6||-2.5|0|ART_color()I78 Ein||D5G1;|pin@9||I Eout[n]||D5G1;|pin@8||O Eout[p]||D5G1;HN|pin@10||O X # Cell inv2o;1{sch} Cinv2o;1{sch}||schematic|1021415734000|1197016374252||ATTR_DelayH(D5G1;HNPX-18;Y-5.5;)I100|ATTR_DelayL(D5G1;HNPX-18;Y-6.5;)I100|ATTR_X(D5G1;HNOJPX-18;Y-3.5;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HNPTX-18;Y-4.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||16|-2|||| NOff-Page|conn@1||-16|0|||| NOff-Page|conn@2||16|2|||| Iinv2o;1{ic}|inv2o@0||26.5|19|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1 IinvHT;1{ic}|invHT@0||-2.5|2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayH|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su|ATTR_S(D5G1;ILNRRX1.75;Y-8.5;)SLE.getdrive() IinvLT;1{ic}|invLT@0||5|-2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayL|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su Ngeneric:Invisible-Pin|pin@0||6.5|-7.5|||||ART_message(D5G2;)S[simply reports size of HT gate] NWire_Pin|pin@1||-9|-2|||| NWire_Pin|pin@2||-9|2|||| Ngeneric:Invisible-Pin|pin@3||-3|15|||||ART_message(D5G2;)S[these are width ratios] Ngeneric:Invisible-Pin|pin@4||2.5|12.5|||||ART_message(D5G2;)S[P:N=2:2] Ngeneric:Invisible-Pin|pin@5||-9|12.5|||||ART_message(D5G2;)S[P:N=4:1] NWire_Pin|pin@6||-9|0|||| Ngeneric:Invisible-Pin|pin@7||-1|19|||||ART_message(D5G2;)S[with two outputs] Ngeneric:Invisible-Pin|pin@8||-1|21|||||ART_message(D5G2;)S[HI-LO-threshold inverters] Ngeneric:Invisible-Pin|pin@9||0|25.5|||||ART_message(D5G6;)S[inv2o] Awire|net@0|||900|pin@6||-9|0|pin@1||-9|-2 Awire|net@1|||1800|pin@1||-9|-2|invLT@0|in|2.5|-2 Awire|net@2|||0|conn@0|a|14|-2|invLT@0|out|7.5|-2 Awire|net@3|||1800|pin@2||-9|2|invHT@0|in|-5|2 Awire|net@4|||900|pin@2||-9|2|pin@6||-9|0 Awire|net@5|||0|conn@2|a|14|2|invHT@0|out|0|2 Awire|net@6|||0|pin@6||-9|0|conn@1|y|-14|0 Ein||D5G2;|conn@1|a|I Eout[n]||D5G2;|conn@0|y|O Eout[p]||D5G2;|conn@2|y|O X # Cell invCLK;1{ic} CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5] NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5] NOpened-Thicker-Polygon|art@3||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@4||2|0|1|1|||ART_color()I78 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2|| NPin|pin@2||-1.5|-2|1|1|| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-2.5|0|||| NPin|pin@5||-1.5|0|1|1|| NPin|pin@6||1.5|0|1|1|| AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I78 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I78 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I78 Ein||D5G1;|pin@1||I Eout||D5G1;|pin@0||O X # Cell invCLK;1{sch} CinvCLK;1{sch}||schematic|1021415734000|1159375628155||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||9.5|0|||| NOff-Page|conn@1||-10|0|||| IredFive:invCLK;1{ic}|invCLK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 IinvCLK;1{ic}|invCLK@1||24|19|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|11.5|||||ART_message(D5G2;)S[should give equal R/F Delay] Ngeneric:Invisible-Pin|pin@1||-1|20.5|||||ART_message(D5G6;)S[inv3to1] Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[higher-threshold inverter] Ngeneric:Invisible-Pin|pin@3||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is 1.5x N strength] Ngeneric:Invisible-Pin|pin@4||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1] Awire|net@0|||0|invCLK@0|in|-2.5|0|conn@1|y|-8|0 Awire|net@1|||1800|invCLK@0|out|2.5|0|conn@0|a|7.5|0 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.33 X # Cell invCTLn;1{ic} CinvCTLn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;HNPX2;Y-4;)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;HNPX1.75;Y-3;)I175|ATTR_su(D5G1;HNPX2;Y-5;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5] NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NPin|pin@0||0|-1|1|1|R| NPin|pin@1||0|-2|||R| Ngeneric:Invisible-Pin|pin@2||0|-2|||| Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2|| NPin|pin@5||-1.5|-2|1|1|| NPin|pin@6||-1.5|2|1|1|| NPin|pin@7||-2.5|0|||| NPin|pin@8||-1.5|0|1|1|| NPin|pin@9||1.5|0|1|1|| AThicker|net@0|||FS900|pin@0||0|-1|pin@1||0|-2|ART_color()I78 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I78 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@8||-1.5|0|pin@7||-2.5|0|ART_color()I78 Ectl||D5G1;|pin@2||I Ein||D5G1;|pin@4||I Eout||D5G1;|pin@3||O X # Cell invCTLn;1{sch} CinvCTLn;1{sch}||schematic|1021415734000|1159375665094||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-11;)Sstrong1|ATTR_sloDelay(D5G1;HNPX-12.5;Y-6.25;)I175|ATTR_su(D5G1;HNPTX-12;Y-9;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||0|-7.5|||R| NOff-Page|conn@1||12.5|0|||| NOff-Page|conn@2||-8.5|0|||| IredFive:invCTLn;1{ic}|invCTLn@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_sloDelay(D5G1;NOJPX4.5;Y-3;)S@sloDelay IinvCTLn;1{ic}|invCTLn@1||27.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;NPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;NPX2;Y-4;)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;NPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3;)I175|ATTR_su(D5G1;NPX2;Y-5;)I-1 Ngeneric:Invisible-Pin|pin@0||-1|24|||||ART_message(D5G6;)S[invCTLn] Ngeneric:Invisible-Pin|pin@1||0|19|||||ART_message(D5G2;)S[current starved inverter] Ngeneric:Invisible-Pin|pin@2||0.5|17|||||ART_message(D5G2;)S[only low-going output transition is affected] Ngeneric:Invisible-Pin|pin@3||21|-8.5|||||ART_message(D5G2;)S[X is drive strength] Awire|net@0|||900|invCTLn@0|ctl|0|-2|conn@0|y|0|-5.5 Awire|net@1|||0|conn@1|a|10.5|0|invCTLn@0|out|2.5|0 Awire|net@2|||1800|conn@2|y|-6.5|0|invCTLn@0|in|-2.5|0 Ectl||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33 X # Cell invCTLp;1{ic} CinvCTLp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NOpened-Thicker-Polygon|art@3||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5] NOpened-Thicker-Polygon|art@4||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5] Ngeneric:Invisible-Pin|pin@0||0.5|1.25|||||ART_message(D5G1;)S[p] NPin|pin@1||1.5|0|1|1|| NPin|pin@2||-1.5|0|1|1|| NPin|pin@3||-2.5|0|||| NPin|pin@4||-1.5|2|1|1|| NPin|pin@5||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Ngeneric:Invisible-Pin|pin@8||0|2|||| NPin|pin@9||0|1|||R| NPin|pin@10||0|2|1|1|R| AThicker|net@0|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78 AThicker|net@1|||FS2700|pin@5||-1.5|-2|pin@4||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@1||1.5|0|pin@5||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@1||1.5|0|pin@4||-1.5|2|ART_color()I78 AThicker|net@4|||FS900|pin@10||0|2|pin@9||0|1|ART_color()I78 Ectl||D5G1;|pin@8||I Ein||D5G1;|pin@6||I Eout||D5G1;|pin@7||O X # Cell invCTLp;1{sch} CinvCTLp;1{sch}||schematic|1021415734000|1159377383524||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-8.5|0|||| NOff-Page|conn@1||8|0|||| NOff-Page|conn@2||0|-7.5|||R| IredFive:invCTLp;1{ic}|invCTLp@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X IinvCTLp;1{ic}|invCTLp@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||21|-8.5|||||ART_message(D5G2;)S[X is drive strength] Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[only high-going output transition is affected] Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[current starved inverter] Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invCTLp] Awire|net@0|||900|invCTLp@0|ctl|0|-2|conn@2|y|0|-5.5 Awire|net@1|||0|conn@1|a|6|0|invCTLp@0|out|2.5|0 Awire|net@2|||1800|conn@0|y|-6.5|0|invCTLp@0|in|-2.5|0 Ectl||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)S1.67 X # Cell invHT;1{ic} CinvHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NPin|pin@0||1.5|0|1|1|| NPin|pin@1||-1.5|0|1|1|| NPin|pin@2||-2.5|0|||| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78 Ein||D5G1;|pin@5||I Eout||D5G1;|pin@6||O X # Cell invHT;2{sch} CinvHT;2{sch}||schematic|1021415734000|1159375620196||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10|0|||| NOff-Page|conn@1||9.5|0|||| IredFive:invHT;1{ic}|invHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 IinvHT;1{ic}|invHT@1||15|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1] Ngeneric:Invisible-Pin|pin@1||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength] Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[HI-threshold inverter] Ngeneric:Invisible-Pin|pin@3||-1|20.5|||||ART_message(D5G6;)S[invHT] Awire|net@0|||0|invHT@0|in|-2.5|0|conn@0|y|-8|0 Awire|net@1|||1800|invHT@0|out|2.5|0|conn@1|a|7.5|0 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY1.5;)F1.67 X # Cell invK;1{ic} CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[2000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@2||-2|0|1|1|||ART_color()I78 NPin|pin@0||1.5|0|1|1|| NPin|pin@1||-1.5|2|1|1|| NPin|pin@2||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2|| NPin|pin@4||2.5|0|||| NPin|pin@5||1.5|0|1|1|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I78 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I78 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@4||2.5|0|pin@5||1.5|0|ART_color()I78 Ein||D5G1;|pin@3||I Eout||D5G1;|pin@6||O X # Cell invK;1{sch} CinvK;1{sch}||schematic|1021415734000|1159375623973||ATTR_Delay(D5G1;HNPX-14;Y-7.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-14;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-14;Y-5.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14;Y-9.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-10.5;)Sweak1|ATTR_su(D5G1;HNPTX-14;Y-8.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-11|0|||| NOff-Page|conn@1||8|0|||| IredFive:invK;1{ic}|invK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 IinvK;1{ic}|invK@1||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||24.5|-8.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@1||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@2||-1|23.5|||||ART_message(D5G6;)S[invK] Ngeneric:Invisible-Pin|pin@3||-1|18|||||ART_message(D5G2;)S[LO threshold keeper inverter] Awire|net@0|||1800|conn@0|y|-9|0|invK@0|in|-2.5|0 Awire|net@1|||0|conn@1|a|6|0|invK@0|out|2.5|0 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell invLT;1{ic} CinvLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NPin|pin@0||1.5|0|1|1|| NPin|pin@1||-1.5|0|1|1|| NPin|pin@2||-2.5|0|||| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78 Ein||D5G1;|pin@5||I Eout||D5G1;|pin@6||O X # Cell invLT;2{sch} CinvLT;2{sch}||schematic|1021415734000|1159375615839||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-8.5|0|||| NOff-Page|conn@1||8|0|||| IredFive:invLT;1{ic}|invLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 IinvLT;1{ic}|invLT@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||18.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength] Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter] Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[LO-threshold inverter] Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invLT] Awire|net@0|||1800|conn@0|y|-6.5|0|invLT@0|in|-2.5|0 Awire|net@1|||0|conn@1|a|6|0|invLT@0|out|2.5|0 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33 X # Cell inv_passgate;1{ic} Cinv_passgate;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NPin|pin@0||-1.5|-2|1|1|| NPin|pin@1||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@2||5.5|0|-2|-2|| NPin|pin@3||-2.5|0|||| NPin|pin@4||-1.5|0|1|1|| Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2|| NPin|pin@6||1.5|0|1|1|| Ngeneric:Invisible-Pin|pin@7||4|2|||| NPin|pin@8||3.25|0|1|1|| NPin|pin@9||2.5|0|||| NPin|pin@10||3.25|1|0.5|0.5|| NPin|pin@11||4.75|1|0.5|0.5|| NPin|pin@12||4.75|0|0.5|0.5|| NPin|pin@13||5.5|0|0.5|0.5|| NPin|pin@14||4.75|1.25|1|1|| NPin|pin@15||3.25|1.25|||| NPin|pin@16||4|1.25|0.5|0.5|| NPin|pin@17||4|2|0.5|0.5|| AThicker|net@0|||FS2700|pin@0||-1.5|-2|pin@1||-1.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78 AThicker|net@2|||FS337|pin@6||1.5|0|pin@0||-1.5|-2|ART_color()I78 AThicker|net@3|||FS3263|pin@6||1.5|0|pin@1||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78 AThicker|net@5|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78 AThicker|net@6|||FS900|pin@10||3.25|1|pin@8||3.25|0|ART_color()I78 AThicker|net@7|||FS0|pin@11||4.75|1|pin@10||3.25|1|ART_color()I78 AThicker|net@8|||FS2700|pin@12||4.75|0|pin@11||4.75|1|ART_color()I78 AThicker|net@9|||FS0|pin@13||5.5|0|pin@12||4.75|0|ART_color()I78 AThicker|net@10|||FS0|pin@16||4|1.25|pin@15||3.25|1.25|ART_color()I78 AThicker|net@11|||FS0|pin@14||4.75|1.25|pin@16||4|1.25|ART_color()I78 AThicker|net@12|||FS900|pin@17||4|2|pin@16||4|1.25|ART_color()I78 Een||D5G2;|pin@7||I Ein||D5G1;|pin@5||I Eout||D5G1;|pin@2||O X # Cell inv_passgate;1{sch} Cinv_passgate;1{sch}||schematic|1021415734000|1159377687524||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||10|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_X(D5G1.5;NOJPX-0.5;Y2.5;)S@X*2.0 Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|0|||| NOff-Page|conn@1||18|0|||| NOff-Page|conn@2||4|7|||| IredFive:invLT;1{ic}|invLT@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Iinv_passgate;1{ic}|inv_pass@0||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S"" Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[inv_passgate] Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter inverter] Ngeneric:Invisible-Pin|pin@2||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@3||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] NWire_Pin|pin@4||10|7|||| Awire|net@0|||0|NMOS@0|s|8|0|invLT@0|out|3|0 Awire|net@1|||0|conn@1|a|16|0|NMOS@0|d|12|0 Awire|net@2|||2700|NMOS@0|g|10|3|pin@4||10|7 Awire|net@3|||1800|conn@0|y|-12|0|invLT@0|in|-2|0 Awire|net@4|||0|pin@4||10|7|conn@2|y|6|7 Een||D4G2;|conn@2|a|I|ATTR_le(D5G1;NY-1;)F0.67 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)F1.33 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;N)D1.33 X # Cell mullerC;1{ic} CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NPin|pin@0||-1.5|1|1|1|| NPin|pin@1||-2.5|1|||| NPin|pin@2||-0.5|-2|1|1|| NPin|pin@3||-1.5|-2|1|1|| NPin|pin@4||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| NPin|pin@7||-0.5|2|1|1|| NPin|pin@8||-2.5|-1|||| NPin|pin@9||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| NPin|pin@11||-1.5|-0.75|1|1|| NPin|pin@12||-0.25|-2|1|1|| AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@5||O X # Cell mullerC;1{sch} CmullerC;1{sch}||schematic|1021415734000|1159375649419||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||10|0|||Y| NOff-Page|conn@1||-14.5|2.5|||| NOff-Page|conn@2||-14.5|-2.5|||| IredFive:mullerC;1{ic}|mullerC@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 ImullerC;1{ic}|mullerC@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[mullerC] Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter muller C-element] Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2] Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength] NWire_Pin|pin@4||-7|-2.5|||| NWire_Pin|pin@5||-7|-1|||| NWire_Pin|pin@6||-7|1|||| NWire_Pin|pin@7||-7|2.5|||| Awire|net@0|||0|mullerC@0|ina|-2.5|-1|pin@5||-7|-1 Awire|net@1|||1800|mullerC@0|out|2.5|0|conn@0|a|8|0 Awire|net@2|||1800|pin@6||-7|1|mullerC@0|inb|-2.5|1 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-1;Y-2.5;)I2 X # Cell mullerC_sy;1{ic} CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NOpened-Thicker-Polygon|art@3||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625] NPin|pin@0||-0.25|-2|1|1|| NPin|pin@1||-1.5|-0.75|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-1.5|-1|1|1|| NPin|pin@4||-2.5|-1|||| NPin|pin@5||-0.5|2|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| NPin|pin@8||-1.5|2|1|1|| NPin|pin@9||-1.5|-2|1|1|| NPin|pin@10||-0.5|-2|1|1|| NPin|pin@11||-2.5|1|||| NPin|pin@12||-1.5|1|1|1|| AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@7||O X # Cell mullerC_sy;1{sch} CmullerC_sy;1{sch}||schematic|1021415734000|1159375644961||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14.5|-2.5|||| NOff-Page|conn@1||-14.5|2.5|||| NOff-Page|conn@2||10|0|||Y| IredFive:mullerC_sy;1{ic}|mullerC_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 ImullerC_sy;1{ic}|mullerC_@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-7|2.5|||| NWire_Pin|pin@1||-7|1|||| NWire_Pin|pin@2||-7|-1|||| NWire_Pin|pin@3||-7|-2.5|||| Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength] Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2] Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element] Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[mullerC_sy] Awire|net@0|||0|mullerC_@0|ina|-2.5|-1|pin@2||-7|-1 Awire|net@1|||1800|mullerC_@0|out|2.5|0|conn@2|a|8|0 Awire|net@2|||1800|pin@1||-7|1|mullerC_@0|inb|-2.5|1 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I2 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)I2 X # Cell mux1;1{ic} Cmux1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3.75;)I100|ATTR_M(D5G1;HNPX3;Y-2.75;)I1|ATTR_S(D5G1.5;HNOJPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;HNPX2.75;Y-6.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||0|2|1|1|||ART_color()I78 NThick-Circle|art@2||1.5|0|1|1|||ART_color()I78 Nschematic:Bus_Pin|pin@0||-2|0|-2|-2|| NPin|pin@1||-1|0|1|1|| NPin|pin@2||-2|0|1|1|| NPin|pin@3||0|3|1|1|RRR| NPin|pin@4||0|2.5|1|1|RRR| NPin|pin@5||1|1|1|1|| NPin|pin@6||0|-1.5|1|1|RRR| NPin|pin@7||0|-3|1|1|RRR| Nschematic:Bus_Pin|pin@8||0|-3|-2|-2|| NPin|pin@9||1|-1|1|1|| Nschematic:Bus_Pin|pin@10||0|3|-2|-2|| Nschematic:Bus_Pin|pin@11||2|0|-2|-2|| NPin|pin@12||-1|2|1|1|| NPin|pin@13||-1|-2|1|1|| AThicker|net@0|||FS0|pin@1||-1|0|pin@2||-2|0|ART_color()I78 AThicker|net@1|||FS2700|pin@4||0|2.5|pin@3||0|3|ART_color()I78 AThicker|net@2|||FS2700|pin@9||1|-1|pin@5||1|1|ART_color()I78 AThicker|net@3|||FS3334|pin@5||1|1|pin@12||-1|2|ART_color()I78 AThicker|net@4|||FS2700|pin@7||0|-3|pin@6||0|-1.5|ART_color()I78 AThicker|net@5|||FS266|pin@9||1|-1|pin@13||-1|-2|ART_color()I78 AThicker|net@6|||FS2700|pin@13||-1|-2|pin@12||-1|2|ART_color()I78 Ec[n]||D5G1;|pin@8||I Ec[p]||D5G1;|pin@10||I Ein||D5G1;|pin@0||I Eout||D5G1;|pin@11||O X # Cell mux1;1{sch} Cmux1;1{sch}||schematic|1021415734000|1159378523994||ATTR_Delay(D5G1;HNPX-15;Y-3.5;)I100|ATTR_M(D5G1;HNPX-15;Y-2.5;)I1|ATTR_S(D5G1;HNOJPX-15;Y-1.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-15;Y-4.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10.5|1|||Y| NOff-Page|conn@1||9.5|-3|||YRR| NOff-Page|conn@2||9.5|5|||YRR| NOff-Page|conn@3||16.5|1|||| Imux1;1{ic}|mux1@0||10|16.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3.75;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)I1|ATTR_su(D5G1;NPX2.75;Y-6.5;)I-1 Inms2;1{ic}|nms2@0||2|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M NWire_Pin|pin@0||-6|1|||| NWire_Pin|pin@1||-6|9|||| NWire_Pin|pin@2||-6|-7|||| Ngeneric:Invisible-Pin|pin@3||-6.5|16|||||ART_message(D5G2;)S[single multiplexer point] Ngeneric:Invisible-Pin|pin@4||-6.5|21|||||ART_message(D5G6;)S[mux1] NWire_Pin|pin@5||2|1|||| Ipms2;1{ic}|pms2@0||2|9|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX2;)S@M Awire|net@0|||0|pin@0||-6|1|conn@0|y|-8.5|1 Awire|net@1|||900|pin@1||-6|9|pin@0||-6|1 Awire|net@2|||900|pin@0||-6|1|pin@2||-6|-7 Awire|net@3|||0|pms2@0|g|-1|9|pin@1||-6|9 Awire|net@4|||1800|pin@2||-6|-7|nms2@0|g|-1|-7 Awire|net@5|||1800|nms2@0|g2|5|-3|conn@1|y|7.5|-3 Awire|net@6|||1800|pms2@0|g2|5|5|conn@2|y|7.5|5 Awire|net@7|||900|pms2@0|d|2|3|pin@5||2|1 Awire|net@8|||2700|nms2@0|d|2|-1|pin@5||2|1 Awire|net@9|||0|conn@3|a|14.5|1|pin@5||2|1 Ec[n]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.667 Ec[p]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.333 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY-2;)I2 X # Cell mux21_tri;1{ic} Cmux21_tri;1{ic}||artwork|1092163151000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@3||2.5|0|1|1|||ART_color()I78 Nschematic:Bus_Pin|pin@0||-2|2|||| Nschematic:Bus_Pin|pin@2||-2|-2|||| Nschematic:Bus_Pin|pin@4||3|0|||| Nschematic:Bus_Pin|pin@6||0.5|4.5|||| Ngeneric:Invisible-Pin|pin@14||0|2|||||ART_message(D5G1;)S0 Ngeneric:Invisible-Pin|pin@15||0|-2|||||ART_message(D5G1;)S1 NPin|pin@28||-1|-4|1|1|| NPin|pin@29||-1|4|1|1|| NPin|pin@30||2|-2.5|1|1|| NPin|pin@31||2|2.5|1|1|| NPin|pin@32||-1|-4|1|1|| NPin|pin@33||2|-2.5|1|1|| NPin|pin@34||2|2.5|1|1|| NPin|pin@35||-1|4|1|1|| NPin|pin@36||-1|2|1|1|| NPin|pin@37||-2|2|||| NPin|pin@38||-1|-2|1|1|| NPin|pin@39||-2|-2|||| NPin|pin@40||0.5|4.5|1|1|| NPin|pin@41||0.5|3.25|||| AThicker|net@11|||FS2700|pin@28||-1|-4|pin@29||-1|4|ART_color()I78 AThicker|net@12|||FS2700|pin@30||2|-2.5|pin@31||2|2.5|ART_color()I78 AThicker|net@13|||FS2066|pin@32||-1|-4|pin@33||2|-2.5|ART_color()I78 AThicker|net@14|||FS3334|pin@34||2|2.5|pin@35||-1|4|ART_color()I78 AThicker|net@15|||FS0|pin@36||-1|2|pin@37||-2|2|ART_color()I78 AThicker|net@16|||FS0|pin@38||-1|-2|pin@39||-2|-2|ART_color()I78 AThicker|net@17|||FS900|pin@40||0.5|4.5|pin@41||0.5|3.25|ART_color()I78 Ein0||D5G2;|pin@0||I Ein1||D5G2;|pin@2||I Eout||D5G2;|pin@4||O Esel||D5G2;|pin@6||I X # Cell mux21_tri;1{sch} Cmux21_tri;1{sch}||schematic|1092161401000|1159376025241||ATTR_Delay(D5G1;HNPX-5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-5;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-5;Y-9;)Sstrong0|ATTR_drive1(D5G1;HNPTX-5;Y-10;)Sstrong1|ATTR_su(D5G1;HNPTX-5;Y-11;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-12|2|||| NOff-Page|conn@1||-12|-2|||| NOff-Page|conn@2||14|0|||| NOff-Page|conn@3||-12|7|||| IredFive:mux21_tri;1{ic}|mux21_tr@0||0|0|||D5G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-4.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X Imux21_tri;1{ic}|mux21_tr@1||19|16|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||0.5|7|||| Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G5;)Smux21_tri Ngeneric:Invisible-Pin|pin@2||0|11|||||ART_message(D5G2;)Sa slow one-parameter 2:1 mux made of tristates Awire|net@0|||1800|conn@3|y|-10|7|pin@0||0.5|7 Awire|net@1|||900|pin@0||0.5|7|mux21_tr@0|sel|0.5|4.5 Awire|net@2|||1800|conn@0|y|-10|2|mux21_tr@0|in0|-2|2 Awire|net@3|||1800|conn@1|y|-10|-2|mux21_tr@0|in1|-2|-2 Awire|net@4|||1800|mux21_tr@0|out|3|0|conn@2|a|12|0 Ein0||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2 Ein1||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I2 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I4 Esel||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F2.5 X # Cell nand2;1{ic} Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-2|1|1|| NPin|pin@1||-1.5|-0.75|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-1.5|-1|1|1|| NPin|pin@4||-2.5|-1|||| NPin|pin@5||-0.5|2|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| NPin|pin@8||-1.5|2|1|1|| NPin|pin@9||-1.5|-2|1|1|| NPin|pin@10||-0.5|-2|1|1|| NPin|pin@11||-2.5|1|||| NPin|pin@12||-1.5|1|1|1|| AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@7||O X # Cell nand2;1{sch} Cnand2;1{sch}||schematic|1021415734000|1159375675453||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14.5|-2.5|||| NOff-Page|conn@1||-14.5|2.5|||| NOff-Page|conn@2||10|0|||Y| IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand2;1{ic}|nand2@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-7|2.5|||| NWire_Pin|pin@1||-7|1|||| NWire_Pin|pin@2||-7|-1|||| NWire_Pin|pin@3||-7|-2.5|||| Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1] Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[nand2] Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@2||-7|-1 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@2|a|8|0 Awire|net@2|||1800|pin@1||-7|1|nand2@0|inb|-2.5|1 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)I2 X # Cell nand2HLT_sy;1{ic} Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-1.5|1|1|1|| NPin|pin@4||-2.5|1|||| NPin|pin@5||-0.5|-2|1|1|| NPin|pin@6||-1.5|-2|1|1|| NPin|pin@7||-1.5|2|1|1|| NPin|pin@8||-0.5|2|1|1|| NPin|pin@9||-2.5|-1|||| NPin|pin@10||-1.5|-1|1|1|| AThicker|net@0|||FS0|pin@5||-0.5|-2|pin@6||-1.5|-2|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@7||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@1||I Eout||D5G1;|pin@0||O X # Cell nand2HLT_sy;1{sch} Cnand2HLT_sy;1{sch}||schematic|1021415734000|1159375725680||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-15|-2.5|||Y| NOff-Page|conn@1||9|0|||Y| NOff-Page|conn@2||-14.75|2.5|||| IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HLT_sy;1{ic}|nand2HLT@1||25.75|17.25|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|25|||||ART_message(D5G6;)S[nand2HLT_sy] Ngeneric:Invisible-Pin|pin@1||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND] Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2] Ngeneric:Invisible-Pin|pin@3||17|-11.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down] Ngeneric:Invisible-Pin|pin@4||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together] NWire_Pin|pin@5||-7.5|-2.5|||| NWire_Pin|pin@6||-7.5|-1|||| NWire_Pin|pin@7||-7.5|2.5|||| NWire_Pin|pin@8||-7.5|1|||| Awire|net@0|||0|nand2HLT@0|ina|-2.5|-1|pin@6||-7.5|-1 Awire|net@1|||1800|nand2HLT@0|out|2.5|0|conn@1|a|7|0 Awire|net@2|||0|nand2HLT@0|inb|-2.5|1|pin@8||-7.5|1 Awire|net@3|||0|pin@7||-7.5|2.5|conn@2|y|-12.75|2.5 Awire|net@4|||1800|conn@0|y|-13|-2.5|pin@5||-7.5|-2.5 Awire|net@5|||900|pin@6||-7.5|-1|pin@5||-7.5|-2.5 Awire|net@6|||2700|pin@8||-7.5|1|pin@7||-7.5|2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.166 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.166 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.67 X # Cell nand2HT;1{ic} Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NPin|pin@0||-1.5|1|1|1|| NPin|pin@1||-2.5|1|||| NPin|pin@2||-0.5|-2|1|1|| NPin|pin@3||-1.5|-2|1|1|| NPin|pin@4||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| NPin|pin@7||-0.5|2|1|1|| NPin|pin@8||-2.5|-1|||| NPin|pin@9||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| NPin|pin@11||-1.5|-0.75|1|1|| NPin|pin@12||-0.25|-2|1|1|| AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@5||O X # Cell nand2HT;1{sch} Cnand2HT;1{sch}||schematic|1021415734000|1159375717292||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-21;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||13|0|||Y| NOff-Page|conn@1||-12|3|||| NOff-Page|conn@2||-12|-3|||| IredFive:nand2HT;1{ic}|nand2HT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HT;1{ic}|nand2HT@1||25.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6|3|||| NWire_Pin|pin@1||-6|1|||| NWire_Pin|pin@2||-6|-1|||| NWire_Pin|pin@3||-6|-3|||| Ngeneric:Invisible-Pin|pin@4||0.5|25|||||ART_message(D5G6;)S[nand2HT] Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND] Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2] Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down] Awire|net@0|||0|nand2HT@0|ina|-2.5|-1|pin@2||-6|-1 Awire|net@1|||1800|nand2HT@0|out|2.5|0|conn@0|a|11|0 Awire|net@2|||1800|pin@1||-6|1|nand2HT@0|inb|-2.5|1 Awire|net@3|||1800|conn@1|y|-10|3|pin@0||-6|3 Awire|net@4|||900|pin@0||-6|3|pin@1||-6|1 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-3 Awire|net@6|||0|pin@3||-6|-3|conn@2|y|-10|-3 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F3.33 X # Cell nand2HTen;1{ic} Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-2|1|1|| NPin|pin@1||-1.5|-0.75|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-1.5|-1|1|1|| NPin|pin@4||-2.5|-1|||| NPin|pin@5||-0.5|2|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| NPin|pin@8||-1.5|2|1|1|| NPin|pin@9||-1.5|-2|1|1|| NPin|pin@10||-0.5|-2|1|1|| NPin|pin@11||-2.5|1|||| NPin|pin@12||-1.5|1|1|1|| Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en] AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@7||O X # Cell nand2HTen;1{sch} Cnand2HTen;1{sch}||schematic|1021415734000|1159375721547||ATTR_Delay(D5G1;HNPX-16.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14.5|-2.5|||| NOff-Page|conn@1||-14.5|2.5|||| NOff-Page|conn@2||15|0|||| IredFive:nand2HTen;1{ic}|nand2HTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2HTen;1{ic}|nand2HTe@1||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6|2.5|||| NWire_Pin|pin@1||-6|1|||| NWire_Pin|pin@2||-6|-1|||| NWire_Pin|pin@3||-6|-2.5|||| Ngeneric:Invisible-Pin|pin@4||28.5|-11|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down] Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2 (4/10 for enable)] Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)] Ngeneric:Invisible-Pin|pin@7||0.5|25|||||ART_message(D5G6;)S[nand2HTen] Awire|net@0|||0|nand2HTe@0|ina|-2.5|-1|pin@2||-6|-1 Awire|net@1|||1800|nand2HTe@0|out|2.5|0|conn@2|a|13|0 Awire|net@2|||1800|pin@1||-6|1|nand2HTe@0|inb|-2.5|1 Awire|net@3|||1800|conn@1|y|-12.5|2.5|pin@0||-6|2.5 Awire|net@4|||900|pin@0||-6|2.5|pin@1||-6|1 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-2.5 Awire|net@6|||0|pin@3||-6|-2.5|conn@0|y|-12.5|-2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.8 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I2 X # Cell nand2LT;1{ic} Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-2|1|1|| NPin|pin@1||-1.5|-0.75|1|1|| NPin|pin@2||-1.5|-1|1|1|| NPin|pin@3||-2.5|-1|||| NPin|pin@4||-0.5|2|1|1|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| NPin|pin@7||-0.5|-2|1|1|| NPin|pin@8||-2.5|1|||| NPin|pin@9||-1.5|1|1|1|| Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2|| AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@12||I Einb||D5G1;|pin@11||I Eout||D5G1;|pin@10||O X # Cell nand2LT;1{sch} Cnand2LT;1{sch}||schematic|1021415734000|1159375693945||ATTR_Delay(D5G1;HNPX-17;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|-2.5|||| NOff-Page|conn@1||-14|2.5|||| NOff-Page|conn@2||14|0|||| IredFive:nand2LT;1{ic}|nand2LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT;1{ic}|nand2LT@1||25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6.5|-2.5|||| NWire_Pin|pin@1||-6.5|-1|||| NWire_Pin|pin@2||-6.5|1|||| NWire_Pin|pin@3||-6.5|2.5|||| Ngeneric:Invisible-Pin|pin@4||-1|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together] Ngeneric:Invisible-Pin|pin@5||22|-13|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down] Ngeneric:Invisible-Pin|pin@6||-1.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2] Ngeneric:Invisible-Pin|pin@7||-2|25|||||ART_message(D5G6;)S[nand2LT] Ngeneric:Invisible-Pin|pin@8||-2|20|||||ART_message(D5G2;)S[LO-threshold NAND] Awire|net@0|||1800|pin@1||-6.5|-1|nand2LT@0|ina|-2.5|-1 Awire|net@1|||1800|nand2LT@0|out|2.5|0|conn@2|a|12|0 Awire|net@2|||0|nand2LT@0|inb|-2.5|1|pin@2||-6.5|1 Awire|net@3|||900|pin@1||-6.5|-1|pin@0||-6.5|-2.5 Awire|net@4|||0|pin@0||-6.5|-2.5|conn@0|y|-12|-2.5 Awire|net@5|||2700|pin@2||-6.5|1|pin@3||-6.5|2.5 Awire|net@6|||0|pin@3||-6.5|2.5|conn@1|y|-12|2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I1 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33 X # Cell nand2LT_sy;1{ic} Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-1.5|-1|1|1|| NPin|pin@1||-2.5|-1|||| NPin|pin@2||-0.5|2|1|1|| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-0.5|-2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@8||I Einb||D5G1;|pin@9||I Eout||D5G1;|pin@10||O X # Cell nand2LT_sy;1{sch} Cnand2LT_sy;1{sch}||schematic|1021415734000|1159375698504||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-17.25|2.5|||| NOff-Page|conn@1||16.5|0|||Y| NOff-Page|conn@2||-17.5|-2.5|||Y| IredFive:nand2LT_sy;1{ic}|nand2LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LT_sy;1{ic}|nand2LT_@1||33.25|20.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@12||-7.5|1|||| NWire_Pin|pin@13||-7.5|2.5|||| NWire_Pin|pin@14||-7.5|-1|||| NWire_Pin|pin@15||-7.5|-2.5|||| Ngeneric:Invisible-Pin|pin@26||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together] Ngeneric:Invisible-Pin|pin@27||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down] Ngeneric:Invisible-Pin|pin@28||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2] Ngeneric:Invisible-Pin|pin@29||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND] Ngeneric:Invisible-Pin|pin@30||-2|25|||||ART_message(D5G6;)S[nand2LT_sy] Awire|net@0|||0|nand2LT_@0|ina|-2.5|-1|pin@14||-7.5|-1 Awire|net@2|||0|nand2LT_@0|inb|-2.5|1|pin@12||-7.5|1 Awire|net@27|||2700|pin@12||-7.5|1|pin@13||-7.5|2.5 Awire|net@28|||900|pin@14||-7.5|-1|pin@15||-7.5|-2.5 Awire|net@38|||0|conn@1|a|14.5|0|nand2LT_@0|out|2.5|0 Awire|net@43|||0|pin@13||-7.5|2.5|conn@0|y|-15.25|2.5 Awire|net@44|||1800|conn@2|y|-15.5|-2.5|pin@15||-7.5|-2.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)I1 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.33 X # Cell nand2LTen;1{ic} Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2|| NPin|pin@1||-0.25|-2|1|1|| NPin|pin@2||-1.5|-0.75|1|1|| Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2|| NPin|pin@4||-1.5|-1|1|1|| NPin|pin@5||-2.5|-1|||| NPin|pin@6||-0.5|2|1|1|| Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2|| NPin|pin@8||-1.5|2|1|1|| NPin|pin@9||-1.5|-2|1|1|| NPin|pin@10||-0.5|-2|1|1|| NPin|pin@11||-2.5|1|||| NPin|pin@12||-1.5|1|1|1|| Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en] AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@8||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78 Eina||D5G1;|pin@3||I Einb||D5G1;|pin@7||I Eout||D5G1;|pin@0||O X # Cell nand2LTen;1{sch} Cnand2LTen;1{sch}||schematic|1021415734000|1159375713300||ATTR_Delay(D5G1;HNPX-16.5;Y-9;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-10;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-11;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|-3|||| NOff-Page|conn@1||-14|3|||| NOff-Page|conn@2||12.5|0|||| IredFive:nand2LTen;1{ic}|nand2LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2LTen;1{ic}|nand2LTe@1||30.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6.5|3|||| NWire_Pin|pin@1||-6.5|1|||| NWire_Pin|pin@2||-6.5|-1|||| NWire_Pin|pin@3||-6.5|-3|||| Ngeneric:Invisible-Pin|pin@4||31|-10|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2] Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)] Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[nand2LTen] Awire|net@0|||0|nand2LTe@0|ina|-2.5|-1|pin@2||-6.5|-1 Awire|net@1|||1800|nand2LTe@0|out|2.5|0|conn@2|a|10.5|0 Awire|net@2|||1800|pin@1||-6.5|1|nand2LTe@0|inb|-2.5|1 Awire|net@3|||1800|conn@1|y|-12|3|pin@0||-6.5|3 Awire|net@4|||900|pin@0||-6.5|3|pin@1||-6.5|1 Awire|net@5|||900|pin@2||-6.5|-1|pin@3||-6.5|-3 Awire|net@6|||0|pin@3||-6.5|-3|conn@0|y|-12|-3 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.7 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I1 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-1;Y2;)F1.033 X # Cell nand2PH;1{ic} Cnand2PH;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78 NThick-Circle|art@5||-2|1|1|1|||ART_color()I78 NOpened-Thicker-Polygon|art@6||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0] NOpened-Thicker-Polygon|art@7||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5] NPin|pin@0||1.5|0|1|1|R| NPin|pin@1||1|0|||R| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2|| NPin|pin@5||-0.5|2.5|||| NPin|pin@6||-0.5|1.62|1|1|| Ngeneric:Invisible-Pin|pin@7||-0.5|2.5|||| AThicker|net@0|||FS0|pin@0||1.5|0|pin@1||1|0|ART_color()I78 AThicker|net@1|||FS2700|pin@6||-0.5|1.62|pin@5||-0.5|2.5|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@3||I Eout||D5G1;|pin@4||O EresetN||D5G2;|pin@7||I X # Cell nand2PH;1{sch} Cnand2PH;1{sch}||schematic|1021415734000|1159375653663||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||1|-10|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||6|-3|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@1||-5|-3|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10. IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14.5|6|||YRR| NOff-Page|conn@1||19.5|1|||Y| NOff-Page|conn@2||-13.5|6|||| NOff-Page|conn@3||-15|-10|||| NGround|gnd@0||1|-16|||| NGround|gnd@1||-5|-7|||| NGround|gnd@2||6|-7|||| Inand2PH;1{ic}|nand2PH@0||26.75|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|16|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses)] Ngeneric:Invisible-Pin|pin@1||-2|23|||||ART_message(D5G6;)S[nand2PH] Ngeneric:Invisible-Pin|pin@2||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down] NWire_Pin|pin@3||6|1|||| NWire_Pin|pin@4||-5|1|||| NWire_Pin|pin@5||1|1|||| NWire_Pin|pin@6||11|-3|||| NWire_Pin|pin@7||11|6|||| NWire_Pin|pin@8||-10|-3|||| NWire_Pin|pin@9||-10|6|||| NPower|pwr@0||-5|11|||| NPower|pwr@1||6|11|||| Awire|net@0|||2700|NMOSwk@0|s|6|-5|gnd@2||6|-5 Awire|net@1|||900|pin@3||6|1|NMOSwk@0|d|6|-1 Awire|net@2|||0|pin@6||11|-3|NMOSwk@0|g|9|-3 Awire|net@3|||2700|NMOSwk@1|s|-5|-5|gnd@1||-5|-5 Awire|net@4|||900|pin@4||-5|1|NMOSwk@1|d|-5|-1 Awire|net@5|||1800|pin@8||-10|-3|NMOSwk@1|g|-8|-3 Awire|net@6|||900|NMOS@0|s|1|-12|gnd@0||1|-14 Awire|net@7|||900|pin@5||1|1|NMOS@0|d|1|-8 Awire|net@8|||0|NMOS@0|g|-2|-10|conn@3|y|-13|-10 Awire|net@9|||2700|PMOS@0|s|6|8|pwr@1||6|11 Awire|net@10|||1800|PMOS@0|g|9|6|pin@7||11|6 Awire|net@11|||2700|pin@3||6|1|PMOS@0|d|6|4 Awire|net@12|||2700|PMOS@1|s|-5|8|pwr@0||-5|11 Awire|net@13|||0|PMOS@1|g|-8|6|pin@9||-10|6 Awire|net@14|||900|PMOS@1|d|-5|4|pin@4||-5|1 Awire|net@15|||1800|pin@3||6|1|conn@1|a|17.5|1 Awire|net@16|||1800|pin@5||1|1|pin@3||6|1 Awire|net@17|||1800|pin@4||-5|1|pin@5||1|1 Awire|net@18|||1800|pin@7||11|6|conn@0|y|12.5|6 Awire|net@19|||900|pin@7||11|6|pin@6||11|-3 Awire|net@20|||0|pin@9||-10|6|conn@2|y|-11.5|6 Awire|net@21|||900|pin@9||-10|6|pin@8||-10|-3 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.667 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-1.5;)F1.67 EresetN||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333 X # Cell nand2PHfk;1{ic} Cnand2PHfk;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5] NOpened-Thicker-Polygon|art@2||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0] NThick-Circle|art@3||-2|1|1|1|||ART_color()I78 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78 NThick-Circle|art@5||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@6||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@7||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800 Ngeneric:Invisible-Pin|pin@0||1|0.5|||||ART_message(D5G1.5;)S[keep] Ngeneric:Invisible-Pin|pin@1||-0.5|2.5|||| NPin|pin@2||-0.5|1.62|1|1|| NPin|pin@3||-0.5|2.5|||| Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2|| Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|-1|-2|-2|| NPin|pin@7||1|0|||R| NPin|pin@8||1.5|0|1|1|R| AThicker|net@0|||FS2700|pin@2||-0.5|1.62|pin@3||-0.5|2.5|ART_color()I78 AThicker|net@1|||FS0|pin@8||1.5|0|pin@7||1|0|ART_color()I78 Eina||D5G1;|pin@6||I Einb||D5G1;|pin@5||I Eout||D5G1;|pin@4||O EresetN||D5G2;|pin@1||I X # Cell nand2PHfk;1{sch} Cnand2PHfk;1{sch}||schematic|1021415734000|1159378861202||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||1|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|6|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-15|-10|||| NOff-Page|conn@1||-13.5|6|||| NOff-Page|conn@2||25|1|||Y| NOff-Page|conn@3||14.5|6|||YRR| NGround|gnd@0||1|-16|||| IredFive:inv;1{ic}|inv@0||15.5|-4|RRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 IredFive:invK;1{ic}|invK@0||10|-4|YRRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/10.|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2PHfk;1{ic}|nand2PHf@0||27|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||24.5|-6|||||SIM_spice_card(D6G1;)S[.ic v(out) 0] NWire_Pin|pin@1||10|1|||| NWire_Pin|pin@2||15.5|-9|||| NWire_Pin|pin@3||10|-9|||| NWire_Pin|pin@4||15.5|1|||| NWire_Pin|pin@5||1|1|||| NWire_Pin|pin@6||-5|1|||| NWire_Pin|pin@7||6|1|||| Ngeneric:Invisible-Pin|pin@8||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@9||0|26|||||ART_message(D5G6;)S[nand2PHfk] Ngeneric:Invisible-Pin|pin@10||0|19|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses),this version has a full keeper on the output] NPower|pwr@0||6|11|||| NPower|pwr@1||-5|11|||| Awire|net@0|||2700|PMOS@0|s|-5|8|pwr@1||-5|11 Awire|net@1|||1800|conn@1|y|-11.5|6|PMOS@0|g|-8|6 Awire|net@2|||900|PMOS@0|d|-5|4|pin@6||-5|1 Awire|net@3|||2700|PMOS@1|s|6|8|pwr@0||6|11 Awire|net@4|||0|conn@3|y|12.5|6|PMOS@1|g|9|6 Awire|net@5|||2700|pin@7||6|1|PMOS@1|d|6|4 Awire|net@6|||900|NMOS@0|s|1|-12|gnd@0||1|-14 Awire|net@7|||900|pin@5||1|1|NMOS@0|d|1|-8 Awire|net@8|||0|NMOS@0|g|-2|-10|conn@0|y|-13|-10 Awire|net@9|||900|pin@4||15.5|1|inv@0|in|15.5|-1.5 Awire|net@10|||900|inv@0|out|15.5|-6.5|pin@2||15.5|-9 Awire|net@11|||2700|pin@3||10|-9|invK@0|in|10|-6.5 Awire|net@12|||900|pin@1||10|1|invK@0|out|10|-1.5 Awire|net@13|||1800|pin@7||6|1|pin@1||10|1 Awire|net@14|||1800|pin@1||10|1|pin@4||15.5|1 Awire|net@15|||0|pin@2||15.5|-9|pin@3||10|-9 Awire|net@16|||1800|pin@4||15.5|1|conn@2|a|23|1 Awire|net@17|||1800|pin@6||-5|1|pin@5||1|1 Awire|net@18|||1800|pin@5||1|1|pin@7||6|1 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F0.667 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.67 EresetN||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333 X # Cell nand2Schmitt;1{ic} Cnand2Schmitt;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HNPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;HNPX2.5;Y-2;)I1|ATTR_S(D5G1.5;HNOJPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;HNPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;HNPX-30.75;Y-20;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||0|-0.25|0.5|1|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||0|0.25|0.5|1|R||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NThick-Circle|art@4||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NPin|pin@0||0|-0.5|1|1|| NPin|pin@1||-0.5|-0.5|||| NPin|pin@2||0|0.5|||| NPin|pin@3||0.25|0.5|1|1|| NPin|pin@4||2.5|-1.5|1|1|| NPin|pin@5||0.75|-1.5|||| Ngeneric:Invisible-Pin|pin@6||2.5|-1.5|||| NPin|pin@7||-1.5|1|1|1|| NPin|pin@8||-2.5|1|||| NPin|pin@9||-0.5|-2|1|1|| NPin|pin@10||-1.5|-2|1|1|| NPin|pin@11||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@13||-2.5|1|-2|-2|| NPin|pin@14||-0.5|2|1|1|| NPin|pin@15||-2.5|-1|||| NPin|pin@16||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@17||-2.5|-1|-2|-2|| NPin|pin@18||-1.5|-0.75|1|1|| NPin|pin@19||-0.25|-2|1|1|| AThicker|net@0|||FS0|pin@0||0|-0.5|pin@1||-0.5|-0.5|ART_color()I78 AThicker|net@1|||FS0|pin@3||0.25|0.5|pin@2||0|0.5|ART_color()I78 AThicker|net@2|||FS0|pin@4||2.5|-1.5|pin@5||0.75|-1.5|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@8||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@9||-0.5|-2|pin@10||-1.5|-2|ART_color()I78 AThicker|net@5|||FS2700|pin@10||-1.5|-2|pin@11||-1.5|2|ART_color()I78 AThicker|net@6|||FS0|pin@14||-0.5|2|pin@11||-1.5|2|ART_color()I78 AThicker|net@7|||FS0|pin@16||-1.5|-1|pin@15||-2.5|-1|ART_color()I78 AThicker|net@8|||FS3150|pin@19||-0.25|-2|pin@18||-1.5|-0.75|ART_color()I78 EinC||D5G1;|pin@6||I Eina||D5G1;|pin@17||I Einb||D5G1;|pin@13||I Eout||D5G1;|pin@12||O X # Cell nand2Schmitt;1{sch} Cnand2Schmitt;1{sch}||schematic|1021415734000|1159378938131||ATTR_Delay(D5G1;HNPX-27.5;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPX-16.5;Y-5;)I1|ATTR_M(D5G1;HNPX-27.5;Y-10.5;)I1|ATTR_S(D5G1;HNOJPX-27.5;Y-14.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-27.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-27.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPX-27.5;Y-9.5;)I-1|ATTR_verilog_template(D5G1;NTX6;Y-16;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||20|-2.5|||RR| NOff-Page|conn@1||20|11.5|||| NOff-Page|conn@2||-23.5|9|||| NOff-Page|conn@3||-23.5|3|||| Inand2Schmitt;1{ic}|nand2Sch@0||29|22|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;NPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)I1|ATTR_drive0(D5G1;NPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;NPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;NPX-30.75;Y-20;)I-1 IorangeTSMC090nm:NMOSx;1{ic}|nms0@0||-4|6|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1 IorangeTSMC090nm:NMOSx;1{ic}|nms0@1||-9.5|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1 Inms1;1{ic}|nms1@0||9.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 Inms1;1{ic}|nms1@1||0.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 Inms1;1{ic}|nms1@2||-11.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 NWire_Pin|pin@0||-7.5|6|||| NWire_Pin|pin@1||-6|6|||| NWire_Pin|pin@2||-7.5|6|||| NWire_Pin|pin@3||-6|6|||| Ngeneric:Invisible-Pin|pin@4||16.5|-8.5|||||ART_message(D6G2;)S[inC should be tied,to out externally] NWire_Pin|pin@5||14.5|-2.5|||| NWire_Pin|pin@6||14.5|-6.5|||| NWire_Pin|pin@7||14.5|1.5|||| NWire_Pin|pin@8||-2|11.5|||| NWire_Pin|pin@9||-14.5|3|||| NWire_Pin|pin@10||-14.5|15.5|||| NWire_Pin|pin@11||-4.5|-2.5|||| NWire_Pin|pin@12||-4.5|-6.5|||| NWire_Pin|pin@13||-11.5|-2.5|||| NWire_Pin|pin@14||0.5|-2.5|||| NWire_Pin|pin@15||5|-2.5|||| NWire_Pin|pin@16||9.5|-2.5|||| NWire_Pin|pin@17||5|1.5|||| NWire_Pin|pin@18||5|-6.5|||| Ngeneric:Invisible-Pin|pin@19||-1|30.5|||||ART_message(D5G6;)S[nand2Schmitt] Ngeneric:Invisible-Pin|pin@20||-1|25.5|||||ART_message(D5G2;)S[schmitt trigger with enable on pull-down (inb)] Ngeneric:Invisible-Pin|pin@21||-1|23|||||ART_message(D5G2;)S["hysteresis on ina, NOTE connect out to inC externally"] Ipms1;1{ic}|pms1@0||9.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1 Ipms1;1{ic}|pms1@1||0.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1 Ipms1;1{ic}|pms1@2||-2|15.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1 Awire|net@0|||900|pin@3||-6|6|pin@1||-6|6 Awire|net@1|||0|pin@1||-6|6|pin@0||-7.5|6 Awire|net@2|||2700|pin@0||-7.5|6|pin@2||-7.5|6 Awire|net@3|||0|pin@3||-6|6|nms0@0|s|-6|6 Awire|net@4|||2700|nms0@1|d|-7.5|0|pin@2||-7.5|6 Awire|net@5|||1800|pin@8||-2|11.5|conn@1|a|18|11.5 Awire|net@6|||1800|pin@5||14.5|-2.5|conn@0|y|18|-2.5 Awire|net@7|||2700|pin@6||14.5|-6.5|pin@5||14.5|-2.5 Awire|net@8|||2700|pin@5||14.5|-2.5|pin@7||14.5|1.5 Awire|net@9|||1800|nms1@0|g|12.5|-6.5|pin@6||14.5|-6.5 Awire|net@10|||0|pin@7||14.5|1.5|pms1@0|g|12.5|1.5 Awire|net@11|||900|pin@8||-2|11.5|nms0@0|d|-2|6 Awire|net@12|||2700|pin@8||-2|11.5|pms1@2|d|-2|13.5 Awire|net@13|||2700|pin@9||-14.5|3|pin@10||-14.5|15.5 Awire|net@14|||1800|pin@9||-14.5|3|nms0@1|g|-9.5|3 Awire|net@15|||0|pin@9||-14.5|3|conn@3|y|-21.5|3 Awire|net@16|||1800|pin@10||-14.5|15.5|pms1@2|g|-5|15.5 Awire|net@17|||1800|conn@2|y|-21.5|9|nms0@0|g|-4|9 Awire|net@18|||900|pin@11||-4.5|-2.5|pin@12||-4.5|-6.5 Awire|net@19|||1800|pin@13||-11.5|-2.5|pin@11||-4.5|-2.5 Awire|net@20|||1800|pin@11||-4.5|-2.5|pin@14||0.5|-2.5 Awire|net@21|||0|pin@12||-4.5|-6.5|nms1@2|g|-8.5|-6.5 Awire|net@22|||2700|nms1@2|d|-11.5|-4.5|pin@13||-11.5|-2.5 Awire|net@23|||900|nms0@1|s|-11.5|0|pin@13||-11.5|-2.5 Awire|net@24|||2700|nms1@1|d|0.5|-4.5|pin@14||0.5|-2.5 Awire|net@25|||2700|pin@14||0.5|-2.5|pms1@1|d|0.5|-0.5 Awire|net@26|||1800|pin@15||5|-2.5|pin@16||9.5|-2.5 Awire|net@27|||900|pin@17||5|1.5|pin@15||5|-2.5 Awire|net@28|||900|pin@15||5|-2.5|pin@18||5|-6.5 Awire|net@29|||2700|nms1@0|d|9.5|-4.5|pin@16||9.5|-2.5 Awire|net@30|||2700|pin@16||9.5|-2.5|pms1@0|d|9.5|-0.5 Awire|net@31|||1800|pms1@1|g|3.5|1.5|pin@17||5|1.5 Awire|net@32|||0|pin@18||5|-6.5|nms1@1|g|3.5|-6.5 EinC||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)F1.17 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D1.33 X # Cell nand2V;1{ic} Cnand2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4.5;)I100|ATTR_M(D5G1;HNPX3;Y1.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.25;Y-13.75;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-2|1|1|| NPin|pin@1||-1.5|-0.75|1|1|| NPin|pin@2||-1.5|-1|1|1|| NPin|pin@3||-2.5|-1|||| NPin|pin@4||-0.5|2|1|1|| NPin|pin@5||-1.5|2|1|1|| NPin|pin@6||-1.5|-2|1|1|| NPin|pin@7||-0.5|-2|1|1|| NPin|pin@8||-2.5|1|||| NPin|pin@9||-1.5|1|1|1|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@11||I Eout||D5G1;|pin@12||O X # Cell nand2V;1{sch} Cnand2V;1{sch}||schematic|1021415734000|1084377409000||ATTR_Delay(D5G1;HNPX-14.5;Y-8.5;)I100|ATTR_M(D5G1;HNPX-14.5;Y-4.5;)I1|ATTR_WN(D5G1;HNPX-14.5;Y-6.5;)I1|ATTR_WP(D5G1;HNPX-14.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPX-14.5;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14.5;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPX-14.5;Y-7.5;)I-1|ATTR_verilog_template(D5G1;NTX2.5;Y-14.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14|-1|||RR| NOff-Page|conn@1||0|11|||R| NOff-Page|conn@2||-14|-1|||| Inand2V;1{ic}|nand2V@0||17|13|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y1.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;NPX-16.25;Y-13.75;)I-1 Inms2;1{ic}|nms2@0||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M Ngeneric:Invisible-Pin|pin@0||1|18|||||ART_message(D5G2;)S[variable ratio] Ngeneric:Invisible-Pin|pin@1||13.5|-11.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"] NWire_Pin|pin@2||9|-1|||| NWire_Pin|pin@3||-9|-1|||| NWire_Pin|pin@4||-9|-7|||| NWire_Pin|pin@5||-9|4|||| NWire_Pin|pin@6||9|-3|||| NWire_Pin|pin@7||0|0|||| NWire_Pin|pin@8||-5|0|||| NWire_Pin|pin@9||5|0|||| NWire_Pin|pin@10||9|4|||| Ngeneric:Invisible-Pin|pin@11||1|20|||||ART_message(D5G2;)S[two-parameter NAND] Ngeneric:Invisible-Pin|pin@12||1|24|||||ART_message(D5G6;)S[nand2V] Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su Awire|net@0|||900|pin@2||9|-1|pin@6||9|-3 Awire|net@1|||900|conn@1|a|0|9|pin@7||0|0 Awire|net@2|||1800|pin@2||9|-1|conn@0|y|12|-1 Awire|net@3|||2700|pin@2||9|-1|pin@10||9|4 Awire|net@4|||0|pin@3||-9|-1|conn@2|y|-12|-1 Awire|net@5|||900|pin@5||-9|4|pin@3||-9|-1 Awire|net@6|||900|pin@3||-9|-1|pin@4||-9|-7 Awire|net@7|||1800|nms2@0|g2|3|-3|pin@6||9|-3 Awire|net@8|||1800|pin@4||-9|-7|nms2@0|g|-3|-7 Awire|net@9|||1800|pms1@1|g|8|4|pin@10||9|4 Awire|net@10|||900|pin@7||0|0|nms2@0|d|0|-1 Awire|net@11|||0|pin@9||5|0|pin@7||0|0 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2 Awire|net@13|||1800|pin@5||-9|4|pms1@0|g|-8|4 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0 Eina||D5G2;|conn@2|a|I Einb||D5G2;|conn@0|a|I Eout||D5G2;|conn@1|y|O X # Cell nand2V_sy;1{ic} Cnand2V_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-5.5;)I100|ATTR_M(D5G1;HNPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX1;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19.5;Y-10.25;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5] NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-1.5|-1|1|1|| NPin|pin@1||-2.5|-1|||| NPin|pin@2||-0.5|2|1|1|| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-0.5|-2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2|| AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@8||I Einb||D5G1;|pin@9||I Eout||D5G1;|pin@10||O X # Cell nand2V_sy;1{sch} Cnand2V_sy;1{sch}||schematic|1021415734000|1084377390000||ATTR_Delay(D5G1;HNPX-17.5;Y-7;)I100|ATTR_M(D5G1;HNPX-17.5;Y-3;)I1|ATTR_WN(D5G1;HNPX-17.5;Y-5;)I1|ATTR_WP(D5G1;HNPX-17.5;Y-4;)I1|ATTR_drive0(D5G1;HNPX-17.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPX-17.5;Y-9;)Sstrong1|ATTR_su(D5G1;HNPX-17.5;Y-6;)I-1|ATTR_verilog_template(D5G1;NTX2;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14|-1|||RR| NOff-Page|conn@1||0|11|||R| NOff-Page|conn@2||-14|-1|||| Inand2V_sy;1{ic}|nand2V_s@0||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-5.5;)I100|ATTR_M(D5G1;NPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19.5;Y-10.25;)I-1 Inms2_sy;3{ic}|nms2_sy@0||0|-8.25|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(OJP)S@su Ngeneric:Invisible-Pin|pin@0||-1.5|16|||||ART_message(D5G2;)S[P to N ratio is variable] Ngeneric:Invisible-Pin|pin@1||14.5|-14.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"] NWire_Pin|pin@2||-9|-8.25|||| NWire_Pin|pin@3||9|-4.25|||| NWire_Pin|pin@4||9|-1|||| NWire_Pin|pin@5||-9|-1|||| NWire_Pin|pin@6||-9|4|||| NWire_Pin|pin@7||0|0|||| NWire_Pin|pin@8||-5|0|||| NWire_Pin|pin@9||5|0|||| NWire_Pin|pin@10||9|4|||| Ngeneric:Invisible-Pin|pin@11||-2|18|||||ART_message(D5G2;)S[symetric two-parameter NAND] Ngeneric:Invisible-Pin|pin@12||-2|23|||||ART_message(D5G6;)S[nand2V_sy] Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su Awire|net@0|||900|pin@4||9|-1|pin@3||9|-4.25 Awire|net@1|||0|pin@3||9|-4.25|nms2_sy@0|g2|3|-4.25 Awire|net@2|||900|pin@7||0|0|nms2_sy@0|d|0|-2.25 Awire|net@3|||1800|pin@2||-9|-8.25|nms2_sy@0|g|-3|-8.25 Awire|net@4|||900|pin@5||-9|-1|pin@2||-9|-8.25 Awire|net@5|||900|conn@1|a|0|9|pin@7||0|0 Awire|net@6|||2700|pin@4||9|-1|pin@10||9|4 Awire|net@7|||0|pin@5||-9|-1|conn@2|y|-12|-1 Awire|net@8|||1800|pin@4||9|-1|conn@0|y|12|-1 Awire|net@9|||900|pin@6||-9|4|pin@5||-9|-1 Awire|net@10|||1800|pms1@1|g|8|4|pin@10||9|4 Awire|net@11|||0|pin@9||5|0|pin@7||0|0 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2 Awire|net@13|||1800|pin@6||-9|4|pms1@0|g|-8|4 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0 Eina||D5G2;|conn@2|a|I Einb||D5G2;|conn@0|a|I Eout||D5G2;|conn@1|y|O X # Cell nand2Vn;1{ic} Cnand2Vn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5.5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19;Y-4;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5] NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78 NPin|pin@0||-0.5|-1.75|1|1|| NPin|pin@1||-1|-1.25|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-2|-1|1|1|| NPin|pin@4||-2.5|-1|||| Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| NPin|pin@7||-2.5|1|||| NPin|pin@8||-2|1|1|1|| NPin|pin@9||1.5|0|1|1|| NPin|pin@10||2.5|0|||| AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78 AThicker|net@2|||FS0|pin@3||-2|-1|pin@4||-2.5|-1|ART_color()I78 AThicker|net@3|||FS0|pin@8||-2|1|pin@7||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@10||2.5|0|pin@9||1.5|0|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@5||I Eout||D5G1;|pin@6||O X # Cell nand2Vn;1{sch} Cnand2Vn;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-14;Y1.5;)I100|ATTR_M(D5G1;HNPX-14;Y5.5;)I1|ATTR_WN(D5G1;HNPX-14;Y3.5;)I1|ATTR_WP(D5G1;HNPX-14;Y4.5;)I1|ATTR_drive0(D5G1;HNPX-14;Y0.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14;Y-0.5;)Sstrong1|ATTR_su(D5G1;HNPX-14;Y2.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-6|-9|||YRRR| NOff-Page|conn@1||8|0|||| NOff-Page|conn@2||-6|8|||YR| Inand2V;1{ic}|nand2V@0||1|0|||D0G4;|ATTR_Delay(D5G1;NOJPX1.5;Y-4.5;)S@Delay|ATTR_M(D5G1;NOJPX3;Y1.5;)S@M|ATTR_WN(D5G1.5;NOJPX0.5;Y-3.5;)S@WN|ATTR_WP(D5G1.5;NOJPX0.5;Y3;)S@WP|ATTR_drive0(D5G1;NOJPX2;Y-5.5;)S@drive0|ATTR_drive1(D5G1;NOJPX2;Y-6.5;)S@drive1|ATTR_su(D5G1;NOJPX-16.25;Y-13.75;)S@su Inand2Vn;1{ic}|nand2Vn@0||14.5|11|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5.5;)I100|ATTR_M(D5G1;NPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19;Y-4;)I-1 NWire_Pin|pin@0||-6|-1|||| NWire_Pin|pin@1||-6|1|||| Ngeneric:Invisible-Pin|pin@2||1|24|||||ART_message(D5G6;)S[nand2Vn] Ngeneric:Invisible-Pin|pin@3||1|20|||||ART_message(D5G2;)S[duplicate icon for nand2V] NWire_Pin|pin@4||9|-5|||| NWire_Pin|pin@5||9|-5|||| Awire|net@0|||0|conn@1|a|6|0|nand2V@0|out|3.5|0 Awire|net@1|||1800|pin@0||-6|-1|nand2V@0|ina|-1.5|-1 Awire|net@2|||1800|pin@1||-6|1|nand2V@0|inb|-1.5|1 Awire|net@3|||900|pin@0||-6|-1|conn@0|y|-6|-7 Awire|net@4|||2700|pin@1||-6|1|conn@2|y|-6|6 Awire|net@5|||2700|pin@5||9|-5|pin@4||9|-5 Eina||D5G2;|conn@0|a|I Einb||D5G2;|conn@2|a|I Eout||D5G2;|conn@1|y|O X # Cell nand2Vn_sy;1{ic} Cnand2Vn_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1;Y-5;)I100|ATTR_M(D5G1;HNPX1;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-21.75;Y-2.5;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5] NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78 NPin|pin@0||-1|-1.25|1|1|| NPin|pin@1||2.5|0|||| NPin|pin@2||1.5|0|1|1|| NPin|pin@3||-2|1|1|1|| NPin|pin@4||-2.5|1|||| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| NPin|pin@7||-2.5|-1|||| NPin|pin@8||-2|-1|1|1|| Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2|| AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78 AThicker|net@1|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I78 AThicker|net@2|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I78 AThicker|net@3|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@9||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@5||O X # Cell nand2Vn_sy;1{sch} Cnand2Vn_sy;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-16.5;Y3.5;)I100|ATTR_M(D5G1;HNPX-16.5;Y7.5;)I1|ATTR_WN(D5G1;HNPX-16.5;Y5.5;)I1|ATTR_WP(D5G1;HNPX-16.5;Y6.5;)I1|ATTR_drive0(D5G1;HNPX-16.5;Y2.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-16.5;Y1.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.5;Y4.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-6|-7|||R| NOff-Page|conn@1||9|0|||| NOff-Page|conn@2||-6|7|||RRR| Inand2V_sy;1{ic}|nand2V_s@0||1|0|||D0G4;|ATTR_Delay(D5G1;OJP)S@Delay|ATTR_M(D5G1;OJP)S@M|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;OJP)S@drive0|ATTR_drive1(D5G1;OJP)S@drive1|ATTR_su(D5G1;NOJPX-19.5;Y-10.25;)S@su Inand2Vn_sy;1{ic}|nand2Vn_@0||15|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1;Y-5;)I100|ATTR_M(D5G1;NPX1;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-21.75;Y-2.5;)I-1 NWire_Pin|pin@0||-6|1|||| NWire_Pin|pin@1||-6|-1|||| Ngeneric:Invisible-Pin|pin@2||-2|23|||||ART_message(D5G6;)S[nand2Vn_sy] Ngeneric:Invisible-Pin|pin@3||-2|18|||||ART_message(D5G2;)S[duplicate icon for nand2V_sy] Awire|net@0|||0|conn@1|a|7|0|nand2V_s@0|out|3.5|0 Awire|net@1|||1800|pin@1||-6|-1|nand2V_s@0|ina|-1.5|-1 Awire|net@2|||1800|pin@0||-6|1|nand2V_s@0|inb|-1.5|1 Awire|net@3|||2700|pin@0||-6|1|conn@2|y|-6|5 Awire|net@4|||900|pin@1||-6|-1|conn@0|y|-6|-5 Eina||D5G2;|conn@0|a|I Einb||D5G2;|conn@2|a|I Eout||D5G2;|conn@1|y|O X # Cell nand2_sy;1{ic} Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NPin|pin@0||-1.5|-1|1|1|| NPin|pin@1||-2.5|-1|||| NPin|pin@2||-0.5|2|1|1|| NPin|pin@3||-1.5|2|1|1|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-0.5|-2|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1.5|1|1|1|| Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@9||I Eout||D5G1;|pin@8||O X # Cell nand2_sy;1{sch} Cnand2_sy;1{sch}||schematic|1021415734000|1159375680290||ATTR_Delay(D5G1;HNPX-16.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-7.5;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14.5|2.5|||| NOff-Page|conn@1||11.5|0|||Y| NOff-Page|conn@2||-14.5|-2.5|||| IredFive:nand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2_sy;1{ic}|nand2_sy@1||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-7.5|-1|||| NWire_Pin|pin@1||-7.5|-2.5|||| NWire_Pin|pin@2||-7.5|1|||| NWire_Pin|pin@3||-7.5|2.5|||| Ngeneric:Invisible-Pin|pin@4||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@5||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1] Ngeneric:Invisible-Pin|pin@6||-2|23|||||ART_message(D5G6;)S[nand2_sy] Ngeneric:Invisible-Pin|pin@7||-2|18|||||ART_message(D5G2;)S[symetric one-parameter NAND] Awire|net@0|||0|nand2_sy@0|ina|-2.5|-1|pin@0||-7.5|-1 Awire|net@1|||1800|nand2_sy@0|out|2.5|0|conn@1|a|9.5|0 Awire|net@2|||0|nand2_sy@0|inb|-2.5|1|pin@2||-7.5|1 Awire|net@3|||900|pin@0||-7.5|-1|pin@1||-7.5|-2.5 Awire|net@4|||0|pin@1||-7.5|-2.5|conn@2|y|-12.5|-2.5 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5 Awire|net@6|||0|pin@3||-7.5|2.5|conn@0|y|-12.5|2.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F1.33 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y-2.5;)I2 X # Cell nand2en;1{ic} Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en] NPin|pin@1||-1.5|1|1|1|| NPin|pin@2||-2.5|1|||| NPin|pin@3||-0.5|-2|1|1|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2|| NPin|pin@8||-0.5|2|1|1|| NPin|pin@9||-2.5|-1|||| NPin|pin@10||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2|| NPin|pin@12||-1.5|-0.75|1|1|| NPin|pin@13||-0.25|-2|1|1|| AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I78 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I78 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I78 Eina||D5G1;|pin@11||I Einb||D5G1;|pin@7||I Eout||D5G1;|pin@6||O X # Cell nand2en;1{sch} Cnand2en;1{sch}||schematic|1021415734000|1159375684491||ATTR_Delay(D5G1;HNPX-16.5;Y-10;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||13|0|||Y| NOff-Page|conn@1||-14|2.5|||| NOff-Page|conn@2||-13.5|-3|||| IredFive:nand2en;1{ic}|nand2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2en;1{ic}|nand2en@1||26.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6|-1|||Y| NWire_Pin|pin@1||-6|-3|||Y| NWire_Pin|pin@2||-6|2.5|||Y| NWire_Pin|pin@3||-6|1|||Y| Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en] Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where inb is DC signal (enable)] Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1] Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down] Awire|net@0|||1800|pin@0||-6|-1|nand2en@0|ina|-2.5|-1 Awire|net@1|||1800|nand2en@0|out|2.5|0|conn@0|a|11|0 Awire|net@2|||1800|pin@3||-6|1|nand2en@0|inb|-2.5|1 Awire|net@3|||900|pin@0||-6|-1|pin@1||-6|-3 Awire|net@4|||0|pin@1||-6|-3|conn@2|y|-11.5|-3 Awire|net@5|||1800|conn@1|y|-12|2.5|pin@2||-6|2.5 Awire|net@6|||900|pin@2||-6|2.5|pin@3||-6|1 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F0.733 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)F1.4 X # Cell nand2k;1{ic} Cnand2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NPin|pin@0||-1.5|1|1|1|| NPin|pin@1||-2.5|1|||| NPin|pin@2||-0.5|-2|1|1|| NPin|pin@3||-1.5|-2|1|1|| NPin|pin@4||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| NPin|pin@7||-0.5|2|1|1|| NPin|pin@8||-2.5|-1|||| NPin|pin@9||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| NPin|pin@11||-1.5|-0.75|1|1|| NPin|pin@12||-0.25|-2|1|1|| AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@5||O X # Cell nand2k;1{sch} Cnand2k;1{sch}||schematic|1021415734000|1159379778053||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEKEEPER(D5G1;HNPX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sweak0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sweak1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||10|0|||Y| NOff-Page|conn@1||-14.5|2.5|||| NOff-Page|conn@2||-14.5|-2.5|||| IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand2k;1{ic}|nand2k@0||18.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[nand2k] Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1] Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down] NWire_Pin|pin@4||-7|-2.5|||| NWire_Pin|pin@5||-7|-1|||| NWire_Pin|pin@6||-7|1|||| NWire_Pin|pin@7||-7|2.5|||| Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@5||-7|-1 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@0|a|8|0 Awire|net@2|||1800|pin@6||-7|1|nand2@0|inb|-2.5|1 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NOJY2;)S2 X # Cell nand2n;1{ic} Cnand2n;1{ic}||artwork|1021415734000|1231281526605|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78 NPin|pin@0||-0.5|-1.75|1|1|| Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2|| NPin|pin@4||-1|-1.25|1|1|| NPin|pin@5||-2|1|1|1|| NPin|pin@6||-2.5|1|||| NPin|pin@7||1.5|0|1|1|| NPin|pin@8||2.5|0|||| NPin|pin@9||-2.5|-1|||| NPin|pin@10||-2|-1|1|1|| AThicker|net@0|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78 AThicker|net@2|||FS0|pin@5||-2|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@3|||FS0|pin@8||2.5|0|pin@7||1.5|0|ART_color()I78 AThicker|net@4|||FS0|pin@10||-2|-1|pin@9||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@3||I Einb||D5G1;|pin@2||I Eout||D5G1;|pin@1||O X # Cell nand2n;1{sch} Cnand2n;1{sch}||schematic|1021415734000|1231281554613||ATTR_Delay(D5G1;HNPX-19;Y-0.5;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-19;Y-1.5;)I-1|ATTR_X(D5G1;HNOJPX-19;Y0.75;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-19;Y-2.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-19;Y-3.5;)Sstrong1|ATTR_su(D5G1;HNPTX-19;Y-4.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-7|-9|||R| NOff-Page|conn@1||10|0|||| NOff-Page|conn@2||-7|8|||RRR| IredFive:nand2;1{ic}|nand2|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand2n;1{ic}|nand2n@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-7|-1|||| NWire_Pin|pin@1||-7|1|||| Ngeneric:Invisible-Pin|pin@2||-10|21|||||ART_message(D5G6;)S[nand2n] Ngeneric:Invisible-Pin|pin@3||-11|16|||||ART_message(D5G2;)S[duplicate icon for nand2] Awire|net@0|||0|nand2|ina|-1|-1|pin@0||-7|-1 Awire|net@1|||0|conn@1|a|8|0|nand2|out|4|0 Awire|net@2|||0|nand2|inb|-1|1|pin@1||-7|1 Awire|net@3|||900|pin@0||-7|-1|conn@0|y|-7|-7 Awire|net@4|||2700|pin@1||-7|1|conn@2|y|-7|6 Eina||D5G2;|conn@0|a|I Einb||D5G2;|conn@2|a|I Eout||D5G2;|conn@1|y|O X # Cell nand2n_sy;1{ic} Cnand2n_sy;1{ic}||artwork|1021415734000|1231281686791|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78 NPin|pin@0||-1|-1.25|1|1|| NPin|pin@1||-2|-1|1|1|| NPin|pin@2||-2.5|-1|||| NPin|pin@3||2.5|0|||| NPin|pin@4||1.5|0|1|1|| NPin|pin@5||-2.5|1|||| NPin|pin@6||-2|1|1|1|| Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78 AThicker|net@1|||FS0|pin@1||-2|-1|pin@2||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I78 AThicker|net@3|||FS0|pin@6||-2|1|pin@5||-2.5|1|ART_color()I78 Eina||D5G1;|pin@7||I Einb||D5G1;|pin@8||I Eout||D5G1;|pin@9||O X # Cell nand2n_sy;1{sch} Cnand2n_sy;1{sch}||schematic|1021415734000|1231281686791||ATTR_Delay(D5G1;HNPX-20;Y4;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-20;Y3;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y2;)Sstrong1|ATTR_su(D5G1;HNPTX-20;Y1;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-6|8|||RRR| NOff-Page|conn@1||10|0|||| NOff-Page|conn@2||-6|-10|||R| IredFive:nand2_sy;1{ic}|nand2_sy|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-6|1|||| NWire_Pin|pin@1||-6|-1|||| Ngeneric:Invisible-Pin|pin@2||-11|16|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy] Ngeneric:Invisible-Pin|pin@3||-10|21|||||ART_message(D5G6;)S[nand2n_sy] Awire|net@0|||0|nand2_sy|inb|-1|1|pin@0||-6|1 Awire|net@1|||2700|pin@0||-6|1|conn@0|y|-6|6 Awire|net@2|||0|nand2_sy|ina|-1|-1|pin@1||-6|-1 Awire|net@3|||900|pin@1||-6|-1|conn@2|y|-6|-8 Awire|net@4|||0|conn@1|a|8|0|nand2_sy|out|4|0 Eina||D5G2;|conn@2|a|I Einb||D5G2;|conn@0|a|I Eout||D5G2;|conn@1|y|O X # Cell nand3;1{ic} Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NPin|pin@0||-2.5|2|||| NPin|pin@1||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@2||-2.5|2|||| NPin|pin@3||-1.5|0|1|1|| NPin|pin@4||-2.5|0|||| NPin|pin@5||-0.5|-3|1|1|| NPin|pin@6||-1.5|-3|1|1|| NPin|pin@7||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2|| NPin|pin@10||-0.5|3|1|1|| NPin|pin@11||-2.5|-2|||| NPin|pin@12||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2|| NPin|pin@14||-1.5|-1.75|1|1|| NPin|pin@15||-0.25|-3|1|1|| AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I78 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I78 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I78 Eina||D5G1;|pin@13||I Einb||D5G1;|pin@9||I Einc||D5G1;|pin@2||I Eout||D5G1;|pin@8||O X # Cell nand3;1{sch} Cnand3;1{sch}||schematic|1021415734000|1159379591711||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-18|2|||| NOff-Page|conn@1||15.5|0|||Y| NOff-Page|conn@2||-9|0|||Y| NOff-Page|conn@3||-23.5|-2|||| IredFive:nand3;1{ic}|nand3@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3;1{ic}|nand3@1||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@1||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3] Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S["three input, fixed-size NAND"] Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3] Awire|net@0|||0|nand3@0|inc|-2.5|2|conn@0|y|-16|2 Awire|net@1|||0|nand3@0|ina|-2.5|-2|conn@3|y|-21.5|-2 Awire|net@2|||1800|nand3@0|out|2.5|0|conn@1|a|13.5|0 Awire|net@3|||0|nand3@0|inb|-2.5|0|conn@2|y|-7|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.67 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I3 X # Cell nand3LT;1{ic} Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-3|1|1|| NPin|pin@1||-1.5|-1.75|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2|| NPin|pin@3||-1.5|-2|1|1|| NPin|pin@4||-2.5|-2|||| NPin|pin@5||-0.5|3|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| NPin|pin@8||-1.5|3|1|1|| NPin|pin@9||-1.5|-3|1|1|| NPin|pin@10||-0.5|-3|1|1|| NPin|pin@11||-2.5|0|||| NPin|pin@12||-1.5|0|1|1|| Ngeneric:Invisible-Pin|pin@13||-2.5|2|||| NPin|pin@14||-1.5|2|1|1|| NPin|pin@15||-2.5|2|||| AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@6||I Einc||D5G1;|pin@13||I Eout||D5G1;|pin@7||O X # Cell nand3LT;1{sch} Cnand3LT;1{sch}||schematic|1021415734000|1159376000942||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-22.5|-2|||| NOff-Page|conn@1||-11|0|||Y| NOff-Page|conn@2||12.5|0|||Y| NOff-Page|conn@3||-19.5|2|||| IredFive:nand3LT;1{ic}|nand3LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3LT;1{ic}|nand3LT@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together] Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3] Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LT] Awire|net@0|||0|nand3LT@0|inc|-2.5|2|conn@3|y|-17.5|2 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LT@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LT@0|out|2.5|0|conn@2|a|10.5|0 Awire|net@3|||0|nand3LT@0|inb|-2.5|0|conn@1|y|-9|0 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.22 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.22 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F1.67 X # Cell nand3LT_sy3;1{ic} Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)S[sy3] NPin|pin@1||-2.5|2|||| NPin|pin@2||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@3||-2.5|2|||| NPin|pin@4||-1.5|0|1|1|| NPin|pin@5||-2.5|0|||| NPin|pin@6||-0.5|-3|1|1|| NPin|pin@7||-1.5|-3|1|1|| NPin|pin@8||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2|| NPin|pin@11||-0.5|3|1|1|| NPin|pin@12||-2.5|-2|||| NPin|pin@13||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2|| AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78 Eina||D5G1;|pin@14||I Einb||D5G1;|pin@10||I Einc||D5G1;|pin@3||I Eout||D5G1;|pin@9||O X # Cell nand3LT_sy3;1{sch} Cnand3LT_sy3;1{sch}||schematic|1021415734000|1159376011909||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-19.5|2|||| NOff-Page|conn@1||12.5|0|||Y| NOff-Page|conn@2||-11|0|||Y| NOff-Page|conn@3||-22.5|-2|||| IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3LT_sy3;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3] Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3] Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together] Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67 X # Cell nand3LT_sy6;1{ic} Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6 NPin|pin@1||-2.5|2|||| NPin|pin@2||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@3||-2.5|2|||| NPin|pin@4||-1.5|0|1|1|| NPin|pin@5||-2.5|0|||| NPin|pin@6||-0.5|-3|1|1|| NPin|pin@7||-1.5|-3|1|1|| NPin|pin@8||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2|| NPin|pin@11||-0.5|3|1|1|| NPin|pin@12||-2.5|-2|||| NPin|pin@13||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2|| AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@14||I Einb||D5G1;|pin@10||I Einc||D5G1;|pin@3||I Eout||D5G1;|pin@9||O X # Cell nand3LT_sy6;1{sch} Cnand3LT_sy6;1{sch}||schematic|1021415734000|1159379295448||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-19.5|2|||| NOff-Page|conn@1||12.5|0|||Y| NOff-Page|conn@2||-11|0|||Y| NOff-Page|conn@3||-22.5|-2|||| IredFive:nand3LT_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3LT_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3] Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together] Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67 X # Cell nand3LTen;1{ic} Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en] NPin|pin@1||-2.5|2|||| NPin|pin@2||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@3||-2.5|2|||| NPin|pin@4||-1.5|0|1|1|| NPin|pin@5||-2.5|0|||| NPin|pin@6||-0.5|-3|1|1|| NPin|pin@7||-1.5|-3|1|1|| NPin|pin@8||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2|| NPin|pin@11||-0.5|3|1|1|| NPin|pin@12||-2.5|-2|||| NPin|pin@13||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2|| NPin|pin@15||-1.5|-1.75|1|1|| NPin|pin@16||-0.25|-3|1|1|| AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78 Eina||D5G1;|pin@14||I Einb||D5G1;|pin@10||I Einc||D5G1;|pin@3||I Eout||D5G1;|pin@9||O X # Cell nand3LTen;1{sch} Cnand3LTen;1{sch}||schematic|1021415734000|1159376004533||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-19.5|2|||| NOff-Page|conn@1||12.5|0|||Y| NOff-Page|conn@2||-11|0|||Y| NOff-Page|conn@3||-22.5|-2|||| IredFive:nand3LTen;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3LTen;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LTen] Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable)] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3] Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together] Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@0|y|-17.5|2 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@1|a|10.5|0 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@2|y|-9|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.033 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y-2.5;)I2 X # Cell nand3LTen_sy;1{ic} Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@3||2|0|1|1|||ART_color()I78 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2] NPin|pin@1||-0.25|-3|1|1|| NPin|pin@2||-1.5|-1.75|1|1|| Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-2.5|-2|||| NPin|pin@6||-0.5|3|1|1|| Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2|| NPin|pin@9||-1.5|3|1|1|| NPin|pin@10||-1.5|-3|1|1|| NPin|pin@11||-0.5|-3|1|1|| NPin|pin@12||-2.5|0|||| NPin|pin@13||-1.5|0|1|1|| Ngeneric:Invisible-Pin|pin@14||-2.5|2|||| NPin|pin@15||-1.5|2|1|1|| NPin|pin@16||-2.5|2|||| Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en] AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78 Eina||D5G1;|pin@3||I Einb||D5G1;|pin@7||I Einc||D5G1;|pin@14||I Eout||D5G1;|pin@8||O X # Cell nand3LTen_sy;1{sch} Cnand3LTen_sy;1{sch}||schematic|1021415734000|1159376008564||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-22.5|-2|||| NOff-Page|conn@1||-11|0|||Y| NOff-Page|conn@2||12.5|0|||Y| NOff-Page|conn@3||-19.5|2|||| IredFive:nand3LTen_sy;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3LTen_sy;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together] Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3] Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric] Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy] Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@3|y|-17.5|2 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@2|a|10.5|0 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@1|y|-9|0 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.033 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2 X # Cell nand3MLT;1{ic} Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I78|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5] NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5] NThick-Circle|art@3||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@4||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.25|-3|1|1|| NPin|pin@1||-1.5|-1.75|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2|| NPin|pin@3||-1.5|-2|1|1|| NPin|pin@4||-2.5|-2|||| NPin|pin@5||-0.5|3|1|1|| Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| NPin|pin@8||-1.5|3|1|1|| NPin|pin@9||-1.5|-3|1|1|| NPin|pin@10||-0.5|-3|1|1|| NPin|pin@11||-2.5|0|||| NPin|pin@12||-1.5|0|1|1|| Ngeneric:Invisible-Pin|pin@13||-2.5|2|||| NPin|pin@14||-1.5|2|1|1|| NPin|pin@15||-2.5|2|||| AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@6||I Einc||D5G1;|pin@13||I Eout||D5G1;|pin@7||O X # Cell nand3MLT;1{sch} Cnand3MLT;1{sch}||schematic|1021415734000|1159375997206||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-16;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-21|-2|||Y| NOff-Page|conn@1||-9|0|||Y| NOff-Page|conn@2||13.5|0|||Y| NOff-Page|conn@3||-17.5|2|||| IredFive:nand3MLT;1{ic}|nand3MLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3MLT;1{ic}|nand3MLT@1||30|17|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||1|11|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together] Ngeneric:Invisible-Pin|pin@1||23.5|-10|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@2||-0.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3] Ngeneric:Invisible-Pin|pin@3||-0.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@4||-0.5|20.5|||||ART_message(D5G6;)S[nand3LT] Awire|net@0|||0|nand3MLT@0|inc|-2.5|2|conn@3|y|-15.5|2 Awire|net@1|||0|nand3MLT@0|ina|-2.5|-2|conn@0|y|-19|-2 Awire|net@2|||1800|nand3MLT@0|out|2.5|0|conn@2|a|11.5|0 Awire|net@3|||0|nand3MLT@0|inb|-2.5|0|conn@1|y|-7|0 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2 X # Cell nand3_sy6;1{ic} Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6 NPin|pin@1||-2.5|2|||| NPin|pin@2||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@3||-2.5|2|||| NPin|pin@4||-1.5|0|1|1|| NPin|pin@5||-2.5|0|||| NPin|pin@6||-0.5|-3|1|1|| NPin|pin@7||-1.5|-3|1|1|| NPin|pin@8||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2|| NPin|pin@11||-0.5|3|1|1|| NPin|pin@12||-2.5|-2|||| NPin|pin@13||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2|| AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78 Eina||D5G1;|pin@14||I Einb||D5G1;|pin@10||I Einc||D5G1;|pin@3||I Eout||D5G1;|pin@9||O X # Cell nand3_sy6;1{sch} Cnand3_sy6;1{sch}||schematic|1021415734000|1159379441239||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-19.5|2|||| NOff-Page|conn@1||12.5|0|||Y| NOff-Page|conn@2||-11|0|||Y| NOff-Page|conn@3||-22.5|-2|||| IredFive:nand3_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3_sy6 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND] Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)S1.67 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)S1.67 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)S1.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)S3 X # Cell nand3en;1{ic} Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en] NPin|pin@1||-0.25|-3|1|1|| NPin|pin@2||-1.5|-1.75|1|1|| Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2|| NPin|pin@4||-1.5|-2|1|1|| NPin|pin@5||-2.5|-2|||| NPin|pin@6||-0.5|3|1|1|| Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2|| NPin|pin@9||-1.5|3|1|1|| NPin|pin@10||-1.5|-3|1|1|| NPin|pin@11||-0.5|-3|1|1|| NPin|pin@12||-2.5|0|||| NPin|pin@13||-1.5|0|1|1|| Ngeneric:Invisible-Pin|pin@14||-2.5|2|||| NPin|pin@15||-1.5|2|1|1|| NPin|pin@16||-2.5|2|||| AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78 Eina||D5G1;|pin@3||I Einb||D5G1;|pin@7||I Einc||D5G1;|pin@14||I Eout||D5G1;|pin@8||O X # Cell nand3en;1{sch} Cnand3en;1{sch}||schematic|1021415734000|1159375988991||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-23.5|-2|||| NOff-Page|conn@1||-9|0|||Y| NOff-Page|conn@2||15.5|0|||Y| NOff-Page|conn@3||-18|2|||| IredFive:nand3en;1{ic}|nand3en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3en;1{ic}|nand3en@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"] Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3en] Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3] Ngeneric:Invisible-Pin|pin@3||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down] Awire|net@0|||0|nand3en@0|inc|-2.5|2|conn@3|y|-16|2 Awire|net@1|||0|nand3en@0|ina|-2.5|-2|conn@0|y|-21.5|-2 Awire|net@2|||1800|nand3en@0|out|2.5|0|conn@2|a|13.5|0 Awire|net@3|||0|nand3en@0|inb|-2.5|0|conn@1|y|-7|0 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.067 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.67 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F2.33 X # Cell nand3en_sy;1{ic} Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2] NPin|pin@1||-2.5|2|||| NPin|pin@2||-1.5|2|1|1|| Ngeneric:Invisible-Pin|pin@3||-2.5|2|||| NPin|pin@4||-1.5|0|1|1|| NPin|pin@5||-2.5|0|||| NPin|pin@6||-0.5|-3|1|1|| NPin|pin@7||-1.5|-3|1|1|| NPin|pin@8||-1.5|3|1|1|| Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2|| NPin|pin@11||-0.5|3|1|1|| NPin|pin@12||-2.5|-2|||| NPin|pin@13||-1.5|-2|1|1|| Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2|| NPin|pin@15||-1.5|-1.75|1|1|| NPin|pin@16||-0.25|-3|1|1|| Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en] AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78 Eina||D5G1;|pin@14||I Einb||D5G1;|pin@10||I Einc||D5G1;|pin@3||I Eout||D5G1;|pin@9||O X # Cell nand3en_sy;1{sch} Cnand3en_sy;1{sch}||schematic|1021415734000|1159375992880||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-18|2|||| NOff-Page|conn@1||15.5|0|||Y| NOff-Page|conn@2||-9|0|||Y| NOff-Page|conn@3||-23.5|-2|||| IredFive:nand3en_sy;1{ic}|nand3en_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inand3en_sy;1{ic}|nand3en_@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-0.5|19.5|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"] Ngeneric:Invisible-Pin|pin@1||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down] Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3] Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3en_sy] Awire|net@0|||0|nand3en_@0|inc|-2.5|2|conn@0|y|-16|2 Awire|net@1|||0|nand3en_@0|ina|-2.5|-2|conn@3|y|-21.5|-2 Awire|net@2|||1800|nand3en_@0|out|2.5|0|conn@1|a|13.5|0 Awire|net@3|||0|nand3en_@0|inb|-2.5|0|conn@2|y|-7|0 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.067 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F2.33 X # Cell nms1;1{ic} Cnms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||0|2|||| NPin|pin@1||0|1|1|1|| NPin|pin@2||-0.75|1|1|1|| NPin|pin@3||-0.75|-1|1|1|| NPin|pin@4||0|-1|1|1|| NPin|pin@5||-1.5|-1|1|1|| NPin|pin@6||-1.5|1|1|1|| NPin|pin@7||-1|-2|1|1|| NPin|pin@8||0|-3|||| NPin|pin@9||1|-2|||| NPin|pin@10||0|-2|1|1|| Nschematic:Bus_Pin|pin@11||0|2|-2|-2|| Nschematic:Bus_Pin|pin@12||-3|0|-2|-2|| NPin|pin@13||-3|0|||RR| NPin|pin@14||-1.5|0|1|1|RR| AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78 AThicker|net@1|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78 AThicker|net@2|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78 AThicker|net@3|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78 AThicker|net@4|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78 AThicker|net@5|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78 AThicker|net@6|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78 AThicker|net@7|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78 AThicker|net@8|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78 AThicker|net@9|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78 AThicker|net@10|||FS1800|pin@13||-3|0|pin@14||-1.5|0|ART_color()I78 Ed||D5G1;|pin@11||O Eg||D5G1;|pin@12||I X # Cell nms1;2{sch} Cnms1;2{sch}||schematic|1021415734000|1159375552613||ATTR_Delay(D5G1;HNPX-8.5;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y-15.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-16.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||23|0|||| NOff-Page|conn@1||-17|-8|||| NGround|gnd@0||0|-16|||| Inms1;1{ic}|nms1@0||24|10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1] Ngeneric:Invisible-Pin|pin@1||-0.5|5.5|||||ART_message(D5G2;)S[N-type transistor to GND] NWire_Pin|pin@2||0|0|||| Awire|net@0|||2700|gnd@0||0|-14|NMOS@0|s|0|-10 Awire|net@1|||900|pin@2||0|0|NMOS@0|d|0|-6 Awire|net@2|||1800|conn@1|y|-15|-8|NMOS@0|g|-3|-8 Awire|net@3|||1800|pin@2||0|0|conn@0|a|21|0 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.33 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.33 X # Cell nms1K;1{ic} Cnms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX3;Y-5;)Sweak0|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-2|-2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NPin|pin@0||0|2|||| NPin|pin@1||0|1|1|1|| NPin|pin@2||-0.75|1|1|1|| NPin|pin@3||-0.75|-1|1|1|| NPin|pin@4||0|-1|1|1|| NPin|pin@5||-1.5|-1|1|1|| NPin|pin@6||-1.5|1|1|1|| NPin|pin@7||-1|-2|1|1|| NPin|pin@8||0|-3|||| NPin|pin@9||1|-2|||| NPin|pin@10||0|-2|1|1|| NPin|pin@11||-3|0|||RR| NPin|pin@12||-1.5|0|1|1|RR| Nschematic:Bus_Pin|pin@13||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@14||0|2|-2|-2|| AThicker|net@0|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78 AThicker|net@1|||FS1800|pin@11||-3|0|pin@12||-1.5|0|ART_color()I78 AThicker|net@2|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78 AThicker|net@3|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78 AThicker|net@4|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78 AThicker|net@5|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78 AThicker|net@6|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78 AThicker|net@7|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78 AThicker|net@8|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78 AThicker|net@9|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78 AThicker|net@10|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78 Ed||D5G1;|pin@14||O Eg||D5G1;|pin@13||I X # Cell nms1K;1{sch} Cnms1K;1{sch}||schematic|1021415734000|1159375557527||ATTR_Delay(D5G1;HNPX-11;Y-14;)I100|ATTR_LEKEEPER(D5G1;HNPTX-11;Y-17;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-15;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-13;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-11;Y-18;)Sweak0|ATTR_su(D5G1;HNPTX-11;Y-16;)I-1|ATTR_verilog_template(D5G1;NTX9.5;Y-22.5;)Snot ($(drive0), highz1) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0] IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||5|0|||| NOff-Page|conn@1||-10|-8|||| NGround|gnd@0||0|-17|||| Inms1K;1{ic}|nms1K@0||28|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1K] Ngeneric:Invisible-Pin|pin@1||0.5|5|||||ART_message(D5G2;)S[N-type keeper transistor to GND] NWire_Pin|pin@2||0|0|||| Awire|net@0|||2700|gnd@0||0|-15|NMOSwk@0|s|0|-10 Awire|net@1|||900|pin@2||0|0|NMOSwk@0|d|0|-6 Awire|net@2|||1800|conn@1|y|-8|-8|NMOSwk@0|g|-3|-8 Awire|net@3|||1800|pin@2||0|0|conn@0|a|3|0 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2;)F0.33 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.33 X # Cell nms2;1{ic} Cnms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||1.5|4|1|1|Y| NPin|pin@1||3|4|||| NPin|pin@2||0|2|1|1|YRR| NPin|pin@3||1.5|5|1|1|YRR| NPin|pin@4||1.5|3|1|1|YRR| NPin|pin@5||0|3|1|1|YRR| NPin|pin@6||0.75|3|1|1|YRR| NPin|pin@7||0.75|5|1|1|YRR| NPin|pin@8||0|5|1|1|YRR| NPin|pin@9||0|6|||RR| NPin|pin@10||0|2|1|1|| NPin|pin@11||0|1|1|1|| NPin|pin@12||-0.75|1|1|1|| NPin|pin@13||-0.75|-1|1|1|| NPin|pin@14||0|-1|1|1|| NPin|pin@15||-1.5|-1|1|1|| NPin|pin@16||-1.5|1|1|1|| NPin|pin@17||-1|-2|1|1|| NPin|pin@18||0|-3|||| NPin|pin@19||1|-2|1|1|| NPin|pin@20||0|-2|1|1|| NPin|pin@21||-3|0|||RR| NPin|pin@22||-1.5|0|1|1|RR| Nschematic:Bus_Pin|pin@23||3|4|-2|-2|| Nschematic:Bus_Pin|pin@24||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@25||0|6|-2|-2|| AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I78 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I78 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I78 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I78 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I78 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I78 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I78 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I78 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I78 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I78 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I78 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78 Ed||D5G1;|pin@25||O Eg||D5G1;|pin@24||I Eg2||D5G1;|pin@23||I X # Cell nms2;1{sch} Cnms2;1{sch}||schematic|1021415734000|1159375566278||ATTR_Delay(D5G1;HNPX-9;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-9;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-9;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9;Y-15.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||8|-4|||YRR| NOff-Page|conn@1||5|0|||| NOff-Page|conn@2||-10|-8|||| IredFive:nms2b;1{ic}|nms2@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X Inms2;1{ic}|nms2@1||27|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||0|10.5|||||ART_message(D5G6;)S[nms2] Ngeneric:Invisible-Pin|pin@1||0|6|||||ART_message(D5G2;)S[two N-type transistors to GND] NWire_Pin|pin@2||0|0|||| Awire|net@0|||0|conn@0|y|6|-4|nms2@0|g2|3|-4 Awire|net@1|||900|pin@2||0|0|nms2@0|d|0|-2 Awire|net@2|||0|nms2@0|g|-3|-8|conn@2|y|-8|-8 Awire|net@3|||1800|pin@2||0|0|conn@1|a|3|0 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.67 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.67 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.67 X # Cell nms2K;1{ic} Cnms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;HNPX3.5;Y-1.5;)I1|ATTR_su(D5G1;HNPX3.5;Y-3.5;)I-1|prototype_center()I[0,-24000] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0.75|4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NPin|pin@0||-3|4|||| NPin|pin@1||-1.5|4|1|1|Y| NPin|pin@2||0|2|1|1|YRR| NPin|pin@3||-1.5|5|1|1|YRR| NPin|pin@4||-1.5|3|1|1|YRR| NPin|pin@5||0|3|1|1|YRR| NPin|pin@6||-0.75|3|1|1|YRR| NPin|pin@7||-0.75|5|1|1|YRR| NPin|pin@8||0|5|1|1|YRR| NPin|pin@9||0|6|||RR| NPin|pin@10||0|2|1|1|| NPin|pin@11||0|1|1|1|| NPin|pin@12||-0.75|1|1|1|| NPin|pin@13||-0.75|-1|1|1|| NPin|pin@14||0|-1|1|1|| NPin|pin@15||-1.5|-1|1|1|| NPin|pin@16||-1.5|1|1|1|| NPin|pin@17||0|-2|||| NPin|pin@18||-3|0|1|1|RR| NPin|pin@19||-1.5|0|1|1|RR| Nschematic:Bus_Pin|pin@20||0|-2|-2|-2|| NPin|pin@21||-3|1|1|1|| NPin|pin@22||-2.5|0.5|1|1|| NPin|pin@23||-3.5|1.5|||| NPin|pin@24||-3|0|1|1|RR| Nschematic:Bus_Pin|pin@25||0|6|-2|-2|| Nschematic:Bus_Pin|pin@26||-3|4|-2|-2|| AThicker|net@0|||FS1800|pin@18||-3|0|pin@19||-1.5|0|ART_color()I78 AThicker|net@1|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I78 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I78 AThicker|net@3|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78 AThicker|net@4|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78 AThicker|net@5|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78 AThicker|net@6|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78 AThicker|net@7|||FS900|pin@14||0|-1|pin@17||0|-2|ART_color()I78 AThicker|net@8|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I78 AThicker|net@9|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I78 AThicker|net@10|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I78 AThicker|net@11|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78 AThicker|net@12|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78 AThicker|net@13|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78 AThicker|net@14|||FS900|pin@21||-3|1|pin@24||-3|0|ART_color()I78 AThicker|net@15|||FS3150|pin@22||-2.5|0.5|pin@23||-3.5|1.5|ART_color()I78 Ein||D5G1;|pin@26||I Eout||D5G1;|pin@25||O Esrc||D5G1;|pin@20||I X # Cell nms2K;1{sch} Cnms2K;1{sch}||schematic|1021415734000|1159375562038||ATTR_Delay(D5G1;HNPX-8.5;Y-24;)I100|ATTR_LEKEEPER(D5G1;HNPX-8.5;Y-26;)I1|ATTR_SN(D5G1;HNPX-8.5;Y-23;)I1|ATTR_su(D5G1;HNPX-8.5;Y-25;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||0|-15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.25;Y-2.25;)S@Delay|ATTR_L(D5G1;NOJPX3.25;Y-0.25;)S@SN==0?0:@SN<0.5?(0.5*(2-0.4)/@SN + 0.4):2|ATTR_W(D6G1;NOJPX1.75;Y0.75;)S"P(\"SN\")>1?3*P(\"SN\"):3" IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@1||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.25;Y-2.25;)S@Delay|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3 Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10|-20|||| NOff-Page|conn@1||-10|-7|||| NOff-Page|conn@2||5|0|||| NGround|gnd@0||2|-24|||| Inms2K;1{ic}|nms2K@0||14|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)I-1 NWire_Pin|pin@0||2|-7.5|||| NWire_Pin|pin@1||2|-15.5|||| NWire_Pin|pin@2||0|0|||| Ngeneric:Invisible-Pin|pin@3||0|6|||||ART_message(D5G2;)S[min N-type with resistor to pin] Ngeneric:Invisible-Pin|pin@4||0|10.5|||||ART_message(D5G6;)S[nms2K] NWire_Pin|pin@5||0|-20|||| NPower|pwr@0||-3|-12|||| Awire|net@0|||2700|pin@1||2|-15.5|pin@0||2|-7.5 Awire|net@1|||0|pin@1||2|-15.5|NMOS4f@0|b|0|-15.5 Awire|net@2|||2700|pin@5||0|-20|NMOS4f@0|s|0|-17 Awire|net@3|||2700|NMOS4f@0|d|0|-13|NMOS4f@1|s|0|-9 Awire|net@4|||900|pwr@0||-3|-12|NMOS4f@0|g|-3|-15 Awire|net@5|||0|pin@0||2|-7.5|NMOS4f@1|b|0|-7.5 Awire|net@6|||900|pin@2||0|0|NMOS4f@1|d|0|-5 Awire|net@7|||1800|conn@1|y|-8|-7|NMOS4f@1|g|-3|-7 Awire|net@8|||900|pin@1||2|-15.5|gnd@0||2|-22 Awire|net@9|||1800|conn@0|y|-8|-20|pin@5||0|-20 Awire|net@10|||1800|pin@2||0|0|conn@2|a|3|0 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I0 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1 X # Cell nms2_sy;3{ic} Cnms2_sy;3{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||-2.25|0|1|1|| NPin|pin@1||2.25|4|1|1|| NPin|pin@2||0.25|1|1|1|| NPin|pin@3||-0.25|3|1|1|| NPin|pin@4||0.25|3|1|1|| NPin|pin@5||0.75|1|1|1|YRR| NPin|pin@6||0.75|-1|1|1|YRR| NPin|pin@7||0|-1|1|1|YRR| NPin|pin@8||1.5|-1|1|1|YRR| NPin|pin@9||1.5|1|1|1|YRR| NPin|pin@10||2.25|0|1|1|Y| NPin|pin@11||1.5|0|1|1|Y| NPin|pin@12||-1.5|3|1|1|| NPin|pin@13||-1.5|5|1|1|| NPin|pin@14||-2.25|4|1|1|RR| NPin|pin@15||-1.5|4|1|1|RR| NPin|pin@16||-0.75|3|1|1|| NPin|pin@17||-0.75|5|1|1|| NPin|pin@18||1.5|4|1|1|Y| NPin|pin@19||3|4|||| NPin|pin@20||1.5|5|1|1|YRR| NPin|pin@21||1.5|3|1|1|YRR| NPin|pin@22||0.75|3|1|1|YRR| NPin|pin@23||0.75|5|1|1|YRR| NPin|pin@24||0|5|1|1|YRR| NPin|pin@25||0|6|||RR| NPin|pin@26||-0.25|1|1|1|| NPin|pin@27||-0.75|1|1|1|| NPin|pin@28||-0.75|-1|1|1|| NPin|pin@29||0|-1|1|1|| NPin|pin@30||-1.5|-1|1|1|| NPin|pin@31||-1.5|1|1|1|| NPin|pin@32||-1|-2|1|1|| NPin|pin@33||0|-3|||| NPin|pin@34||1|-2|1|1|| NPin|pin@35||0|-2|1|1|| NPin|pin@36||-3|0|||RR| NPin|pin@37||-1.5|0|1|1|RR| Nschematic:Bus_Pin|pin@38||3|4|-2|-2|| Nschematic:Bus_Pin|pin@39||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@40||0|6|-2|-2|| AThicker|net@0|||FS2700|pin@0||-2.25|0|pin@14||-2.25|4|ART_color()I78 AThicker|net@1|||FS900|pin@1||2.25|4|pin@10||2.25|0|ART_color()I78 AThicker|net@2|||FS0|pin@19||3|4|pin@18||1.5|4|ART_color()I78 AThicker|net@3|||FS0|pin@5||0.75|1|pin@2||0.25|1|ART_color()I78 AThicker|net@4|||FS2840|pin@2||0.25|1|pin@3||-0.25|3|ART_color()I78 AThicker|net@5|||FS0|pin@3||-0.25|3|pin@16||-0.75|3|ART_color()I78 AThicker|net@6|||FS0|pin@22||0.75|3|pin@4||0.25|3|ART_color()I78 AThicker|net@7|||FS760|pin@4||0.25|3|pin@26||-0.25|1|ART_color()I78 AThicker|net@8|||FS900|pin@9||1.5|1|pin@8||1.5|-1|ART_color()I78 AThicker|net@9|||FS0|pin@6||0.75|-1|pin@7||0|-1|ART_color()I78 AThicker|net@10|||FS900|pin@5||0.75|1|pin@6||0.75|-1|ART_color()I78 AThicker|net@11|||FS0|pin@10||2.25|0|pin@11||1.5|0|ART_color()I78 AThicker|net@12|||FS900|pin@13||-1.5|5|pin@12||-1.5|3|ART_color()I78 AThicker|net@13|||FS1800|pin@14||-2.25|4|pin@15||-1.5|4|ART_color()I78 AThicker|net@14|||FS2700|pin@16||-0.75|3|pin@17||-0.75|5|ART_color()I78 AThicker|net@15|||FS1800|pin@17||-0.75|5|pin@24||0|5|ART_color()I78 AThicker|net@16|||FS900|pin@23||0.75|5|pin@22||0.75|3|ART_color()I78 AThicker|net@17|||FS1800|pin@24||0|5|pin@23||0.75|5|ART_color()I78 AThicker|net@18|||FS900|pin@20||1.5|5|pin@21||1.5|3|ART_color()I78 AThicker|net@19|||FS900|pin@25||0|6|pin@24||0|5|ART_color()I78 AThicker|net@20|||FS0|pin@34||1|-2|pin@35||0|-2|ART_color()I78 AThicker|net@21|||FS2250|pin@33||0|-3|pin@34||1|-2|ART_color()I78 AThicker|net@22|||FS900|pin@29||0|-1|pin@35||0|-2|ART_color()I78 AThicker|net@23|||FS1800|pin@28||-0.75|-1|pin@29||0|-1|ART_color()I78 AThicker|net@24|||FS1800|pin@36||-3|0|pin@37||-1.5|0|ART_color()I78 AThicker|net@25|||FS900|pin@31||-1.5|1|pin@30||-1.5|-1|ART_color()I78 AThicker|net@26|||FS0|pin@35||0|-2|pin@32||-1|-2|ART_color()I78 AThicker|net@27|||FS1350|pin@32||-1|-2|pin@33||0|-3|ART_color()I78 AThicker|net@28|||FS0|pin@26||-0.25|1|pin@27||-0.75|1|ART_color()I78 AThicker|net@29|||FS900|pin@27||-0.75|1|pin@28||-0.75|-1|ART_color()I78 Ed||D5G1;|pin@40||O Eg||D5G1;|pin@39||I Eg2||D5G1;|pin@38||I X # Cell nms2_sy;1{sch} Cnms2_sy;1{sch}||schematic|1021415734000|1159375570860||ATTR_Delay(D5G1;HNPX-8;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8;Y-15.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-15|-9|||| NOff-Page|conn@1||16|-5|||YRR| NOff-Page|conn@2||16.5|0|||| IredFive:nms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S@X Inms2_sy;3{ic}|nms2_sy@1||22|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1 NWire_Pin|pin@0||0|0|||| Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric N-type two-stack] Ngeneric:Invisible-Pin|pin@2||-4|14|||||ART_message(D5G6;)S[nms2_sy] Awire|net@0|||1800|nms2_sy@0|g2|3|-5|conn@1|y|14|-5 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3 Awire|net@2|||0|nms2_sy@0|g|-3|-9|conn@0|y|-13|-9 Awire|net@3|||0|conn@2|a|14.5|0|pin@0||0|0 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F0.67 Eg||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX1;Y-2;)F0.67 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 X # Cell nms3;1{ic} Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||0|10|||| NPin|pin@1||0|9|1|1|| NPin|pin@2||-0.75|9|1|1|| NPin|pin@3||-0.75|7|1|1|| NPin|pin@4||0|7|1|1|| NPin|pin@5||-1.5|7|1|1|| NPin|pin@6||-1.5|9|1|1|| NPin|pin@7||0|6|1|1|| NPin|pin@8||-3|8|||RR| NPin|pin@9||-1.5|8|1|1|RR| Ngeneric:Invisible-Pin|pin@10||-3|8|||| Nschematic:Bus_Pin|pin@11||0|10|-2|-2|| Nschematic:Bus_Pin|pin@12||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@13||3|4|-2|-2|| NPin|pin@14||-1.5|0|1|1|RR| NPin|pin@15||-3|0|||RR| NPin|pin@16||0|-2|1|1|| NPin|pin@17||1|-2|1|1|| NPin|pin@18||0|-3|||| NPin|pin@19||-1|-2|1|1|| NPin|pin@20||-1.5|1|1|1|| NPin|pin@21||-1.5|-1|1|1|| NPin|pin@22||0|-1|1|1|| NPin|pin@23||-0.75|-1|1|1|| NPin|pin@24||-0.75|1|1|1|| NPin|pin@25||0|1|1|1|| NPin|pin@26||0|2|1|1|| NPin|pin@27||0|6|||RR| NPin|pin@28||0|5|1|1|YRR| NPin|pin@29||0.75|5|1|1|YRR| NPin|pin@30||0.75|3|1|1|YRR| NPin|pin@31||0|3|1|1|YRR| NPin|pin@32||1.5|3|1|1|YRR| NPin|pin@33||1.5|5|1|1|YRR| NPin|pin@34||0|2|1|1|YRR| NPin|pin@35||3|4|||| NPin|pin@36||1.5|4|1|1|Y| AThicker|net@0|||FS900|pin@6||-1.5|9|pin@5||-1.5|7|ART_color()I78 AThicker|net@1|||FS900|pin@0||0|10|pin@1||0|9|ART_color()I78 AThicker|net@2|||FS0|pin@1||0|9|pin@2||-0.75|9|ART_color()I78 AThicker|net@3|||FS1800|pin@3||-0.75|7|pin@4||0|7|ART_color()I78 AThicker|net@4|||FS900|pin@4||0|7|pin@7||0|6|ART_color()I78 AThicker|net@5|||FS1800|pin@8||-3|8|pin@9||-1.5|8|ART_color()I78 AThicker|net@6|||FS900|pin@2||-0.75|9|pin@3||-0.75|7|ART_color()I78 AThicker|net@7|||FS900|pin@20||-1.5|1|pin@21||-1.5|-1|ART_color()I78 AThicker|net@8|||FS1800|pin@23||-0.75|-1|pin@22||0|-1|ART_color()I78 AThicker|net@9|||FS900|pin@22||0|-1|pin@16||0|-2|ART_color()I78 AThicker|net@10|||FS900|pin@26||0|2|pin@25||0|1|ART_color()I78 AThicker|net@11|||FS900|pin@24||-0.75|1|pin@23||-0.75|-1|ART_color()I78 AThicker|net@12|||FS0|pin@25||0|1|pin@24||-0.75|1|ART_color()I78 AThicker|net@13|||FS0|pin@17||1|-2|pin@16||0|-2|ART_color()I78 AThicker|net@14|||FS1350|pin@19||-1|-2|pin@18||0|-3|ART_color()I78 AThicker|net@15|||FS0|pin@16||0|-2|pin@19||-1|-2|ART_color()I78 AThicker|net@16|||FS2250|pin@18||0|-3|pin@17||1|-2|ART_color()I78 AThicker|net@17|||FS1800|pin@15||-3|0|pin@14||-1.5|0|ART_color()I78 AThicker|net@18|||FS900|pin@31||0|3|pin@34||0|2|ART_color()I78 AThicker|net@19|||FS0|pin@30||0.75|3|pin@31||0|3|ART_color()I78 AThicker|net@20|||FS900|pin@27||0|6|pin@28||0|5|ART_color()I78 AThicker|net@21|||FS1800|pin@28||0|5|pin@29||0.75|5|ART_color()I78 AThicker|net@22|||FS900|pin@33||1.5|5|pin@32||1.5|3|ART_color()I78 AThicker|net@23|||FS900|pin@29||0.75|5|pin@30||0.75|3|ART_color()I78 AThicker|net@24|||FS0|pin@35||3|4|pin@36||1.5|4|ART_color()I78 Eina||D5G1;|pin@12||I Einb||D5G1;|pin@13||I Einc||D5G1;|pin@10||I Eout||D5G1;|pin@11||O X # Cell nms3;1{sch} Cnms3;1{sch}||schematic|1021415734000|1159375575060||ATTR_Delay(D5G1;HNPX-9.5;Y-14;)I100|ATTR_LEGATE(D5G1;HNPTX-9.5;Y-15;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9.5;Y-13;)I-1|ATTR_X(D5G1;HNOJPX-9.5;Y-12;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9.5;Y-16;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-10|0|||| NOff-Page|conn@1||-10|-8|||| NOff-Page|conn@2||5|6|||| NOff-Page|conn@3||8|-4|||YRR| IredFive:nms3;1{ic}|nms3@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X Inms3;1{ic}|nms3@1||30|5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 NWire_Pin|pin@0||0|6|||| Ngeneric:Invisible-Pin|pin@1||0|12|||||ART_message(D5G2;)S[three N-type transistors to GND] Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G6;)S[nms3] Awire|net@0|||0|nms3@0|g3|-3|0|conn@0|y|-8|0 Awire|net@1|||0|conn@3|y|6|-4|nms3@0|g2|3|-4 Awire|net@2|||900|pin@0||0|6|nms3@0|d|0|2 Awire|net@3|||0|nms3@0|g|-3|-8|conn@1|y|-8|-8 Awire|net@4|||1800|pin@0||0|6|conn@2|a|3|6 Eina||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y2;)I1 Einb||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)I1 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)I1 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell nms3_sy3;1{ic} Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.25;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV Ngeneric:Invisible-Pin|pin@0||-0.75|-3.5|||||ART_message(D5G2;)S[sy3] NPin|pin@1||1.5|4|1|1|Y| NPin|pin@2||3|4|||| NPin|pin@3||0|2|1|1|YRR| NPin|pin@4||1.5|5|1|1|YRR| NPin|pin@5||1.5|3|1|1|YRR| NPin|pin@6||0|3|1|1|YRR| NPin|pin@7||0.75|3|1|1|YRR| NPin|pin@8||0.75|5|1|1|YRR| NPin|pin@9||0|5|1|1|YRR| NPin|pin@10||0|6|||RR| NPin|pin@11||0|2|1|1|| NPin|pin@12||0|1|1|1|| NPin|pin@13||-0.75|1|1|1|| NPin|pin@14||-0.75|-1|1|1|| NPin|pin@15||0|-1|1|1|| NPin|pin@16||-1.5|-1|1|1|| NPin|pin@17||-1.5|1|1|1|| NPin|pin@18||-1|-2|1|1|| NPin|pin@19||0|-3|||| NPin|pin@20||1|-2|1|1|| NPin|pin@21||0|-2|1|1|| NPin|pin@22||-3|0|||RR| NPin|pin@23||-1.5|0|1|1|RR| Nschematic:Bus_Pin|pin@24||3|4|-2|-2|| Nschematic:Bus_Pin|pin@25||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@26||0|10|-2|-2|| Ngeneric:Invisible-Pin|pin@27||-3|8|||| NPin|pin@28||-1.5|8|1|1|RR| NPin|pin@29||-3|8|||RR| NPin|pin@30||0|6|1|1|| NPin|pin@31||-1.5|9|1|1|| NPin|pin@32||-1.5|7|1|1|| NPin|pin@33||0|7|1|1|| NPin|pin@34||-0.75|7|1|1|| NPin|pin@35||-0.75|9|1|1|| NPin|pin@36||0|9|1|1|| NPin|pin@37||0|10|||| AThicker|net@0|||FS0|pin@2||3|4|pin@1||1.5|4|ART_color()I78 AThicker|net@1|||FS900|pin@8||0.75|5|pin@7||0.75|3|ART_color()I78 AThicker|net@2|||FS900|pin@4||1.5|5|pin@5||1.5|3|ART_color()I78 AThicker|net@3|||FS1800|pin@9||0|5|pin@8||0.75|5|ART_color()I78 AThicker|net@4|||FS900|pin@10||0|6|pin@9||0|5|ART_color()I78 AThicker|net@5|||FS0|pin@7||0.75|3|pin@6||0|3|ART_color()I78 AThicker|net@6|||FS900|pin@6||0|3|pin@3||0|2|ART_color()I78 AThicker|net@7|||FS1800|pin@22||-3|0|pin@23||-1.5|0|ART_color()I78 AThicker|net@8|||FS2250|pin@19||0|-3|pin@20||1|-2|ART_color()I78 AThicker|net@9|||FS0|pin@21||0|-2|pin@18||-1|-2|ART_color()I78 AThicker|net@10|||FS1350|pin@18||-1|-2|pin@19||0|-3|ART_color()I78 AThicker|net@11|||FS0|pin@20||1|-2|pin@21||0|-2|ART_color()I78 AThicker|net@12|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78 AThicker|net@13|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78 AThicker|net@14|||FS900|pin@11||0|2|pin@12||0|1|ART_color()I78 AThicker|net@15|||FS900|pin@15||0|-1|pin@21||0|-2|ART_color()I78 AThicker|net@16|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78 AThicker|net@17|||FS900|pin@17||-1.5|1|pin@16||-1.5|-1|ART_color()I78 AThicker|net@18|||FS900|pin@35||-0.75|9|pin@34||-0.75|7|ART_color()I78 AThicker|net@19|||FS1800|pin@29||-3|8|pin@28||-1.5|8|ART_color()I78 AThicker|net@20|||FS900|pin@33||0|7|pin@30||0|6|ART_color()I78 AThicker|net@21|||FS1800|pin@34||-0.75|7|pin@33||0|7|ART_color()I78 AThicker|net@22|||FS0|pin@36||0|9|pin@35||-0.75|9|ART_color()I78 AThicker|net@23|||FS900|pin@37||0|10|pin@36||0|9|ART_color()I78 AThicker|net@24|||FS900|pin@31||-1.5|9|pin@32||-1.5|7|ART_color()I78 Eina||D5G1;|pin@25||I Einb||D5G1;|pin@24||I Einc||D5G1;|pin@27||I Eout||D5G1;|pin@26||O X # Cell nms3_sy3;1{sch} Cnms3_sy3;1{sch}||schematic|1021415734000|1159375578755||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPTX-20;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y-12.5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y-10.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20;Y-13.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14.5|0|||YRR| NOff-Page|conn@1||15|9|||| NOff-Page|conn@2||-14.5|-4|||| NOff-Page|conn@3||-14.5|4|||| IredFive:nms3_sy3;1{ic}|nms3_sy3@0||-4|-4|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)S@X Inms3_sy3;1{ic}|nms3_sy3@1||32|11|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1 NWire_Pin|pin@0||1|9|||| Ngeneric:Invisible-Pin|pin@1||-2|21.5|||||ART_message(D5G6;)S[nms3_sy3] Ngeneric:Invisible-Pin|pin@2||-2|17|||||ART_message(D5G2;)S[semi-symmetric three N-type transistors to GND] Awire|net@0|||1800|conn@3|y|-12.5|4|nms3_sy3@0|g3|-7|4 Awire|net@1|||1800|nms3_sy3@0|g2|7.5|0|conn@0|y|12.5|0 Awire|net@2|||900|pin@0||1|9|nms3_sy3@0|d|1|7 Awire|net@3|||1800|conn@2|y|-12.5|-4|nms3_sy3@0|g|-7|-4 Awire|net@4|||1800|pin@0||1|9|conn@1|a|13|9 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX1.5;Y-2.5;)I1 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NY-2;)I1 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1 X # Cell nor2;1{ic} Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.5|-1.75|1|1|| NPin|pin@1||-1|-1.25|1|1|| NPin|pin@2||-1|1|1|1|| NPin|pin@3||-2.5|1|||| Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2|| NPin|pin@6||-2.5|-1|||| NPin|pin@7||-1|-1|1|1|| Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2|| AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78 AThicker|net@2|||FS0|pin@2||-1|1|pin@3||-2.5|1|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1|-1|pin@6||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@8||I Einb||D5G1;|pin@5||I Eout||D5G1;|pin@4||O X # Cell nor2;1{sch} Cnor2;1{sch}||schematic|1021415734000|1159375948527||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14|0|||Y| NOff-Page|conn@1||-15.5|2.5|||| NOff-Page|conn@2||-15.5|-2.5|||Y| IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inor2;1{ic}|nor2@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 NWire_Pin|pin@0||-7.5|-2.5|||| NWire_Pin|pin@1||-7.5|-1|||| NWire_Pin|pin@2||-7.5|1|||| NWire_Pin|pin@3||-7.5|2.5|||| Ngeneric:Invisible-Pin|pin@4||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up] Ngeneric:Invisible-Pin|pin@5||-2|15|||||ART_message(D5G6;)S[nor2] Ngeneric:Invisible-Pin|pin@6||-2|10|||||ART_message(D5G2;)S[one-parameter NOR] Awire|net@0|||1800|pin@1||-7.5|-1|nor2@0|ina|-2.5|-1 Awire|net@1|||0|conn@0|a|12|0|nor2@0|out|2.5|0 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@2||-7.5|1 Awire|net@3|||900|pin@1||-7.5|-1|pin@0||-7.5|-2.5 Awire|net@4|||0|pin@0||-7.5|-2.5|conn@2|y|-13.5|-2.5 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5 Awire|net@6|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)F1.67 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I2 X # Cell nor2V;1{ic} Cnor2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-18.25;Y-14.5;)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5] NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||2|0|1|1|||ART_color()I78 NPin|pin@0||-0.5|-1.75|1|1|| NPin|pin@1||-1|-1.25|1|1|| Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2|| NPin|pin@3||-1|-1|1|1|| NPin|pin@4||-2.5|-1|||| Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2|| NPin|pin@7||-2.5|1|||| NPin|pin@8||-1|1|1|1|| AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78 AThicker|net@2|||FS0|pin@3||-1|-1|pin@4||-2.5|-1|ART_color()I78 AThicker|net@3|||FS0|pin@8||-1|1|pin@7||-2.5|1|ART_color()I78 Eina||D5G1;|pin@2||I Einb||D5G1;|pin@5||I Eout||D5G1;|pin@6||O X # Cell nor2V;1{sch} Cnor2V;1{sch}||schematic|1021415734000|1084377212000||ATTR_Delay(D5G1;HNPX-15.5;Y-11;)I100|ATTR_M(D5G1;HNPX-15.5;Y-10;)I1|ATTR_WN(D5G1;HNPX-15.5;Y-9;)I1|ATTR_WP(D5G1;HNPX-15.5;Y-8;)I1|ATTR_drive0(D5G1;HNPX-15.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPX-15.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPX-15;Y-14;)I-1|ATTR_verilog_template(D5G1;NTX7;Y-19;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|-4|||| NOff-Page|conn@1||14|-4|||RR| NOff-Page|conn@2||14|0|||| Inms1;1{ic}|nms1@0||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su Inms1;1{ic}|nms1@1||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su Inor2V;1{ic}|nor2V@0||20|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5;)I100|ATTR_M(D5G1;NPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-18.25;Y-14.5;)I-1 Ngeneric:Invisible-Pin|pin@0||11.5|-15.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"] NWire_Pin|pin@1||-9|-8|||| Ngeneric:Invisible-Pin|pin@2||-1.5|11.5|||||ART_message(D5G2;)S[two-parameter NOR] NWire_Pin|pin@3||-9|-4|||| NWire_Pin|pin@4||9|3|||| NWire_Pin|pin@5||9|-4|||| Ngeneric:Invisible-Pin|pin@6||-1.5|16.5|||||ART_message(D5G6;)S[nor2V] NWire_Pin|pin@7||-9|7|||| NWire_Pin|pin@8||0|0|||| NWire_Pin|pin@9||4|0|||| NWire_Pin|pin@10||-4|0|||| NWire_Pin|pin@11||9|-8|||| Ipms2;1{ic}|pms2@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NTX2;)I1 Awire|net@0|||2700|pin@1||-9|-8|pin@3||-9|-4 Awire|net@1|||0|pin@3||-9|-4|conn@0|y|-12|-4 Awire|net@2|||2700|pin@5||9|-4|pin@4||9|3 Awire|net@3|||1800|pin@5||9|-4|conn@1|y|12|-4 Awire|net@4|||0|pin@4||9|3|pms2@0|g2|3|3 Awire|net@5|||1800|pin@7||-9|7|pms2@0|g|-3|7 Awire|net@6|||2700|pin@8||0|0|pms2@0|d|0|1 Awire|net@7|||0|pin@9||4|0|pin@8||0|0 Awire|net@8|||900|pin@9||4|0|nms1@1|d|4|-6 Awire|net@9|||0|pin@8||0|0|pin@10||-4|0 Awire|net@10|||900|pin@10||-4|0|nms1@0|d|-4|-6 Awire|net@11|||0|nms1@0|g|-7|-8|pin@1||-9|-8 Awire|net@12|||0|pin@11||9|-8|nms1@1|g|7|-8 Awire|net@13|||2700|pin@11||9|-8|pin@5||9|-4 Awire|net@14|||2700|pin@3||-9|-4|pin@7||-9|7 Awire|net@15|||1800|pin@9||4|0|conn@2|a|12|0 Eina||D5G2;|conn@0|a|I Einb||D5G2;|conn@1|a|I Eout||D5G2;|conn@2|y|O X # Cell nor2_sy;2{ic} Cnor2_sy;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2|| NPin|pin@1||-1|-1|1|1|| NPin|pin@2||-2.5|-1|||| Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2|| NPin|pin@5||-2.5|1|||| NPin|pin@6||-1|1|1|1|| NPin|pin@7||-1|-1.25|1|1|| AThicker|net@0|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78 AThicker|net@1|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78 AThicker|net@2|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78 Eina||D5G1;|pin@0||I Einb||D5G1;|pin@3||I Eout||D5G1;|pin@4||O X # Cell nor2_sy;2{sch} Cnor2_sy;2{sch}||schematic|1021415734000|1159375955602||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|1|||| NOff-Page|conn@1||-14|-1|||Y| NOff-Page|conn@2||14|0|||Y| IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1 Inor2_sy;2{ic}|nor2_sy@1||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR] Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)S[nor2_sy] Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up] Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2 X # Cell nor2en;1{ic} Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|0|1|1|||ART_color()I78 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 Ngeneric:Invisible-Pin|pin@0||-0.25|-1.25|||||ART_message(D5G1;)S[en] Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2|| NPin|pin@2||-1|-1|1|1|| NPin|pin@3||-2.5|-1|||| Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| NPin|pin@6||-2.5|1|||| NPin|pin@7||-1|1|1|1|| NPin|pin@8||-1|-1.25|1|1|| NPin|pin@9||-0.5|-1.75|1|1|| AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I78 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I78 AThicker|net@3|||FS2700|pin@8||-1|-1.25|pin@8||-1|-1.25|ART_color()I78 Eina||D5G1;|pin@1||I Einb||D5G1;|pin@4||I Eout||D5G1;|pin@5||O X # Cell nor2en;1{sch} Cnor2en;1{sch}||schematic|1021415734000|1159377045073||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-15.5|-2.5|||Y| NOff-Page|conn@1||-15.5|2.5|||| NOff-Page|conn@2||14|0|||| IredFive:nor2en;1{ic}|nor2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inor2en;1{ic}|nor2en@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR] Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2en] Ngeneric:Invisible-Pin|pin@2||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up] NWire_Pin|pin@3||-7.5|2.5|||| NWire_Pin|pin@4||-7.5|1|||| NWire_Pin|pin@5||-7.5|-1|||| NWire_Pin|pin@6||-7.5|-2.5|||| Awire|net@0|||1800|pin@5||-7.5|-1|nor2en@0|ina|-2.5|-1 Awire|net@1|||0|conn@2|a|12|0|nor2en@0|out|2.5|0 Awire|net@2|||0|nor2en@0|inb|-2.5|1|pin@4||-7.5|1 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)S1.44 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)S1.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)D1.78 X # Cell nor2k;1{ic} Cnor2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2|| NPin|pin@1||-1|-1|1|1|| NPin|pin@2||-2.5|-1|||| Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2|| NPin|pin@5||-2.5|1|||| NPin|pin@6||-1|1|1|1|| NPin|pin@7||-1|-1.25|1|1|| NPin|pin@8||-0.5|-1.75|1|1|| AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I78 AThicker|net@3|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78 Eina||D5G1;|pin@0||I Einb||D5G1;|pin@3||I Eout||D5G1;|pin@4||O X # Cell nor2k;1{sch} Cnor2k;1{sch}||schematic|1021415734000|1159375660125||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEKEEPER(D5G1;HNPX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-15.5|-2.5|||Y| NOff-Page|conn@1||-15.5|2.5|||| NOff-Page|conn@2||14|0|||| IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Inor2k;1{ic}|nor2k@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR] Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2] Ngeneric:Invisible-Pin|pin@2||17|-12.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up] NWire_Pin|pin@3||-7.5|2.5|||| NWire_Pin|pin@4||-7.5|1|||| NWire_Pin|pin@5||-7.5|-1|||| NWire_Pin|pin@6||-7.5|-2.5|||| Awire|net@0|||1800|pin@5||-7.5|-1|nor2@0|ina|-2.5|-1 Awire|net@1|||0|conn@2|a|12|0|nor2@0|out|2.5|0 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@4||-7.5|1 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F1.67 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I2 X # Cell nor2kresetV;1{ic} Cnor2kresetV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||2|0|1|1|||ART_color()I78 NOpened-Thicker-Polygon|art@5||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NPin|pin@0||0.5|1|||R| NPin|pin@1||0.5|2.5|1|1|R| Ngeneric:Invisible-Pin|pin@2||0.5|2.5|||| NPin|pin@3||-0.5|-1.75|1|1|| NPin|pin@4||-1|-1.25|1|1|| NPin|pin@5||-1|1|1|1|| NPin|pin@6||-2.5|1|||| Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2|| NPin|pin@9||-2.5|-1|||| NPin|pin@10||-1|-1|1|1|| Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2|| AThicker|net@0|||FS900|pin@1||0.5|2.5|pin@0||0.5|1|ART_color()I78 AThicker|net@1|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78 AThicker|net@2|||FS3150|pin@3||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78 AThicker|net@3|||FS0|pin@5||-1|1|pin@6||-2.5|1|ART_color()I78 AThicker|net@4|||FS0|pin@10||-1|-1|pin@9||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@11||I Einb||D5G1;|pin@8||I Eout||D5G1;|pin@7||O EresetV||D5G2;|pin@2||I X # Cell nor2kresetV;1{sch} Cnor2kresetV;1{sch}||schematic|1021415734000|1159379793908||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||3.88|-11.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||-4.12|-11.25|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.0 Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||4|-17|||R| NOff-Page|conn@1||15|-5|||| NOff-Page|conn@2||-15.5|0|||| NOff-Page|conn@3||14.5|4|||YRR| NGround|gnd@0||-4.12|-18.25|||| Inor2kresetV;1{ic}|nor2kres@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEKEEPER()I1 NWire_Pin|pin@0||9|4|||| NWire_Pin|pin@1||4|-13.25|||| NWire_Pin|pin@2||3.88|-5|||| NWire_Pin|pin@3||9|-11.25|||| NWire_Pin|pin@4||-9|-11.25|||| NWire_Pin|pin@5||-9|0|||| NWire_Pin|pin@6||-4.12|-5|||| NWire_Pin|pin@7||0|-5|||| Ngeneric:Invisible-Pin|pin@8||21|-18|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up] Ngeneric:Invisible-Pin|pin@9||-2|15|||||ART_message(D5G6;)S[nor2kresetV] Ngeneric:Invisible-Pin|pin@10||-2|10|||||ART_message(D5G2;)S[one-parameter NOR] IredFive:pms2;1{ic}|pms2@0||0|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/10.0 Awire|net@0|||1800|pin@5||-9|0|pms2@0|g2|-3|0 Awire|net@1|||1800|pms2@0|g|3|4|pin@0||9|4 Awire|net@2|||2700|pin@7||0|-5|pms2@0|d|0|-2 Awire|net@3|||1800|NMOS@0|s|3.88|-13.25|pin@1||4|-13.25 Awire|net@4|||900|pin@2||3.88|-5|NMOS@0|d|3.88|-9.25 Awire|net@5|||1800|NMOS@0|g|6.88|-11.25|pin@3||9|-11.25 Awire|net@6|||900|NMOS@1|s|-4.12|-13.25|gnd@0||-4.12|-16.25 Awire|net@7|||900|pin@6||-4.12|-5|NMOS@1|d|-4.12|-9.25 Awire|net@8|||0|NMOS@1|g|-7.12|-11.25|pin@4||-9|-11.25 Awire|net@9|||1800|pin@7||0|-5|pin@2||3.88|-5 Awire|net@10|||2700|pin@3||9|-11.25|pin@0||9|4 Awire|net@11|||0|conn@3|y|12.5|4|pin@0||9|4 Awire|net@12|||900|pin@1||4|-13.25|conn@0|y|4|-15 Awire|net@13|||1800|pin@2||3.88|-5|conn@1|a|13|-5 Awire|net@14|||2700|pin@4||-9|-11.25|pin@5||-9|0 Awire|net@15|||0|pin@5||-9|0|conn@2|y|-13.5|0 Awire|net@16|||0|pin@7||0|-5|pin@6||-4.12|-5 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)F0.4 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.167 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D0.5 EresetV||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-3;)I4 X # Cell nor2n;1{ic} Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1|-1|1|1|||ART_color()I78 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78 NThick-Circle|art@3||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] NPin|pin@0||-1.5|1|1|1|| NPin|pin@1||-2.5|1|||| NPin|pin@2||0.5|-2|1|1|| NPin|pin@3||-0.5|-2|1|1|| NPin|pin@4||-0.5|2|1|1|| Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2|| NPin|pin@7||0.5|2|1|1|| NPin|pin@8||-2.5|-1|||| NPin|pin@9||-1.5|-1|1|1|| Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2|| NPin|pin@11||-0.5|-0.75|1|1|| NPin|pin@12||0.75|-2|1|1|| AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I78 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@7||0.5|2|pin@4||-0.5|2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78 AThicker|net@5|||FS3150|pin@12||0.75|-2|pin@11||-0.5|-0.75|ART_color()I78 Eina||D5G1;|pin@10||I Einb||D5G1;|pin@6||I Eout||D5G1;|pin@5||O X # Cell nor2n;1{sch} Cnor2n;1{sch}||schematic|1021415734000|1231281018554||ATTR_Delay(D5G1;HNPX-17.5;Y8;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-17.5;Y7;)I-1|ATTR_X(D5G1;HNOJPX-17.5;Y9;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HNPTX-17.5;Y5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y4;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y6;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||10.75|3.75|||| NOff-Page|conn@1||-8.5|11|||| NOff-Page|conn@2||-8.25|-2|||| IredFive:nor2n;1{ic}|nor2|D5G1;|1.25|3.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1 Inor2n;1{ic}|nor2n@0||23|19.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEGATE()I1 NWire_Pin|pin@0||-4.75|4.75|||| NWire_Pin|pin@1||-4.75|11|||| NWire_Pin|pin@2||-4.75|2.75|||| NWire_Pin|pin@3||-4.75|-2|||| Ngeneric:Invisible-Pin|pin@4||-2|25|||||ART_message(D5G6;)S[nor2n] Ngeneric:Invisible-Pin|pin@5||-2|20|||||ART_message(D5G2;)S["one-parameter Nor, looks like Nand"] Awire|net@0|||1800|nor2|out|3.75|3.75|conn@0|a|8.75|3.75 Awire|net@1|||0|nor2|inb|-1.25|4.75|pin@0||-4.75|4.75 Awire|net@2|||2700|pin@0||-4.75|4.75|pin@1||-4.75|11 Awire|net@3|||0|pin@1||-4.75|11|conn@1|y|-6.5|11 Awire|net@4|||0|nor2|ina|-1.25|2.75|pin@2||-4.75|2.75 Awire|net@5|||900|pin@2||-4.75|2.75|pin@3||-4.75|-2 Awire|net@6|||0|pin@3||-4.75|-2|conn@2|y|-6.25|-2 Eina||D5G2;|conn@2|a|I Einb||D5G2;|conn@1|a|I Eout||D5G2;|conn@0|y|O X # Cell nor2n_sy;2{ic} Cnor2n_sy;2{ic}||artwork|1021415734000|1231281340304|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@5||-1|-1|1|1|||ART_color()I78 NThick-Circle|art@6||-1|1|1|1|||ART_color()I78 NThick-Circle|art@7||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2|| Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2|| Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2|| NPin|pin@8||-1.5|-1|1|1|| NPin|pin@9||-1.5|1|1|1|| NPin|pin@10||-2.5|1|||| NPin|pin@11||0.5|-2|1|1|| NPin|pin@12||-0.5|-2|1|1|| NPin|pin@13||-0.5|2|1|1|| NPin|pin@14||0.5|2|1|1|| NPin|pin@15||-2.5|-1|||| AThicker|net@3|||FS0|pin@11||0.5|-2|pin@12||-0.5|-2|ART_color()I78 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@10||-2.5|1|ART_color()I78 AThicker|net@5|||FS2700|pin@12||-0.5|-2|pin@13||-0.5|2|ART_color()I78 AThicker|net@6|||FS0|pin@14||0.5|2|pin@13||-0.5|2|ART_color()I78 AThicker|net@7|||FS0|pin@8||-1.5|-1|pin@15||-2.5|-1|ART_color()I78 Eina||D5G1;|pin@0||I Einb||D5G1;|pin@3||I Eout||D5G1;|pin@4||O X # Cell nor2n_sy;2{sch} Cnor2n_sy;2{sch}||schematic|1021415734000|1231281234643||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|1|||| NOff-Page|conn@1||-14|-1|||Y| NOff-Page|conn@2||14|0|||Y| IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1 Inor2n_sy;2{ic}|nor2n_sy@0||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR] Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)Snor2n_sy Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up] Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2 X # Cell pms1;1{ic} Cpms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-2|0|1|1|||ART_color()I78 NPin|pin@0||-1.5|1|1|1|| NPin|pin@1||-1.5|-1|1|1|| NPin|pin@2||0.5|1.5|||| NPin|pin@3||-0.5|2.5|||| NPin|pin@4||0|2|1|1|| NPin|pin@5||-2.5|0|1|1|RR| NPin|pin@6||-3|0|||RR| NPin|pin@7||0|-2|||| NPin|pin@8||0|-1|1|1|| NPin|pin@9||-0.75|-1|1|1|| NPin|pin@10||-0.75|1|1|1|| NPin|pin@11||0|1|1|1|| Nschematic:Bus_Pin|pin@12||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@13||0|-2|-2|-2|| AThicker|net@0|||FS900|pin@0||-1.5|1|pin@1||-1.5|-1|ART_color()I78 AThicker|net@1|||FS3150|pin@2||0.5|1.5|pin@3||-0.5|2.5|ART_color()I78 AThicker|net@2|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I78 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I78 AThicker|net@4|||FS2700|pin@11||0|1|pin@4||0|2|ART_color()I78 AThicker|net@5|||FS1800|pin@6||-3|0|pin@5||-2.5|0|ART_color()I78 AThicker|net@6|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I78 AThicker|net@7|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I78 Ed||D5G1;|pin@13||O Eg||D5G1;|pin@12||I X # Cell pms1;2{sch} Cpms1;2{sch}||schematic|1021415734000|1159375606671||ATTR_Delay(D5G1;HNPX-8.5;Y2.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y3.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-0.5;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||15.5|0|||| NOff-Page|conn@1||-13.5|7|||| Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[P-type transistor to VDD] Ngeneric:Invisible-Pin|pin@1||-1|23|||||ART_message(D5G6;)S[pms1] NWire_Pin|pin@2||0|0|||| Ipms1;1{ic}|pms1@0||14|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)S"" NPower|pwr@0||0|14|||| Awire|net@0|||900|pwr@0||0|14|PMOS@0|s|0|9 Awire|net@1|||1800|conn@1|y|-11.5|7|PMOS@0|g|-3|7 Awire|net@2|||2700|pin@2||0|0|PMOS@0|d|0|5 Awire|net@3|||1800|pin@2||0|0|conn@0|a|13.5|0 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.67 X # Cell pms1K;1{ic} Cpms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||-1.5|2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@2||-1.5|0|0.5|0.5|||ART_color()I78 NPin|pin@0||-1.75|0|1|1|| NPin|pin@1||-3|0|||| NPin|pin@2||-1.25|0.75|1|1|| NPin|pin@3||-1.25|-0.75|1|1|| NPin|pin@4||0.5|1.5|||| NPin|pin@5||-0.5|2.5|||| NPin|pin@6||0|2|1|1|| NPin|pin@7||0|-2|||| NPin|pin@8||0|-0.75|1|1|| NPin|pin@9||-0.75|-0.75|1|1|| NPin|pin@10||-0.75|0.75|1|1|| NPin|pin@11||0|0.75|1|1|| Nschematic:Bus_Pin|pin@12||0|-2|-2|-2|| Nschematic:Bus_Pin|pin@13||-3|0|-2|-2|| AThicker|net@0|||FS0|pin@0||-1.75|0|pin@1||-3|0|ART_color()I78 AThicker|net@1|||FS900|pin@2||-1.25|0.75|pin@3||-1.25|-0.75|ART_color()I78 AThicker|net@2|||FS900|pin@10||-0.75|0.75|pin@9||-0.75|-0.75|ART_color()I78 AThicker|net@3|||FS900|pin@8||0|-0.75|pin@7||0|-2|ART_color()I78 AThicker|net@4|||FS1800|pin@9||-0.75|-0.75|pin@8||0|-0.75|ART_color()I78 AThicker|net@5|||FS3150|pin@4||0.5|1.5|pin@5||-0.5|2.5|ART_color()I78 AThicker|net@6|||FS2700|pin@11||0|0.75|pin@6||0|2|ART_color()I78 AThicker|net@7|||FS0|pin@11||0|0.75|pin@10||-0.75|0.75|ART_color()I78 Ed||D5G1;|pin@12||O Eg||D5G1;|pin@13||I X # Cell pms1K;1{sch} Cpms1K;1{sch}||schematic|1021415734000|1159375602079||ATTR_Delay(D5G1;HNPX-10.5;Y1.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-10.5;Y-1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-10.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-10.5;Y2.5;)SLE.getdrive()|ATTR_drive1(D5G1;HNPTX-10.5;Y-2.5;)Sweak1|ATTR_su(D5G1;HNPTX-10.5;Y-0.5;)I-1|ATTR_verilog_template(D5G1;NTX-4;Y17;)Snot (highz0, $(drive1)) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0] IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||8|0|||| NOff-Page|conn@1||-14|7|||| NWire_Pin|pin@0||0|0|||| Ngeneric:Invisible-Pin|pin@1||-0.5|19.5|||||ART_message(D5G2;)S[P-type keeper transistor to VDD] Ngeneric:Invisible-Pin|pin@2||-0.5|24|||||ART_message(D5G6;)S[pms1K] Ipms1K;1{ic}|pms1K@0||10|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1 NPower|pwr@0||0|14|||| Awire|net@0|||900|pwr@0||0|14|PMOS@0|s|0|9 Awire|net@1|||1800|conn@1|y|-12|7|PMOS@0|g|-3|7 Awire|net@2|||2700|pin@0||0|0|PMOS@0|d|0|5 Awire|net@3|||0|conn@0|a|6|0|pin@0||0|0 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67 X # Cell pms2;1{ic} Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||2|-4|1|1|||ART_color()I78 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78 NPin|pin@0||0|-3|1|1|YRR| NPin|pin@1||0.75|-3|1|1|YRR| NPin|pin@2||0.75|-5|1|1|YRR| NPin|pin@3||0|-5|1|1|YRR| NPin|pin@4||0|-6|||RR| NPin|pin@5||2.5|-4|1|1|RR| NPin|pin@6||3|-4|||RR| NPin|pin@7||0|-2|1|1|YRR| NPin|pin@8||1.5|-5|1|1|YRR| NPin|pin@9||1.5|-3|1|1|YRR| NPin|pin@10||-1.5|1|1|1|| NPin|pin@11||-1.5|-1|1|1|| NPin|pin@12||0.5|1.5|1|1|| NPin|pin@13||-0.5|2.5|||| NPin|pin@14||0|2|1|1|| NPin|pin@15||-2.5|0|1|1|RR| NPin|pin@16||-3|0|||RR| NPin|pin@17||0|-2|1|1|YRR| NPin|pin@18||0|-1|1|1|YRR| NPin|pin@19||-0.75|-1|1|1|| NPin|pin@20||-0.75|1|1|1|| NPin|pin@21||0|1|1|1|| Nschematic:Bus_Pin|pin@22||3|-4|-2|-2|| Nschematic:Bus_Pin|pin@23||0|-6|-2|-2|| Nschematic:Bus_Pin|pin@24||-3|0|-2|-2|| AThicker|net@0|||FS900|pin@1||0.75|-3|pin@2||0.75|-5|ART_color()I78 AThicker|net@1|||FS900|pin@3||0|-5|pin@4||0|-6|ART_color()I78 AThicker|net@2|||FS0|pin@2||0.75|-5|pin@3||0|-5|ART_color()I78 AThicker|net@3|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78 AThicker|net@4|||FS900|pin@9||1.5|-3|pin@8||1.5|-5|ART_color()I78 AThicker|net@5|||FS1800|pin@0||0|-3|pin@1||0.75|-3|ART_color()I78 AThicker|net@6|||FS2700|pin@0||0|-3|pin@7||0|-2|ART_color()I78 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@13||-0.5|2.5|ART_color()I78 AThicker|net@8|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78 AThicker|net@9|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78 AThicker|net@10|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78 AThicker|net@11|||FS1800|pin@16||-3|0|pin@15||-2.5|0|ART_color()I78 AThicker|net@12|||FS2700|pin@21||0|1|pin@14||0|2|ART_color()I78 AThicker|net@13|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78 AThicker|net@14|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I78 Ed||D5G1;|pin@23||O Eg||D5G1;|pin@24||I Eg2||D5G1;|pin@22||I X # Cell pms2;1{sch} Cpms2;1{sch}||schematic|1021415734000|1159375592265||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_LEGATE(D5G1;HNPTX-12.5;Y5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y7;)I-1|ATTR_X(D5G1;HNOJPX-12.25;Y9;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-12.5;Y6;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0 Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||8.5|7|||YRR| NOff-Page|conn@1||8|0|||| NOff-Page|conn@2||-8.5|15|||| Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[two P-type transistors to VDD] Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2] NWire_Pin|pin@2||0|0|||| Ipms2;1{ic}|pms2@0||20.25|21.75|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1 NPower|pwr@0||0|22|||| Awire|net@0|||900|pwr@0||0|22|PMOS@0|s|0|17 Awire|net@1|||1800|conn@2|y|-6.5|15|PMOS@0|g|-3|15 Awire|net@2|||900|PMOS@0|d|0|13|PMOS@1|s|0|9 Awire|net@3|||0|conn@0|y|6.5|7|PMOS@1|g|3|7 Awire|net@4|||2700|pin@2||0|0|PMOS@1|d|0|5 Awire|net@5|||1800|pin@2||0|0|conn@1|a|6|0 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 X # Cell pms2K;1{ic} Cpms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;HNOJPX3.5;Y1;)SLE.getdrive()|ATTR_su(D5G1;HNPX3.5;Y-1;)I-1|prototype_center()I[0,24000] Ngeneric:Facet-Center|art@0||0|0||||AV NOpened-Thicker-Polygon|art@1||0.75|-4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5] NThick-Circle|art@2||-2|-4|1|1|||ART_color()I78 NThick-Circle|art@3||-2|0|1|1|||ART_color()I78 Nschematic:Wire_Pin|pin@0||0|2|-0.5|-0.5|| NPin|pin@1||-3|-1|1|1|| NPin|pin@2||-3|-4|1|1|| NPin|pin@3||-2.5|-4|1|1|| NPin|pin@4||-2.5|0|1|1|| NPin|pin@5||-3|0|1|1|| NPin|pin@6||0|-3|1|1|YRR| NPin|pin@7||-0.75|-3|1|1|YRR| NPin|pin@8||-0.75|-5|1|1|YRR| NPin|pin@9||0|-5|1|1|YRR| NPin|pin@10||0|-6|||RR| NPin|pin@11||0|-2|1|1|YRR| NPin|pin@12||-1.5|-5|1|1|YRR| NPin|pin@13||-1.5|-3|1|1|YRR| NPin|pin@14||-1.5|1|1|1|| NPin|pin@15||-1.5|-1|1|1|| NPin|pin@16||0|2|||| NPin|pin@17||0|-2|1|1|YRR| NPin|pin@18||0|-1|1|1|YRR| NPin|pin@19||-0.75|-1|1|1|| NPin|pin@20||-0.75|1|1|1|| NPin|pin@21||0|1|1|1|| NPin|pin@22||-2|-1|1|1|| NPin|pin@23||-3|-2|1|1|| NPin|pin@24||-4|-1|||| Nschematic:Bus_Pin|pin@25||-3|-4|-2|-2|| Nschematic:Bus_Pin|pin@26||0|-6|-2|-2|| AThicker|net@0|||FS2700|pin@1||-3|-1|pin@5||-3|0|ART_color()I78 AThicker|net@1|||FS1800|pin@24||-4|-1|pin@1||-3|-1|ART_color()I78 AThicker|net@2|||FS1800|pin@1||-3|-1|pin@22||-2|-1|ART_color()I78 AThicker|net@3|||FS0|pin@3||-2.5|-4|pin@2||-3|-4|ART_color()I78 AThicker|net@4|||FS0|pin@4||-2.5|0|pin@5||-3|0|ART_color()I78 AThicker|net@5|||FS900|pin@9||0|-5|pin@10||0|-6|ART_color()I78 AThicker|net@6|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78 AThicker|net@7|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78 AThicker|net@8|||FS2700|pin@21||0|1|pin@16||0|2|ART_color()I78 AThicker|net@9|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78 AThicker|net@10|||FS900|pin@14||-1.5|1|pin@15||-1.5|-1|ART_color()I78 AThicker|net@11|||FS900|pin@13||-1.5|-3|pin@12||-1.5|-5|ART_color()I78 AThicker|net@12|||FS900|pin@7||-0.75|-3|pin@8||-0.75|-5|ART_color()I78 AThicker|net@13|||FS2700|pin@6||0|-3|pin@11||0|-2|ART_color()I78 AThicker|net@14|||FS1800|pin@8||-0.75|-5|pin@9||0|-5|ART_color()I78 AThicker|net@15|||FS0|pin@6||0|-3|pin@7||-0.75|-3|ART_color()I78 AThicker|net@16|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78 AThicker|net@17|||FS1350|pin@24||-4|-1|pin@23||-3|-2|ART_color()I78 AThicker|net@18|||FS2250|pin@23||-3|-2|pin@22||-2|-1|ART_color()I78 Ein||D5G1;|pin@25||I Eout||D5G1;|pin@26||O Esrc||D5G1;|pin@0||I X # Cell pms2K;1{sch} Cpms2K;1{sch}||schematic|1021415734000|1159375596600||ATTR_Delay(D5G1;HNPX-9;Y1;)I100|ATTR_LEKEEPER(D5G1;HNPX-9;Y-1;)I1|ATTR_SP(D5G1;HNOJPX-9;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPX-9;)I-1|prototype_center()I[0,0] IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||0|14|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@SP==0?0:@SP<0.25?(0.25*(2-0.4)/@SP + 0.4):2|ATTR_W(D6G1;NOJPX2;Y1;)S@SP>1?3*@SP:3 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3 Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14.25|18.75|||| NOff-Page|conn@1||-14|7|||| NOff-Page|conn@2||8|0|||| NGround|gnd@0||-6|10|||| NWire_Pin|pin@0||2|7.5|||| NWire_Pin|pin@1||2|14.5|||| NWire_Pin|pin@2||0|18.75|||| NWire_Pin|pin@3||-6|14|||| Ngeneric:Invisible-Pin|pin@4||-1|31|||||ART_message(D5G6;)S[pms2K] Ngeneric:Invisible-Pin|pin@5||-1|26|||||ART_message(D5G2;)S[min P-type with resistor to pin] NWire_Pin|pin@6||0|0|||| Ipms2K;1{ic}|pms2K@0||11|19|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)I-1 NPower|pwr@0||2|21|||| Awire|net@0|||900|pin@1||2|14.5|pin@0||2|7.5 Awire|net@1|||900|pin@2||0|18.75|PMOS4f@0|s|0|16 Awire|net@2|||0|pin@1||2|14.5|PMOS4f@0|b|0|14.5 Awire|net@3|||1800|pin@3||-6|14|PMOS4f@0|g|-3|14 Awire|net@4|||900|PMOS4f@0|d|0|12|PMOS4f@1|s|0|9 Awire|net@5|||0|pin@0||2|7.5|PMOS4f@1|b|0|7.5 Awire|net@6|||1800|conn@1|y|-12|7|PMOS4f@1|g|-3|7 Awire|net@7|||2700|pin@6||0|0|PMOS4f@1|d|0|5 Awire|net@8|||900|pwr@0||2|21|pin@1||2|14.5 Awire|net@9|||0|pin@2||0|18.75|conn@0|y|-12.25|18.75 Awire|net@10|||900|pin@3||-6|14|gnd@0||-6|12 Awire|net@11|||0|conn@2|a|6|0|pin@6||0|0 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I0 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I1 X # Cell pms2_sy;1{ic} Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1.75|-4|1|1|||ART_color()I78 NThick-Circle|art@2||1.75|0|1|1|||ART_color()I78 NThick-Circle|art@3||1.75|-4|1|1|||ART_color()I78 NThick-Circle|art@4||-1.75|0|1|1|||ART_color()I78 NPin|pin@0||-2.25|0|1|1|| NPin|pin@1||-2.25|-4|1|1|| NPin|pin@2||2.25|-4|1|1|| NPin|pin@3||2.25|0|1|1|| NPin|pin@4||2.5|0|1|1|| NPin|pin@5||2.5|-4|1|1|RR| NPin|pin@6||3|-4|||RR| NPin|pin@7||-2.5|-4|1|1|| NPin|pin@8||-2.5|0|1|1|RR| NPin|pin@9||-3|0|||RR| NPin|pin@10||-0.25|-1|1|1|| NPin|pin@11||-1.25|-3|1|1|| NPin|pin@12||-1.25|-5|1|1|| NPin|pin@13||0|-5|1|1|YRR| NPin|pin@14||-0.75|-5|1|1|| NPin|pin@15||-0.75|-3|1|1|| NPin|pin@16||-0.25|-3|1|1|| NPin|pin@17||0|1|1|1|YRR| NPin|pin@18||0.75|1|1|1|YRR| NPin|pin@19||0.75|-1|1|1|YRR| NPin|pin@20||0.25|-1|1|1|| NPin|pin@21||1.25|-1|1|1|YRR| NPin|pin@22||1.25|1|1|1|YRR| NPin|pin@23||0.25|-3|1|1|YRR| NPin|pin@24||0.75|-3|1|1|YRR| NPin|pin@25||0.75|-5|1|1|YRR| NPin|pin@26||0|-5|1|1|YRR| NPin|pin@27||0|-6|||RR| NPin|pin@28||1.25|-5|1|1|YRR| NPin|pin@29||1.25|-3|1|1|YRR| NPin|pin@30||-1.25|1|1|1|| NPin|pin@31||-1.25|-1|1|1|| NPin|pin@32||0.5|1.5|1|1|| NPin|pin@33||-0.5|2.5|||| NPin|pin@34||0|2|1|1|| NPin|pin@35||-0.75|-1|1|1|| NPin|pin@36||-0.75|1|1|1|| NPin|pin@37||0|1|1|1|| Nschematic:Bus_Pin|pin@38||0|-6|-2|-2|| Nschematic:Bus_Pin|pin@39||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@40||3|-4|-2|-2|| AThicker|net@0|||FS0|pin@0||-2.25|0|pin@8||-2.5|0|ART_color()I78 AThicker|net@1|||FS0|pin@1||-2.25|-4|pin@7||-2.5|-4|ART_color()I78 AThicker|net@2|||FS1800|pin@2||2.25|-4|pin@5||2.5|-4|ART_color()I78 AThicker|net@3|||FS1800|pin@3||2.25|0|pin@4||2.5|0|ART_color()I78 AThicker|net@4|||FS900|pin@4||2.5|0|pin@5||2.5|-4|ART_color()I78 AThicker|net@5|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78 AThicker|net@6|||FS2700|pin@7||-2.5|-4|pin@8||-2.5|0|ART_color()I78 AThicker|net@7|||FS1800|pin@9||-3|0|pin@8||-2.5|0|ART_color()I78 AThicker|net@8|||FS2560|pin@16||-0.25|-3|pin@20||0.25|-1|ART_color()I78 AThicker|net@9|||FS2840|pin@23||0.25|-3|pin@10||-0.25|-1|ART_color()I78 AThicker|net@10|||FS0|pin@10||-0.25|-1|pin@35||-0.75|-1|ART_color()I78 AThicker|net@11|||FS1800|pin@14||-0.75|-5|pin@13||0|-5|ART_color()I78 AThicker|net@12|||FS0|pin@16||-0.25|-3|pin@15||-0.75|-3|ART_color()I78 AThicker|net@13|||FS900|pin@15||-0.75|-3|pin@14||-0.75|-5|ART_color()I78 AThicker|net@14|||FS900|pin@11||-1.25|-3|pin@12||-1.25|-5|ART_color()I78 AThicker|net@15|||FS0|pin@19||0.75|-1|pin@20||0.25|-1|ART_color()I78 AThicker|net@16|||FS1800|pin@17||0|1|pin@18||0.75|1|ART_color()I78 AThicker|net@17|||FS900|pin@18||0.75|1|pin@19||0.75|-1|ART_color()I78 AThicker|net@18|||FS900|pin@22||1.25|1|pin@21||1.25|-1|ART_color()I78 AThicker|net@19|||FS2700|pin@37||0|1|pin@34||0|2|ART_color()I78 AThicker|net@20|||FS3150|pin@32||0.5|1.5|pin@33||-0.5|2.5|ART_color()I78 AThicker|net@21|||FS0|pin@37||0|1|pin@36||-0.75|1|ART_color()I78 AThicker|net@22|||FS900|pin@30||-1.25|1|pin@31||-1.25|-1|ART_color()I78 AThicker|net@23|||FS900|pin@36||-0.75|1|pin@35||-0.75|-1|ART_color()I78 AThicker|net@24|||FS900|pin@26||0|-5|pin@27||0|-6|ART_color()I78 AThicker|net@25|||FS900|pin@29||1.25|-3|pin@28||1.25|-5|ART_color()I78 AThicker|net@26|||FS900|pin@24||0.75|-3|pin@25||0.75|-5|ART_color()I78 AThicker|net@27|||FS0|pin@25||0.75|-5|pin@26||0|-5|ART_color()I78 AThicker|net@28|||FS1800|pin@23||0.25|-3|pin@24||0.75|-3|ART_color()I78 Ed||D5G1;|pin@38||O Eg||D5G1;|pin@39||I Eg2||D5G1;|pin@40||I X # Cell pms2_sy;1{sch} Cpms2_sy;1{sch}||schematic|1021415734000|1159375585690||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-21;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20.5;Y-11.5;)I-1|ATTR_X(D5G1;HNOJPX-20.5;Y-9.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20.5;Y-12.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||16.5|-11|||Y| NOff-Page|conn@1||16|-4|||YRR| NOff-Page|conn@2||-13|0|||| NWire_Pin|pin@0||0|-11|||| Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy] Ngeneric:Invisible-Pin|pin@2||-2.5|9|||||ART_message(D5G2;)S[symmetric P-type two-stack] IredFive:pms2_sy;1{ic}|pms2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X Ipms2_sy;1{ic}|pms2_sy@1||28|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1 Awire|net@0|||1800|pms2_sy@0|g2|3|-4|conn@1|y|14|-4 Awire|net@1|||2700|pin@0||0|-11|pms2_sy@0|d|0|-6 Awire|net@2|||0|pms2_sy@0|g|-3|0|conn@2|y|-11|0 Awire|net@3|||1800|pin@0||0|-11|conn@0|a|14.5|-11 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F1.33 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)F1.33 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33 X # Cell pms3;1{ic} Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3.5;Y-1;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-2|-8|1|1|||ART_color()I78 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78 NThick-Circle|art@3||2|-4|1|1|||ART_color()I78 NPin|pin@0||-3|-8|1|1|RR| NPin|pin@1||-2.5|-8|||RR| NPin|pin@2||-1.5|-9|1|1|YRR| NPin|pin@3||-1.5|-7|1|1|YRR| NPin|pin@4||0|-10|0.5|0.5|| NPin|pin@5||0|-9|0.5|0.5|| NPin|pin@6||-0.75|-9|0.5|0.5|| NPin|pin@7||-0.75|-7|0.5|0.5|| Ngeneric:Invisible-Pin|pin@8||-3|-8|||| Nschematic:Bus_Pin|pin@9||-3|0|-2|-2|| Nschematic:Bus_Pin|pin@10||0|-10|-2|-2|| Nschematic:Bus_Pin|pin@11||3|-4|-2|-2|| NPin|pin@12||0|1|1|1|| NPin|pin@13||-0.75|1|1|1|| NPin|pin@14||-0.75|-1|1|1|| NPin|pin@15||0|-1|1|1|YRR| NPin|pin@16||0|-2|1|1|YRR| NPin|pin@17||-3|0|||RR| NPin|pin@18||-2.5|0|1|1|RR| NPin|pin@19||0|2|1|1|| NPin|pin@20||-0.5|2.5|||| NPin|pin@21||0.5|1.5|1|1|| NPin|pin@22||-1.5|-1|1|1|| NPin|pin@23||-1.5|1|1|1|| NPin|pin@24||1.5|-3|1|1|YRR| NPin|pin@25||1.5|-5|1|1|YRR| NPin|pin@26||0|-2|1|1|YRR| NPin|pin@27||3|-4|||RR| NPin|pin@28||2.5|-4|1|1|RR| NPin|pin@29||0|-7|||RR| NPin|pin@30||0|-5|1|1|YRR| NPin|pin@31||0.75|-5|1|1|YRR| NPin|pin@32||0.75|-3|1|1|YRR| NPin|pin@33||0|-3|1|1|YRR| AThicker|net@0|||FS1800|pin@0||-3|-8|pin@1||-2.5|-8|ART_color()I78 AThicker|net@1|||FS900|pin@3||-1.5|-7|pin@2||-1.5|-9|ART_color()I78 AThicker|net@2|||FS2700|pin@4||0|-10|pin@5||0|-9|ART_color()I78 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I78 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I78 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@29||0|-7|ART_color()I78 AThicker|net@6|||FS900|pin@23||-1.5|1|pin@22||-1.5|-1|ART_color()I78 AThicker|net@7|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78 AThicker|net@8|||FS2700|pin@12||0|1|pin@19||0|2|ART_color()I78 AThicker|net@9|||FS1800|pin@17||-3|0|pin@18||-2.5|0|ART_color()I78 AThicker|net@10|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78 AThicker|net@11|||FS900|pin@15||0|-1|pin@16||0|-2|ART_color()I78 AThicker|net@12|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@20||-0.5|2.5|ART_color()I78 AThicker|net@14|||FS2700|pin@33||0|-3|pin@26||0|-2|ART_color()I78 AThicker|net@15|||FS1800|pin@33||0|-3|pin@32||0.75|-3|ART_color()I78 AThicker|net@16|||FS900|pin@24||1.5|-3|pin@25||1.5|-5|ART_color()I78 AThicker|net@17|||FS1800|pin@28||2.5|-4|pin@27||3|-4|ART_color()I78 AThicker|net@18|||FS0|pin@31||0.75|-5|pin@30||0|-5|ART_color()I78 AThicker|net@19|||FS900|pin@30||0|-5|pin@29||0|-7|ART_color()I78 AThicker|net@20|||FS900|pin@32||0.75|-3|pin@31||0.75|-5|ART_color()I78 Ed||D5G1;|pin@10||O Eg||D5G1;|pin@9||I Eg2||D5G1;|pin@11||I Eg3||D5G1;|pin@8||I X # Cell pms3;1{sch} Cpms3;1{sch}||schematic|1021415734000|1159379854786||ATTR_Delay(D5G1;HNPX-17;Y1;)I100|ATTR_LEGATE(D5G1;HNPTX-17.5;Y-2;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;)I-1|ATTR_X(D5G1;HNOJPX-17.25;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-17.5;Y-1;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-9.5|7|||| NOff-Page|conn@1||-9|15|||| NOff-Page|conn@2||8|0|||| NOff-Page|conn@3||8.5|11|||YRR| NWire_Pin|pin@0||0|0|||| Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3] Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[three P-type transistors to VDD] IredFive:pms3;1{ic}|pms3@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1;)S@Delay|ATTR_X(D5G1.5;NOJPX2.75;Y3;)S@X Ipms3;1{ic}|pms3@1||27.25|27.88|||D0G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1 Awire|net@0|||0|pms3@0|g3|-3|7|conn@0|y|-7.5|7 Awire|net@1|||1800|pms3@0|g2|3|11|conn@3|y|6.5|11 Awire|net@2|||1800|conn@1|y|-7|15|pms3@0|g|-3|15 Awire|net@3|||2700|pin@0||0|0|pms3@0|d|0|5 Awire|net@4|||1800|pin@0||0|0|conn@2|a|6|0 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Eg2||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 Eg3||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33 X # Cell triInv;1{ic} CtriInv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I78 NThick-Circle|art@2||2|0|1|1|||ART_color()I78 NPin|pin@0||0|-1|||R| NPin|pin@1||0|-2|1|1|YR| NPin|pin@2||0|2|1|1|R| NPin|pin@3||0|1.5|||R| Ngeneric:Invisible-Pin|pin@4||0|2|||| Ngeneric:Invisible-Pin|pin@5||0|-2|||| NPin|pin@6||-1.5|-2|1|1|| NPin|pin@7||-1.5|2|1|1|| Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2|| NPin|pin@9||-2.5|0|||| NPin|pin@10||-1.5|0|1|1|| Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2|| NPin|pin@12||1.5|0|1|1|| AThicker|net@0|||FS2700|pin@1||0|-2|pin@0||0|-1|ART_color()I78 AThicker|net@1|||FS900|pin@2||0|2|pin@3||0|1.5|ART_color()I78 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78 AThicker|net@3|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I78 AThicker|net@4|||FS337|pin@12||1.5|0|pin@6||-1.5|-2|ART_color()I78 AThicker|net@5|||FS3263|pin@12||1.5|0|pin@7||-1.5|2|ART_color()I78 Een||D5G1;|pin@5||I EenB||D5G1;|pin@4||I Ein||D5G1;|pin@11||I Eout||D5G1;|pin@8||O X # Cell triInv;1{sch} CtriInv;1{sch}||schematic|1021415734000|1159376021331||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||0.5|8|||RRR| NOff-Page|conn@1||0.5|-7|||R| NOff-Page|conn@2||-14|0|||| NOff-Page|conn@3||11|0|||| Ngeneric:Invisible-Pin|pin@0||-1.5|24|||||ART_message(D5G6;)S[invTri] Ngeneric:Invisible-Pin|pin@1||-2|18.5|||||ART_message(D5G2;)S[one-parameter tri-state inverter] Ngeneric:Invisible-Pin|pin@2||19|-14|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal] Ngeneric:Invisible-Pin|pin@3||-2|15.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1] IredFive:triInv;1{ic}|triInv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2;)S@X ItriInv;1{ic}|triInv@1||28.5|17|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S"" Awire|net@0|||2700|triInv@0|enB|0.5|2|conn@0|y|0.5|6 Awire|net@1|||900|triInv@0|en|0.5|-2|conn@1|y|0.5|-5 Awire|net@2|||1800|conn@2|y|-12|0|triInv@0|in|-2|0 Awire|net@3|||1800|triInv@0|out|3|0|conn@3|a|9|0 Een||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX-1;)F0.6667 EenB||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F1.333 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)I2 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY2;)I2 X # Cell wire350;1{ic} Cwire350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1;HP)I100|ATTR_LEWIRE(D5G1;HNPY-3.25;)I1|ATTR_layer(D5G1;HNPY-1.25;)I1|ATTR_width(D5G1;HNPY-2.25;)I3|prototype_center()I[2000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I78|ART_degrees()F[0.0,3.1415927] NThick-Circle|art@2||1.5|0|1.5|1.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927] Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2|| Nschematic:Bus_Pin|pin@1||2.25|0|-2|-2|| NPin|pin@2||-1.75|0.75|1|1|| NPin|pin@3||1.5|0.75|1|1|| NPin|pin@4||1.5|-0.75|1|1|| NPin|pin@5||-1.75|-0.75|1|1|| AThicker|net@0|||FS0|pin@3||1.5|0.75|pin@2||-1.75|0.75|ART_color()I78 AThicker|net@1|||FS0|pin@4||1.5|-0.75|pin@5||-1.75|-0.75|ART_color()I78 Ea||D5G1;|pin@0||U Eb||D5G1;|pin@1||U X # Cell wire350;1{sch} Cwire350;1{sch}||schematic|1012169378000|1056433201000||ATTR_L(D5G1;HNPX-17;Y-11.5;)I100|ATTR_LEWIRE(D5G1;HNPX-17;Y-13.5;)I1|ATTR_layer(D5G1;HNPX-17;Y-12.5;)I1|ATTR_width(D5G1;HNPX-17;Y-13.5;)I3|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-22|3|||| NOff-Page|conn@1||22|3|||RR| Ngeneric:Invisible-Pin|pin@0||-4|22|||||ART_message(D5G6;)S[wire350] Ngeneric:Invisible-Pin|pin@1||-16|14.5|||||ART_message(D6G2;)S["wire in layer 'layer',","L lambda long,","'width' lambda wide,",for the 350nm tech] Ngeneric:Invisible-Pin|pin@2||-13|7|||||ART_message(D5G1;)S[1/4 of the length] Ngeneric:Invisible-Pin|pin@3||-1|7|||||ART_message(D5G1;)S[1/2 of the length] Ngeneric:Invisible-Pin|pin@4||13|7|||||ART_message(D5G1;)S[1/4 of the length] NWire_Pin|pin@5||-7|3|||| NWire_Pin|pin@6||7|3|||| Iwire350;1{ic}|wire350@0||26|19|||D0G4;|ATTR_L(D5G1;P)I100|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3 IwireC350;1{ic}|wireC350@0||-7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width IwireC350;1{ic}|wireC350@1||7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width IwireR350;1{ic}|wireR350@0||13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width IwireR350;1{ic}|wireR350@1||-0.5|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/2.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width IwireR350;1{ic}|wireR350@2||-13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width Awire|net@0|||1800|pin@5||-7|3|wireR350@1|a|-4.5|3 Awire|net@1|||1800|wireR350@1|b|3.5|3|pin@6||7|3 Awire|net@2|||1800|pin@6||7|3|wireR350@0|a|9|3 Awire|net@3|||0|conn@1|y|20|3|wireR350@0|b|17|3 Awire|net@4|||0|pin@5||-7|3|wireR350@2|b|-9|3 Awire|net@5|||1800|conn@0|y|-20|3|wireR350@2|a|-17|3 Awire|net@6|||900|pin@5||-7|3|wireC350@0|a|-7|-4 Awire|net@7|||900|pin@6||7|3|wireC350@1|a|7|-4 Ea||D5G2;|conn@0|a|U Eb||D5G2;|conn@1|a|U X # Cell wireC350;1{ic} CwireC350;1{ic}||artwork|1014599103000|1204140525662|E|ATTR_L(D6G1.5;HNPX1.5;Y0.5;)I100|ATTR_layer(D5G1;HNPX3;Y-1.5;)I1|ATTR_width(D5G1;HNPX3;Y-0.5;)I3|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||0|-3|1|1|| NPin|pin@1||-1|-2|1|1|||ART_color()I78 NPin|pin@2||1|-2|1|1|||ART_color()I78 NPin|pin@3||0|-2|1|1|| NPin|pin@4||0|-0.25|1|1|||ART_color()I78 NPin|pin@5||0|2|1|1|| NPin|pin@6||0|0.25|1|1|||ART_color()I78 NPin|pin@7||-1|0.25|1|1|||ART_color()I78 NPin|pin@8||1|0.25|1|1|||ART_color()I78 NPin|pin@9||1|-0.25|1|1|||ART_color()I78 NPin|pin@10||-1|-0.25|1|1|||ART_color()I78 Nschematic:Bus_Pin|pin@11||0|2|-2|-2|| AThicker|net@0|||FS450|pin@2||1|-2|pin@0||0|-3|ART_color()I78 AThicker|net@1|||FS3150|pin@0||0|-3|pin@1||-1|-2|ART_color()I78 AThicker|net@2|||FS0|pin@2||1|-2|pin@1||-1|-2|ART_color()I78 AThicker|net@4|||FS900|pin@5||0|2|pin@6||0|0.25|ART_color()I78 AThicker|net@5|||FS0|pin@8||1|0.25|pin@7||-1|0.25|ART_color()I78 AThicker|net@6|||FS0|pin@9||1|-0.25|pin@10||-1|-0.25|ART_color()I78 Ea||D5G1;|pin@11||I X # Cell wireC350;1{sch} CwireC350;1{sch}||schematic|1014598612000|1025280871000||ATTR_L(D5G1;HNPX-16;Y-4;)I100|ATTR_layer(D5G1;HNPX-16;Y-5;)I1|ATTR_width(D5G1;HNPX-16;Y-6;)I3|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NCapacitor|cap@0||0|0|||||SCHEM_capacitance(D5G1;OJUC)S(@layer<4?0.04:0.056) * @L * 1e-15 NOff-Page|conn@0||0|7|||RRR| NGround|gnd@0||0|-6|||| Ngeneric:Invisible-Pin|pin@0||0|-9|||||ART_message(D5G1;)S["(P(\"M\")<4?0.04:0.056)*P(\"L\")"] Ngeneric:Invisible-Pin|pin@1||-20|9|||||ART_message(D6G2;)S[the capacitance in fF of,a layer 'layer' wire,L lambda long and,'width' lambda wide] Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G6;)S[wireC350] IwireC350;1{ic}|wireC350@0||9|9|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3 Awire|net@0|||2700|cap@0|a|0|2|conn@0|y|0|5 Awire|net@1|||2700|gnd@0||0|-4|cap@0|b|0|-2 Ea||D5G2;|conn@0|a|I X # Cell wireR350;1{ic} CwireR350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1.5;HNPY1;)I100|ATTR_layer(D5G1;HNPY-2.5;)I1|ATTR_width(D5G1;HNPY-1.5;)I3|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NPin|pin@0||-4|0|1|1|| NPin|pin@1||4|0|1|1|| NPin|pin@2||2.5|0|1|1|| NPin|pin@3||2|-1|1|1|| NPin|pin@4||1|1|1|1|| NPin|pin@5||0|-1|1|1|| NPin|pin@6||-1|1|1|1|| NPin|pin@7||-2|-1|1|1|| NPin|pin@8||-2.5|0|1|1|| Nschematic:Bus_Pin|pin@9||-4|0|-2|-2|| Nschematic:Bus_Pin|pin@10||4|0|-2|-2|| AThicker|net@0|||FS1800|pin@0||-4|0|pin@8||-2.5|0|ART_color()I78 AThicker|net@1|||FS0|pin@1||4|0|pin@2||2.5|0|ART_color()I78 AThicker|net@2|||FS634|pin@2||2.5|0|pin@3||2|-1|ART_color()I78 AThicker|net@3|||FS2966|pin@3||2|-1|pin@4||1|1|ART_color()I78 AThicker|net@4|||FS634|pin@4||1|1|pin@5||0|-1|ART_color()I78 AThicker|net@5|||FS2966|pin@5||0|-1|pin@6||-1|1|ART_color()I78 AThicker|net@6|||FS634|pin@6||-1|1|pin@7||-2|-1|ART_color()I78 AThicker|net@7|||FS2966|pin@7||-2|-1|pin@8||-2.5|0|ART_color()I78 Ea||D5G1;|pin@9||U Eb||D5G1;|pin@10||U X # Cell wireR350;1{sch} CwireR350;1{sch}||schematic|1012169378000|1025280871000||ATTR_L(D5G1;HNPX-5.5;Y-5;)I100|ATTR_layer(D5G1;HNPX-5.5;Y-6;)I1|ATTR_width(D5G1;HNPX-5.5;Y-7;)I3|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||-14|0|||| NOff-Page|conn@1||14|0|||RR| Ngeneric:Invisible-Pin|pin@0||-16|12|||||ART_message(D6G2;)S[the resistance in ohms of,a layer 'layer' wire,L lambda long and,'width' lambda wide] Ngeneric:Invisible-Pin|pin@1||0|5|||||ART_message(D5G1;)S["(P(\"M\")==0?6.5:P(\"M\")<4?0.084:0.0504)*P(\"L\")/P(\"W\")"] Ngeneric:Invisible-Pin|pin@2||-4|20.5|||||ART_message(D5G6;)S[wireR350] NResistor|res@0||0|0|||||SCHEM_resistance(D5G1;OJURY1.5;)S(@layer==0?6.5:@layer<4?0.084:0.0504)*@L/@width IwireR350;1{ic}|wireR350@0||11|10|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3 Awire|net@0|||0|conn@1|y|12|0|res@0|b|2|0 Awire|net@1|||0|res@0|a|-2|0|conn@0|y|-12|0 Ea||D5G2;|conn@0|a|U Eb||D5G2;|conn@1|a|U X # Cell xor2;1{ic} Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0] Ngeneric:Facet-Center|art@0||0|0||||AV NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976] NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I78|ART_degrees()I800 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I78 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I78 NPin|pin@0||-0.5|-1.75|1|1|| NPin|pin@1||-1|-1.25|1|1|| NPin|pin@2||-2|1.5|1|1|| NPin|pin@3||-3.5|1.5|||| Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2|| Nschematic:Bus_Pin|pin@5||-3.5|1.5|-2|-2|| NPin|pin@6||-3.5|-0.5|||| NPin|pin@7||-1.5|-0.5|1|1|| Nschematic:Bus_Pin|pin@8||-3.5|-0.5|-2|-2|| Ngeneric:Invisible-Pin|pin@9||-3.5|-1.5|||| Ngeneric:Invisible-Pin|pin@10||-3.5|0.5|||| NPin|pin@11||-3.5|0.5|||| NPin|pin@12||-2.5|0.5|1|1|| NPin|pin@13||-3|-1.5|1|1|| NPin|pin@14||-3.5|-1.5|||| AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78 AThicker|net@2|||FS0|pin@2||-2|1.5|pin@3||-3.5|1.5|ART_color()I78 AThicker|net@3|||FS0|pin@7||-1.5|-0.5|pin@6||-3.5|-0.5|ART_color()I78 AThicker|net@4|||FS0|pin@12||-2.5|0.5|pin@11||-3.5|0.5|ART_color()I78 AThicker|net@5|||FS0|pin@13||-3|-1.5|pin@14||-3.5|-1.5|ART_color()I78 Eina||D5G1;|pin@8||I EinaB||D5G1;|pin@9||I Einb||D5G1;|pin@5||I EinbB||D5G1;|pin@10||I Eout||D5G1;|pin@4||O X # Cell xor2;1{sch} Cxor2;1{sch}||schematic|1021415734000|1159376016515||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0] Ngeneric:Facet-Center|art@0||0|0||||AV NOff-Page|conn@0||14|0|||Y| NOff-Page|conn@1||-34|1.5|||| NOff-Page|conn@2||-18|-0.5|||Y| NOff-Page|conn@3||-10.5|-1.5|||Y| NOff-Page|conn@4||-25.5|0.5|||| Ngeneric:Invisible-Pin|pin@0||-2|15|||||ART_message(D5G6;)S[xor2] Ngeneric:Invisible-Pin|pin@1||-2|10|||||ART_message(D5G2;)S[one-parameter XOR] Ixor2;1{ic}|xor2@0||20.48|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1 IredFive:xor2;1{ic}|xor2@1||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1 Awire|net@0|||0|conn@0|a|12|0|xor2@1|out|1.5|0 Awire|net@1|||1800|conn@2|y|-16|-0.5|xor2@1|ina|-3.5|-0.5 Awire|net@2|||0|xor2@1|inb|-3.5|1.5|conn@1|y|-32|1.5 Awire|net@3|||0|xor2@1|inaB|-3.5|-1.5|conn@3|y|-8.5|-1.5 Awire|net@4|||0|xor2@1|inbB|-3.5|0.5|conn@4|y|-23.5|0.5 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)I2 EinaB||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)I2 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I2 EinbB||D5G2;|conn@4|a|I|ATTR_le(D5G1;NY2;)I2 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I4 X