[project @ 2000-11-16 14:43:05 by simonpj]
[ghc-hetmet.git] / ghc / compiler / main / HscMain.lhs
index b7e1577..f7abbb0 100644 (file)
@@ -10,11 +10,11 @@ module HscMain ( HscResult(..), hscMain,
 #include "HsVersions.h"
 
 import Maybe           ( isJust )
-import IO              ( hPutStr, hPutStrLn, stderr )
+import IO              ( hPutStrLn, stderr )
 import HsSyn
 
 import StringBuffer    ( hGetStringBuffer )
-import Parser          ( parse )
+import Parser
 import Lex             ( PState(..), ParseResult(..) )
 import SrcLoc          ( mkSrcLoc )
 
@@ -22,9 +22,8 @@ import Rename         ( renameModule, checkOldIface, closeIfaceDecls )
 import Rules           ( emptyRuleBase )
 import PrelInfo                ( wiredInThingEnv, wiredInThings )
 import PrelNames       ( knownKeyNames )
-import PrelRules       ( builtinRules )
 import MkIface         ( completeIface, mkModDetailsFromIface, mkModDetails,
-                         writeIface )
+                         writeIface, pprIface )
 import TcModule                ( TcResults(..), typecheckModule )
 import InstEnv         ( emptyInstEnv )
 import Desugar         ( deSugar )
@@ -40,27 +39,26 @@ import CodeOutput   ( codeOutput )
 
 import Module          ( ModuleName, moduleName, mkModuleInThisPackage )
 import CmdLineOpts
-import ErrUtils                ( dumpIfSet_dyn )
+import ErrUtils                ( dumpIfSet_dyn, showPass )
 import Util            ( unJust )
 import UniqSupply      ( mkSplitUniqSupply )
 
 import Bag             ( emptyBag )
 import Outputable
-import StgInterp       ( stgToInterpSyn )
+import Interpreter     ( UnlinkedIBind, ItblEnv, stgToInterpSyn )
 import HscStats                ( ppSourceStats )
 import HscTypes                ( ModDetails, ModIface(..), PersistentCompilerState(..),
                          PersistentRenamerState(..), ModuleLocation(..),
                          HomeSymbolTable, 
                          OrigNameEnv(..), PackageRuleBase, HomeIfaceTable, 
                          typeEnvClasses, typeEnvTyCons, emptyIfaceTable )
-import InterpSyn       ( UnlinkedIBind )
-import StgInterp       ( ItblEnv )
 import FiniteMap       ( FiniteMap, plusFM, emptyFM, addToFM )
 import OccName         ( OccName )
 import Name            ( Name, nameModule, nameOccName, getName  )
 import Name            ( emptyNameEnv )
 import Module          ( Module, lookupModuleEnvByName )
 
+import Monad           ( when )
 \end{code}
 
 
@@ -95,11 +93,14 @@ hscMain
 
 hscMain dflags source_unchanged location maybe_old_iface hst hit pcs
  = do {
-      putStrLn "CHECKING OLD IFACE";
-      (pcs_ch, check_errs, (recomp_reqd, maybe_checked_iface))
+      putStrLn ("CHECKING OLD IFACE for hs = " ++ show (ml_hs_file location)
+                ++ ", hspp = " ++ show (ml_hspp_file location));
+
+      (pcs_ch, errs_found, (recomp_reqd, maybe_checked_iface))
          <- checkOldIface dflags hit hst pcs (unJust (ml_hi_file location) "hscMain")
                          source_unchanged maybe_old_iface;
-      if check_errs then
+
+      if errs_found then
          return (HscFail pcs_ch)
       else do {
 
@@ -119,7 +120,6 @@ hscNoRecomp dflags location maybe_checked_iface hst hit pcs_ch
       let old_iface = case maybe_checked_iface of 
                          Just old_if -> old_if
                          Nothing -> panic "hscNoRecomp:old_iface"
-          this_mod = mi_module old_iface
       ;
       -- CLOSURE
       (pcs_cl, closure_errs, cl_hs_decls) 
@@ -129,14 +129,13 @@ hscNoRecomp dflags location maybe_checked_iface hst hit pcs_ch
       else do {
 
       -- TYPECHECK
-      maybe_tc_result
-         <- typecheckModule dflags this_mod pcs_cl hst hit cl_hs_decls;
+      maybe_tc_result <- typecheckModule dflags pcs_cl hst 
+                                        old_iface alwaysQualify cl_hs_decls;
       case maybe_tc_result of {
          Nothing -> return (HscFail pcs_cl);
-         Just tc_result -> do {
+         Just (pcs_tc, tc_result) -> do {
 
-      let pcs_tc      = tc_pcs tc_result
-          env_tc      = tc_env tc_result
+      let env_tc      = tc_env tc_result
           local_insts = tc_insts tc_result
           local_rules = tc_rules tc_result
       ;
@@ -152,83 +151,94 @@ hscNoRecomp dflags location maybe_checked_iface hst hit pcs_ch
 
 
 hscRecomp dflags location maybe_checked_iface hst hit pcs_ch
- = do {
-      hPutStrLn stderr "COMPILATION IS REQUIRED";
-
-      -- what target are we shooting for?
-      let toInterp = dopt_HscLang dflags == HscInterpreted
-      ;
-      -- PARSE
-      maybe_parsed 
-         <- myParseModule dflags (unJust (ml_hspp_file location) "hscRecomp:hspp");
-      case maybe_parsed of {
-         Nothing -> return (HscFail pcs_ch);
-         Just rdr_module -> do {
-
-      -- RENAME
-      let this_mod = mkModuleInThisPackage (hsModuleName rdr_module)
-      ;
-      show_pass dflags "Renamer";
-      (pcs_rn, maybe_rn_result) 
-         <- renameModule dflags hit hst pcs_ch this_mod rdr_module;
-      case maybe_rn_result of {
-         Nothing -> return (HscFail pcs_rn);
-         Just (new_iface, rn_hs_decls) -> do {
-
-      -- TYPECHECK
-      show_pass dflags "Typechecker";
-      maybe_tc_result
-         <- typecheckModule dflags this_mod pcs_rn hst hit rn_hs_decls;
-      case maybe_tc_result of {
-         Nothing -> do { hPutStrLn stderr "Typechecked failed" 
-                      ; return (HscFail pcs_rn) } ;
-         Just tc_result -> do {
-
-      let pcs_tc        = tc_pcs tc_result
-          env_tc        = tc_env tc_result
-          local_insts   = tc_insts tc_result
-      ;
-      -- DESUGAR, SIMPLIFY, TIDY-CORE
-      -- We grab the the unfoldings at this point.
-      (tidy_binds, orphan_rules, foreign_stuff)
-         <- dsThenSimplThenTidy dflags (pcs_rules pcs_tc) this_mod tc_result hst
-      ;
-      -- CONVERT TO STG
-      (stg_binds, oa_tidy_binds, cost_centre_info, top_level_ids) 
-         <- myCoreToStg dflags this_mod tidy_binds
-      ;
-      -- cook up a new ModDetails now we (finally) have all the bits
-      let new_details = mkModDetails env_tc local_insts tidy_binds 
-                                    top_level_ids orphan_rules
-      ;
-      -- and the final interface
-      final_iface 
-         <- mkFinalIface dflags location maybe_checked_iface new_iface new_details
-      ;
-      -- do the rest of code generation/emission
-      (maybe_stub_h_filename, maybe_stub_c_filename, maybe_ibinds)
-         <- restOfCodeGeneration dflags toInterp this_mod
-              (map ideclName (hsModuleImports rdr_module))
-               cost_centre_info foreign_stuff env_tc stg_binds oa_tidy_binds
-               hit (pcs_PIT pcs_tc)       
-      ;
-      -- and the answer is ...
-      return (HscOK new_details (Just final_iface)
-                   maybe_stub_h_filename maybe_stub_c_filename
-                    maybe_ibinds pcs_tc)
-      }}}}}}}
+ = do  {
+       ; hPutStrLn stderr "COMPILATION IS REQUIRED";
+
+         -- what target are we shooting for?
+       ; let toInterp = dopt_HscLang dflags == HscInterpreted
+
+           -------------------
+           -- PARSE
+           -------------------
+       ; maybe_parsed <- myParseModule dflags (unJust (ml_hspp_file location) "hscRecomp:hspp")
+       ; case maybe_parsed of {
+            Nothing -> return (HscFail pcs_ch);
+            Just rdr_module -> do {
+       ; let this_mod = mkModuleInThisPackage (hsModuleName rdr_module)
+    
+           -------------------
+           -- RENAME
+           -------------------
+       ; (pcs_rn, maybe_rn_result) 
+            <- renameModule dflags hit hst pcs_ch this_mod rdr_module
+       ; case maybe_rn_result of {
+            Nothing -> return (HscFail pcs_rn);
+            Just (print_unqualified, (is_exported, new_iface, rn_hs_decls)) -> do {
+    
+           -------------------
+           -- TYPECHECK
+           -------------------
+       ; maybe_tc_result <- typecheckModule dflags pcs_rn hst new_iface 
+                                            print_unqualified rn_hs_decls
+       ; case maybe_tc_result of {
+            Nothing -> do { hPutStrLn stderr "Typecheck failed" 
+                          ; return (HscFail pcs_rn) } ;
+            Just (pcs_tc, tc_result) -> do {
+    
+       ; let env_tc        = tc_env tc_result
+             local_insts   = tc_insts tc_result
+
+           -------------------
+           -- DESUGAR, SIMPLIFY, TIDY-CORE
+           -------------------
+         -- We grab the the unfoldings at this point.
+       ; simpl_result <- dsThenSimplThenTidy dflags pcs_tc hst this_mod 
+                                             print_unqualified is_exported tc_result
+       ; let (tidy_binds, orphan_rules, foreign_stuff) = simpl_result
+           
+           -------------------
+           -- CONVERT TO STG
+           -------------------
+       ; (stg_binds, oa_tidy_binds, cost_centre_info, top_level_ids) 
+            <- myCoreToStg dflags this_mod tidy_binds
+
+
+           -------------------
+           -- BUILD THE NEW ModDetails AND ModIface
+           -------------------
+       ; let new_details = mkModDetails env_tc local_insts tidy_binds 
+                                        top_level_ids orphan_rules
+       ; final_iface <- mkFinalIface dflags location maybe_checked_iface 
+                                     new_iface new_details
+
+           -------------------
+           -- COMPLETE CODE GENERATION
+           -------------------
+       ; (maybe_stub_h_filename, maybe_stub_c_filename, maybe_ibinds)
+            <- restOfCodeGeneration dflags toInterp this_mod
+                  (map ideclName (hsModuleImports rdr_module))
+                  cost_centre_info foreign_stuff env_tc stg_binds oa_tidy_binds
+                  hit (pcs_PIT pcs_tc)       
+
+         -- and the answer is ...
+       ; return (HscOK new_details (Just final_iface)
+                       maybe_stub_h_filename maybe_stub_c_filename
+                       maybe_ibinds pcs_tc)
+         }}}}}}}
 
 
 
 mkFinalIface dflags location maybe_old_iface new_iface new_details
  = case completeIface maybe_old_iface new_iface new_details of
       (new_iface, Nothing) -- no change in the interfacfe
-         -> do if dopt Opt_D_dump_hi_diffs dflags  then
-                       printDump (text "INTERFACE UNCHANGED")
-                 else  return ()
+         -> do when (dopt Opt_D_dump_hi_diffs dflags)
+                    (printDump (text "INTERFACE UNCHANGED"))
+               dumpIfSet_dyn dflags Opt_D_dump_hi
+                             "UNCHANGED FINAL INTERFACE" (pprIface new_iface)
               return new_iface
-      (new_iface, Just sdoc)
-         -> do dumpIfSet_dyn dflags Opt_D_dump_hi_diffs "NEW INTERFACE" sdoc
+      (new_iface, Just sdoc_diffs)
+         -> do dumpIfSet_dyn dflags Opt_D_dump_hi_diffs "INTERFACE HAS CHANGED" sdoc_diffs
+               dumpIfSet_dyn dflags Opt_D_dump_hi "NEW FINAL INTERFACE" (pprIface new_iface)
                -- Write the interface file
                writeIface (unJust (ml_hi_file location) "hscRecomp:hi") new_iface
                return new_iface
@@ -236,7 +246,7 @@ mkFinalIface dflags location maybe_old_iface new_iface new_details
 
 myParseModule dflags src_filename
  = do --------------------------  Parser  ----------------
-      show_pass dflags "Parser"
+      showPass dflags "Parser"
       -- _scc_     "Parser"
 
       buf <- hGetStringBuffer True{-expand tabs-} src_filename
@@ -250,7 +260,8 @@ myParseModule dflags src_filename
 
        PFailed err -> do { hPutStrLn stderr (showSDoc err);
                             return Nothing };
-       POk _ rdr_module@(HsModule mod_name _ _ _ _ _ _) -> do {
+
+       POk _ (PModule rdr_module@(HsModule mod_name _ _ _ _ _ _)) -> do {
 
       dumpIfSet_dyn dflags Opt_D_dump_parsed "Parser" (ppr rdr_module) ;
       
@@ -271,14 +282,12 @@ restOfCodeGeneration dflags toInterp this_mod imported_module_names cost_centre_
 
  | otherwise
  = do --------------------------  Code generation -------------------------------
-      show_pass dflags "CodeGen"
       -- _scc_     "CodeGen"
       abstractC <- codeGen dflags this_mod imported_modules
                            cost_centre_info fe_binders
                            local_tycons stg_binds
 
       --------------------------  Code output -------------------------------
-      show_pass dflags "CodeOutput"
       -- _scc_     "CodeOutput"
       (maybe_stub_h_name, maybe_stub_c_name)
          <- codeOutput dflags this_mod local_tycons
@@ -304,22 +313,18 @@ restOfCodeGeneration dflags toInterp this_mod imported_module_names cost_centre_
                        (ppr nm)
 
 
-dsThenSimplThenTidy dflags rule_base this_mod tc_result hst
+dsThenSimplThenTidy dflags pcs hst this_mod print_unqual is_exported tc_result
  = do --------------------------  Desugaring ----------------
       -- _scc_     "DeSugar"
-      show_pass dflags "DeSugar"
-      ds_uniqs <- mkSplitUniqSupply 'd'
       (desugared, rules, h_code, c_code, fe_binders) 
-         <- deSugar dflags this_mod ds_uniqs hst tc_result
+         <- deSugar dflags pcs hst this_mod print_unqual tc_result
 
       --------------------------  Main Core-language transformations ----------------
       -- _scc_     "Core2Core"
-      show_pass dflags "Core2Core"
       (simplified, orphan_rules) 
-         <- core2core dflags rule_base hst desugared rules
+         <- core2core dflags pcs hst is_exported desugared rules
 
       -- Do the final tidy-up
-      show_pass dflags "CoreTidy"
       (tidy_binds, tidy_orphan_rules) 
          <- tidyCorePgm dflags this_mod simplified orphan_rules
       
@@ -337,23 +342,64 @@ myCoreToStg dflags this_mod tidy_binds
       -- simplifier, which for reasons I don't understand, persists
       -- thoroughout code generation
 
-      show_pass dflags "Core2Stg"
+      showPass dflags "Core2Stg"
       -- _scc_     "Core2Stg"
       let stg_binds   = topCoreBindsToStg c2s_uniqs occ_anal_tidy_binds
 
-      show_pass dflags "Stg2Stg"
+      showPass dflags "Stg2Stg"
       -- _scc_     "Stg2Stg"
       (stg_binds2, cost_centre_info) <- stg2stg dflags this_mod st_uniqs stg_binds
       let final_ids = collectFinalStgBinders (map fst stg_binds2)
 
       return (stg_binds2, occ_anal_tidy_binds, cost_centre_info, final_ids)
+\end{code}
 
 
-show_pass dflags what
-  = if   dopt Opt_D_show_passes dflags
-    then hPutStr stderr ("*** "++what++":\n")
-    else return ()
-\end{code}
+%************************************************************************
+%*                                                                     *
+\subsection{Compiling an expression}
+%*                                                                     *
+%************************************************************************
+
+hscExpr
+  :: DynFlags
+  -> HomeSymbolTable   
+  -> HomeIfaceTable
+  -> PersistentCompilerState    -- IN: persistent compiler state
+  -> Module                    -- Context for compiling
+  -> String                    -- The expression
+  -> IO HscResult
+
+hscExpr dflags hst hit pcs this_module expr
+  = do {       -- Parse it
+         let unqual = unQualInScope 
+       ; maybe_parsed <- myParseExpr dflags expr
+       ; case maybe_parsed of {
+            Nothing -> return (HscFail pcs_ch);
+            Just parsed_expr -> do {
+
+               -- Rename it
+         (new_pcs, maybe_renamed_expr) <- renameExpr dflags hit hst pcs this_module parsed_expr ;
+       ; case maybe_renamed_expr of {
+               Nothing -> FAIL
+               Just (print_unqual, rn_expr) -> 
+
+               -- Typecheck it
+         maybe_tc_expr <- typecheckExpr dflags pcs hst print_unqual rn_expr 
+       ; case maybe_tc_expr of
+               Nothing -> FAIL
+               Just tc_expr ->
+
+               -- Desugar it
+       ; ds_expr <- deSugarExpr dflags pcs hst this_module print_unqual tc_expr
+       
+               -- Simplify it
+       ; simpl_expr <- simplifyExpr dflags pcs hst ds_expr
+
+       ; return I'M NOT SURE
+       }
+
+       
 
 
 %************************************************************************