[project @ 2000-05-10 08:27:12 by simonmar]
[ghc-hetmet.git] / ghc / compiler / rename / RnIfaces.lhs
index 453fda3..0b6c368 100644 (file)
@@ -1,70 +1,80 @@
 %
-% (c) The GRASP/AQUA Project, Glasgow University, 1992-1996
+% (c) The GRASP/AQUA Project, Glasgow University, 1992-1998
 %
 \section[RnIfaces]{Cacheing and Renaming of Interfaces}
 
 \begin{code}
-#include "HsVersions.h"
-
 module RnIfaces (
-       getInterfaceExports,
-       getImportedInstDecls,
-       getSpecialInstModules,
+       getInterfaceExports, 
+       getImportedInstDecls, getImportedRules,
+       lookupFixity, loadHomeInterface,
        importDecl, recordSlurp,
-       getImportVersions, 
+       getImportVersions, getSlurped,
 
        checkUpToDate,
 
-       getDeclBinders,
-       mkSearchPath
+       getDeclBinders, getDeclSysBinders,
+       removeContext           -- removeContext probably belongs somewhere else
     ) where
 
-IMP_Ubiq()
-
+#include "HsVersions.h"
 
-import CmdLineOpts     ( opt_HiSuffix, opt_HiSuffixPrelude )
-import HsSyn           ( HsDecl(..), TyDecl(..), ClassDecl(..), HsTyVar, Bind, HsExpr, Sig(..), HsType(..),
-                         HsBinds(..), MonoBinds, DefaultDecl, ConDecl(..), BangType, IfaceSig(..),
-                         FixityDecl(..), Fixity, Fake, InPat, InstDecl(..), SYN_IE(Version), HsIdInfo,
-                         IE(..)
+import CmdLineOpts     ( opt_NoPruneDecls, opt_IgnoreIfacePragmas )
+import HsSyn           ( HsDecl(..), TyClDecl(..), InstDecl(..), IfaceSig(..), 
+                         HsType(..), ConDecl(..), IE(..), ConDetails(..), Sig(..),
+                         ForeignDecl(..), ForKind(..), isDynamicExtName,
+                         FixitySig(..), RuleDecl(..),
+                         isClassOpSig, Deprecation(..)
                        )
-import HsPragmas       ( noGenPragmas )
-import RdrHsSyn                ( SYN_IE(RdrNameHsDecl), SYN_IE(RdrNameInstDecl), 
-                         RdrName, rdrNameOcc
+import BasicTypes      ( Version, NewOrData(..), defaultFixity )
+import RdrHsSyn                ( RdrNameHsDecl, RdrNameInstDecl, RdrNameTyClDecl, RdrNameRuleDecl,
+                         extractHsTyRdrNames, RdrNameDeprecation
                        )
-import RnEnv           ( newGlobalName, lookupRn, addImplicitOccsRn, 
-                         availName, availNames, addAvailToNameSet, pprAvail
+import RnEnv           ( mkImportedGlobalName, newImportedBinder, mkImportedGlobalFromRdrName,
+                         lookupOccRn, lookupImplicitOccRn,
+                         pprAvail,
+                         availName, availNames, addAvailToNameSet, addSysAvails,
+                         FreeVars, emptyFVs
                        )
-import RnSource                ( rnHsType )
 import RnMonad
-import ParseIface      ( parseIface )
-
-import ErrUtils                ( SYN_IE(Error), SYN_IE(Warning) )
-import FiniteMap       ( FiniteMap, emptyFM, unitFM, lookupFM, addToFM, addToFM_C, addListToFM, fmToList )
-import Name            ( Name {-instance NamedThing-}, Provenance, OccName(..),
-                         modAndOcc, occNameString, moduleString, pprModule,
-                         NameSet(..), emptyNameSet, unionNameSets, nameSetToList,
-                         minusNameSet, mkNameSet, elemNameSet,
-                         isWiredInName, maybeWiredInTyConName, maybeWiredInIdName
+import RnHsSyn          ( RenamedHsDecl, RenamedDeprecation )
+import ParseIface      ( parseIface, IfaceStuff(..) )
+
+import FiniteMap       ( FiniteMap, sizeFM, emptyFM, delFromFM, listToFM,
+                         lookupFM, addToFM, addToFM_C, addListToFM, 
+                         fmToList, elemFM, foldFM
+                       )
+import Name            ( Name {-instance NamedThing-},
+                         nameModule, isLocallyDefined,
+                         isWiredInName, nameUnique, NamedThing(..)
                         )
-import Id              ( GenId, Id(..), idType, dataConTyCon, isDataCon )
-import TyCon           ( TyCon, tyConDataCons, isSynTyCon, getSynTyConDefn )
-import Type            ( namesOfType )
-import TyVar           ( GenTyVar )
-import SrcLoc          ( mkIfaceSrcLoc )
-import PrelMods                ( gHC__, isPreludeModule )
+import Module          ( Module, moduleString, pprModule,
+                         mkVanillaModule, pprModuleName,
+                         moduleUserString, moduleName, isLocalModule,
+                         ModuleName, WhereFrom(..),
+                       )
+import RdrName         ( RdrName, rdrNameOcc )
+import NameSet
+import Var             ( Id )
+import SrcLoc          ( mkSrcLoc, SrcLoc )
+import PrelMods                ( pREL_GHC )
+import PrelInfo                ( cCallishTyKeys )
 import Bag
-import Maybes          ( MaybeErr(..), expectJust, maybeToBool )
+import Maybes          ( MaybeErr(..), maybeToBool, orElse )
 import ListSetOps      ( unionLists )
-import Pretty
-import PprStyle                ( PprStyle(..) )
-import Util            ( pprPanic, pprTrace )
-import StringBuffer     ( StringBuffer, hGetStringBuffer, freeStringBuffer )
-
+import Outputable
+import Unique          ( Unique )
+import StringBuffer     ( StringBuffer, hGetStringBuffer )
+import FastString      ( mkFastString )
+import ErrUtils         ( Message )
+import Lex
+import Outputable
+
+import IO      ( isDoesNotExistError )
+import List    ( nub )
 \end{code}
 
 
-
 %*********************************************************
 %*                                                     *
 \subsection{Loading a new interface file}
@@ -72,93 +82,273 @@ import StringBuffer     ( StringBuffer, hGetStringBuffer, freeStringBuffer )
 %*********************************************************
 
 \begin{code}
-loadInterface :: Pretty -> Module -> RnMG Ifaces
-loadInterface doc_str load_mod 
-  = getIfacesRn                `thenRn` \ ifaces ->
-    let
-       Ifaces this_mod mod_vers_map export_envs decls all_names imp_names insts inst_mods = ifaces
-    in
+loadHomeInterface :: SDoc -> Name -> RnM d Ifaces
+loadHomeInterface doc_str name
+  = loadInterface doc_str (moduleName (nameModule name)) ImportBySystem
+
+loadOrphanModules :: [ModuleName] -> RnM d ()
+loadOrphanModules mods
+  | null mods = returnRn ()
+  | otherwise = traceRn (text "Loading orphan modules:" <+> 
+                        fsep (map pprModuleName mods))         `thenRn_` 
+               mapRn_ load mods                                `thenRn_`
+               returnRn ()
+  where
+    load mod   = loadInterface (mk_doc mod) mod ImportBySystem
+    mk_doc mod = pprModuleName mod <+> ptext SLIT("is a orphan-instance module")
+          
+
+loadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d Ifaces
+loadInterface doc mod from 
+  = tryLoadInterface doc mod from      `thenRn` \ (ifaces, maybe_err) ->
+    case maybe_err of
+       Nothing  -> returnRn ifaces
+       Just err -> failWithRn ifaces err
+
+tryLoadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d (Ifaces, Maybe Message)
+       -- Returns (Just err) if an error happened
+       -- Guarantees to return with iImpModInfo m --> (... Just cts)
+       -- (If the load fails, we plug in a vanilla placeholder
+tryLoadInterface doc_str mod_name from
+ = getIfacesRn                         `thenRn` \ ifaces ->
+   let
+       mod_map  = iImpModInfo ifaces
+       mod_info = lookupFM mod_map mod_name
+
+       hi_boot_file = case from of {
+                        ImportByUser       -> False ;          -- Not hi-boot
+                        ImportByUserSource -> True ;           -- hi-boot
+                        ImportBySystem     -> 
+                      case mod_info of
+                        Just (_, _, is_boot, _) -> is_boot
+
+                        Nothing -> False
+                               -- We're importing a module we know absolutely
+                               -- nothing about, so we assume it's from
+                               -- another package, where we aren't doing 
+                               -- dependency tracking. So it won't be a hi-boot file.
+                      }
+       redundant_source_import 
+         = case (from, mod_info) of 
+               (ImportByUserSource, Just (_,_,False,_)) -> True
+               other                                    -> False
+   in
        -- CHECK WHETHER WE HAVE IT ALREADY
-    if maybeToBool (lookupFM export_envs load_mod) 
-    then
-       returnRn ifaces         -- Already in the cache; don't re-read it
-    else
+   case mod_info of {
+       Just (_, _, _, Just _)
+               ->      -- We're read it already so don't re-read it
+                   returnRn (ifaces, Nothing) ;
+
+       _ ->
+
+       -- Issue a warning for a redundant {- SOURCE -} import
+       -- NB that we arrange to read all the ordinary imports before 
+       -- any of the {- SOURCE -} imports
+   warnCheckRn (not redundant_source_import)
+               (warnRedundantSourceImport mod_name)    `thenRn_`
 
        -- READ THE MODULE IN
-    findAndReadIface doc_str load_mod          `thenRn` \ read_result ->
-    case read_result of {
-       -- Check for not found
-       Nothing ->      -- Not found, so add an empty export env to the Ifaces map
+   findAndReadIface doc_str mod_name hi_boot_file   `thenRn` \ read_result ->
+   case read_result of {
+       Left err ->     -- Not found, so add an empty export env to the Ifaces map
                        -- so that we don't look again
-                  let
-                       new_export_envs = addToFM export_envs load_mod ([],[])
-                       new_ifaces = Ifaces this_mod mod_vers_map
-                                           new_export_envs
-                                           decls all_names imp_names insts inst_mods
-                  in
-                  setIfacesRn new_ifaces               `thenRn_`
-                  failWithRn new_ifaces (noIfaceErr load_mod) ;
+          let
+               mod         = mkVanillaModule mod_name
+               new_mod_map = addToFM mod_map mod_name (0, False, False, Just (mod, from, []))
+               new_ifaces  = ifaces { iImpModInfo = new_mod_map }
+          in
+          setIfacesRn new_ifaces               `thenRn_`
+          returnRn (new_ifaces, Just err) ;
 
        -- Found and parsed!
-       Just (ParsedIface _ mod_vers usages exports rd_inst_mods fixs rd_decls rd_insts) ->
+       Right iface ->
 
        -- LOAD IT INTO Ifaces
-    mapRn loadExport exports                           `thenRn` \ avails_s ->
-    foldlRn (loadDecl load_mod) decls rd_decls         `thenRn` \ new_decls ->
-    foldlRn (loadInstDecl load_mod) insts rd_insts     `thenRn` \ new_insts ->
+
+       -- NB: *first* we do loadDecl, so that the provenance of all the locally-defined
+       ---    names is done correctly (notably, whether this is an .hi file or .hi-boot file).
+       --     If we do loadExport first the wrong info gets into the cache (unless we
+       --      explicitly tag each export which seems a bit of a bore)
+
+    getModuleRn                `thenRn` \ this_mod_nm ->
+    let
+       rd_decls = pi_decls iface
+       mod      = pi_mod   iface
+    in
+       -- Sanity check.  If we're system-importing a module we know nothing at all
+       -- about, it should be from a different package to this one
+    WARN( not (maybeToBool mod_info) && 
+         case from of { ImportBySystem -> True; other -> False } &&
+         isLocalModule mod,
+         ppr mod )
+    foldlRn (loadDecl mod)           (iDecls ifaces) rd_decls                  `thenRn` \ new_decls ->
+    foldlRn (loadInstDecl mod)       (iInsts ifaces) (pi_insts iface)          `thenRn` \ new_insts ->
+    (if opt_IgnoreIfacePragmas
+       then returnRn emptyBag
+       else foldlRn (loadRule mod)   (iRules ifaces) (pi_rules iface))         `thenRn` \ new_rules ->
+    (if opt_IgnoreIfacePragmas
+       then returnRn emptyNameEnv
+       else foldlRn (loadDeprec mod) (iDeprecs ifaces) (pi_deprecs iface))     `thenRn` \ new_deprecs ->
+    foldlRn (loadFixDecl mod_name)    (iFixes ifaces) rd_decls                 `thenRn` \ new_fixities ->
+    mapRn   (loadExport this_mod_nm)  (pi_exports iface)                       `thenRn` \ avails_s ->
     let
-        export_env = (concat avails_s, fixs)
-
-                       -- Exclude this module from the "special-inst" modules
-        new_inst_mods = inst_mods `unionLists` (filter (/= this_mod) rd_inst_mods)
-
-        new_ifaces = Ifaces this_mod
-                            (addToFM mod_vers_map load_mod mod_vers)
-                            (addToFM export_envs load_mod export_env)
-                            new_decls
-                            all_names imp_names
-                            new_insts
-                            new_inst_mods 
+       -- For an explicit user import, add to mod_map info about
+       -- the things the imported module depends on, extracted
+       -- from its usage info.
+       mod_map1 = case from of
+                       ImportByUser -> addModDeps mod (pi_usages iface) mod_map
+                       other        -> mod_map
+
+       -- Now add info about this module
+       mod_map2    = addToFM mod_map1 mod_name mod_details
+       cts         = (pi_mod iface, from, concat avails_s)
+       mod_details = (pi_vers iface, pi_orphan iface, hi_boot_file, Just cts)
+
+       new_ifaces = ifaces { iImpModInfo = mod_map2,
+                             iDecls      = new_decls,
+                             iFixes      = new_fixities,
+                             iInsts      = new_insts,
+                             iRules      = new_rules,
+                             iDeprecs    = new_deprecs }
     in
     setIfacesRn new_ifaces             `thenRn_`
-    returnRn new_ifaces
-    }
-
-loadExport :: ExportItem -> RnMG [AvailInfo]
-loadExport (mod, entities)
-  = mapRn load_entity entities
+    returnRn (new_ifaces, Nothing)
+    }}
+
+addModDeps :: Module -> [ImportVersion a] 
+          -> ImportedModuleInfo -> ImportedModuleInfo
+-- (addModDeps M ivs deps)
+-- We are importing module M, and M.hi contains 'import' decls given by ivs
+addModDeps mod new_deps mod_deps
+  = foldr add mod_deps filtered_new_deps
   where
-    new_name occ = newGlobalName mod occ
+       -- Don't record dependencies when importing a module from another package
+       -- Except for its descendents which contain orphans,
+       -- and in that case, forget about the boot indicator
+    filtered_new_deps
+       | isLocalModule mod = [ (imp_mod, (version, has_orphans, is_boot, Nothing))
+                             | (imp_mod, version, has_orphans, is_boot, _) <- new_deps 
+                             ]                       
+       | otherwise         = [ (imp_mod, (version, True, False, Nothing))
+                             | (imp_mod, version, has_orphans, _, _) <- new_deps, 
+                               has_orphans
+                             ]
+    add (imp_mod, dep) deps = addToFM_C combine deps imp_mod dep
+
+    combine old@(_, _, old_is_boot, cts) new
+       | maybeToBool cts || not old_is_boot = old      -- Keep the old info if it's already loaded
+                                                       -- or if it's a non-boot pending load
+       | otherwise                          = new      -- Otherwise pick new info
+
+loadExport :: ModuleName -> ExportItem -> RnM d [AvailInfo]
+loadExport this_mod (mod, entities)
+  | mod == this_mod = returnRn []
+       -- If the module exports anything defined in this module, just ignore it.
+       -- Reason: otherwise it looks as if there are two local definition sites
+       -- for the thing, and an error gets reported.  Easiest thing is just to
+       -- filter them out up front. This situation only arises if a module
+       -- imports itself, or another module that imported it.  (Necessarily,
+       -- this invoves a loop.)  Consequence: if you say
+       --      module A where
+       --         import B( AType )
+       --         type AType = ...
+       --
+       --      module B( AType ) where
+       --         import {-# SOURCE #-} A( AType )
+       --
+       -- then you'll get a 'B does not export AType' message.  A bit bogus
+       -- but it's a bogus thing to do!
 
--- The communcation between this little code fragment and the "entity" rule
--- in ParseIface.y is a bit gruesome.  The idea is that things which are
--- destined to be AvailTCs show up as (occ, [non-empty-list]), whereas
--- things destined to be Avails show up as (occ, [])
+  | otherwise
+  = mapRn (load_entity mod) entities
+  where
+    new_name mod occ = mkImportedGlobalName mod occ
+
+    load_entity mod (Avail occ)
+      =        new_name mod occ        `thenRn` \ name ->
+       returnRn (Avail name)
+    load_entity mod (AvailTC occ occs)
+      =        new_name mod occ              `thenRn` \ name ->
+        mapRn (new_name mod) occs     `thenRn` \ names ->
+        returnRn (AvailTC name names)
+
+
+loadFixDecl :: ModuleName -> FixityEnv
+           -> (Version, RdrNameHsDecl)
+           -> RnM d FixityEnv
+loadFixDecl mod_name fixity_env (version, FixD sig@(FixitySig rdr_name fixity loc))
+  =    -- Ignore the version; when the fixity changes the version of
+       -- its 'host' entity changes, so we don't need a separate version
+       -- number for fixities
+    mkImportedGlobalName mod_name (rdrNameOcc rdr_name)        `thenRn` \ name ->
+    let
+       new_fixity_env = addToNameEnv fixity_env name (FixitySig name fixity loc)
+    in
+    returnRn new_fixity_env
 
-    load_entity (occ, occs)
-      =        new_name occ            `thenRn` \ name ->
-       if null occs then
-               returnRn (Avail name)
-       else
-               mapRn new_name occs     `thenRn` \ names ->
-               returnRn (AvailTC name names)
+       -- Ignore the other sorts of decl
+loadFixDecl mod_name fixity_env other_decl = returnRn fixity_env
 
-loadDecl :: Module -> DeclsMap
+loadDecl :: Module 
+        -> DeclsMap
         -> (Version, RdrNameHsDecl)
-        -> RnMG DeclsMap
+        -> RnM d DeclsMap
+
 loadDecl mod decls_map (version, decl)
-  = getDeclBinders new_implicit_name decl      `thenRn` \ avail ->
-    returnRn (addListToFM decls_map
-                         [(name,(version,avail,decl)) | name <- availNames avail]
-    )
+  = getDeclBinders new_name decl       `thenRn` \ maybe_avail ->
+    case maybe_avail of {
+       Nothing -> returnRn decls_map;  -- No bindings
+       Just avail ->
+
+    getDeclSysBinders new_name decl    `thenRn` \ sys_bndrs ->
+    let
+       full_avail    = addSysAvails avail sys_bndrs
+               -- Add the sys-binders to avail.  When we import the decl,
+               -- it's full_avail that will get added to the 'already-slurped' set (iSlurp)
+               -- If we miss out sys-binders, we'll read the decl multiple times!
+
+       main_name     = availName avail
+       new_decls_map = foldl add_decl decls_map
+                                      [ (name, (version, full_avail, name==main_name, (mod, decl'))) 
+                                      | name <- availNames full_avail]
+       add_decl decls_map (name, stuff)
+         = WARN( name `elemNameEnv` decls_map, ppr name )
+           addToNameEnv decls_map name stuff
+    in
+    returnRn new_decls_map
+    }
   where
-    new_implicit_name rdr_name loc = newGlobalName mod (rdrNameOcc rdr_name)
+       -- newImportedBinder puts into the cache the binder with the
+       -- module information set correctly.  When the decl is later renamed,
+       -- the binding site will thereby get the correct module.
+    new_name rdr_name loc = newImportedBinder mod rdr_name
+
+    {-
+      If a signature decl is being loaded, and optIgnoreIfacePragmas is on,
+      we toss away unfolding information.
+
+      Also, if the signature is loaded from a module we're importing from source,
+      we do the same. This is to avoid situations when compiling a pair of mutually
+      recursive modules, peering at unfolding info in the interface file of the other, 
+      e.g., you compile A, it looks at B's interface file and may as a result change
+      its interface file. Hence, B is recompiled, maybe changing its interface file,
+      which will the unfolding info used in A to become invalid. Simple way out is to
+      just ignore unfolding info.
+
+      [Jan 99: I junked the second test above.  If we're importing from an hi-boot
+       file there isn't going to *be* any pragma info.  Maybe the above comment
+       dates from a time where we picked up a .hi file first if it existed?]
+    -}
+    decl' = case decl of
+              SigD (IfaceSig name tp ls loc) | opt_IgnoreIfacePragmas
+                        ->  SigD (IfaceSig name tp [] loc)
+              other     -> decl
 
 loadInstDecl :: Module
-            -> Bag IfaceInst
+            -> Bag GatedDecl
             -> RdrNameInstDecl
-            -> RnMG (Bag IfaceInst)
-loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc)
+            -> RnM d (Bag GatedDecl)
+loadInstDecl mod insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc)
   = 
        -- Find out what type constructors and classes are "gates" for the
        -- instance declaration.  If all these "gates" are slurped in then
@@ -170,17 +360,51 @@ loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_lo
        --
        -- Here the gates are Baz and T, but *not* Foo.
     let 
-       munged_inst_ty = case inst_ty of
-                               HsForAllTy tvs cxt ty -> HsForAllTy tvs [] ty
-                               HsPreForAllTy cxt ty  -> HsPreForAllTy [] ty
-                               other                 -> inst_ty
+       munged_inst_ty = removeContext inst_ty
+       free_names     = extractHsTyRdrNames munged_inst_ty
     in
-       -- We find the gates by renaming the instance type with in a 
-       -- and returning the occurrence pool.
-    initRnMS emptyRnEnv mod_name InterfaceMode (
-        findOccurrencesRn (rnHsType munged_inst_ty)    
-    )                                          `thenRn` \ gate_names ->
-    returnRn (((mod_name, decl), gate_names) `consBag` insts)
+    setModuleRn (moduleName mod) $
+    mapRn mkImportedGlobalFromRdrName free_names       `thenRn` \ gate_names ->
+    returnRn ((mkNameSet gate_names, (mod, InstD decl)) `consBag` insts)
+
+
+-- In interface files, the instance decls now look like
+--     forall a. Foo a -> Baz (T a)
+-- so we have to strip off function argument types as well
+-- as the bit before the '=>' (which is always empty in interface files)
+removeContext (HsForAllTy tvs cxt ty) = HsForAllTy tvs [] (removeFuns ty)
+removeContext ty                     = removeFuns ty
+
+removeFuns (MonoFunTy _ ty) = removeFuns ty
+removeFuns ty              = ty
+
+
+loadRule :: Module -> Bag GatedDecl 
+        -> RdrNameRuleDecl -> RnM d (Bag GatedDecl)
+-- "Gate" the rule simply by whether the rule variable is
+-- needed.  We can refine this later.
+loadRule mod rules decl@(IfaceRuleDecl var body src_loc)
+  = setModuleRn (moduleName mod) $
+    mkImportedGlobalFromRdrName var            `thenRn` \ var_name ->
+    returnRn ((unitNameSet var_name, (mod, RuleD decl)) `consBag` rules)
+
+-- SUP: TEMPORARY HACK, ignoring module deprecations for now
+loadDeprec :: Module -> DeprecationEnv -> RdrNameDeprecation -> RnM d DeprecationEnv
+loadDeprec mod deprec_env (Deprecation (IEModuleContents _) txt)
+  = traceRn (text "module deprecation not yet implemented:" <+> ppr mod <> colon <+> ppr txt) `thenRn_`
+    returnRn deprec_env
+loadDeprec mod deprec_env (Deprecation ie txt)
+  = setModuleRn (moduleName mod) $
+    mapRn mkImportedGlobalFromRdrName (namesFromIE ie) `thenRn` \ names ->
+    traceRn (text "loaded deprecation(s) for" <+> hcat (punctuate comma (map ppr names)) <> colon <+> ppr txt) `thenRn_`
+    returnRn (extendNameEnv deprec_env (zip names (repeat txt)))
+
+namesFromIE :: IE a -> [a]
+namesFromIE (IEVar            n   ) = [n]
+namesFromIE (IEThingAbs       n   ) = [n]
+namesFromIE (IEThingAll       n   ) = [n]
+namesFromIE (IEThingWith      n ns) = n:ns
+namesFromIE (IEModuleContents _   ) = []
 \end{code}
 
 
@@ -191,76 +415,106 @@ loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_lo
 %********************************************************
 
 \begin{code}
-checkUpToDate :: Module -> RnMG Bool           -- True <=> no need to recompile
+upToDate  = True
+outOfDate = False
+
+checkUpToDate :: ModuleName -> RnMG Bool       -- True <=> no need to recompile
+       -- When this guy is called, we already know that the
+       -- source code is unchanged from last time
 checkUpToDate mod_name
-  = findAndReadIface doc_str mod_name          `thenRn` \ read_result ->
+  = getIfacesRn                                        `thenRn` \ ifaces ->
+    findAndReadIface doc_str mod_name 
+                    False {- Not hi-boot -}    `thenRn` \ read_result ->
+
+       -- CHECK WHETHER WE HAVE IT ALREADY
     case read_result of
-       Nothing ->      -- Old interface file not found, so we'd better bail out
-                   traceRn (ppSep [ppPStr SLIT("Didnt find old iface"), 
-                                   pprModule PprDebug mod_name])       `thenRn_`
-                   returnRn False
+       Left err ->     -- Old interface file not found, or garbled, so we'd better bail out
+                   traceRn (vcat [ptext SLIT("No old iface") <+> pprModuleName mod_name,
+                                  err])                        `thenRn_`
+                   returnRn outOfDate
 
-       Just (ParsedIface _ _ usages _ _ _ _ _) 
+       Right iface
                ->      -- Found it, so now check it
-                   checkModUsage usages
+                   checkModUsage (pi_usages iface)
   where
        -- Only look in current directory, with suffix .hi
-    doc_str = ppSep [ppPStr SLIT("Need usage info from"), pprModule PprDebug mod_name]
-
-
-checkModUsage [] = returnRn True               -- Yes!  Everything is up to date!
-
-checkModUsage ((mod, old_mod_vers, old_local_vers) : rest)
-  = loadInterface doc_str mod          `thenRn` \ ifaces ->
+    doc_str = sep [ptext SLIT("need usage info from"), pprModuleName mod_name]
+
+checkModUsage [] = returnRn upToDate           -- Yes!  Everything is up to date!
+
+checkModUsage ((mod_name, old_mod_vers, _, _, Specifically []) : rest)
+       -- If CurrentModule.hi contains 
+       --      import Foo :: ;
+       -- then that simply records that Foo lies below CurrentModule in the
+       -- hierarchy, but CurrentModule doesn't depend in any way on Foo.
+       -- In this case we don't even want to open Foo's interface.
+  = traceRn (ptext SLIT("Nothing used from:") <+> ppr mod_name)        `thenRn_`
+    checkModUsage rest -- This one's ok, so check the rest
+
+checkModUsage ((mod_name, old_mod_vers, _, _, whats_imported)  : rest)
+  = tryLoadInterface doc_str mod_name ImportBySystem   `thenRn` \ (ifaces, maybe_err) ->
+    case maybe_err of {
+       Just err -> traceRn (sep [ptext SLIT("Can't find version number for module"), 
+                            pprModuleName mod_name])           `thenRn_`
+                    returnRn outOfDate ;
+               -- Couldn't find or parse a module mentioned in the
+               -- old interface file.  Don't complain -- it might just be that
+               -- the current module doesn't need that import and it's been deleted
+       Nothing -> 
     let
-       Ifaces _ mod_vers _ decls _ _ _ _ = ifaces
-       maybe_new_mod_vers = lookupFM mod_vers mod
-       Just new_mod_vers  = maybe_new_mod_vers
+       new_mod_vers = case lookupFM (iImpModInfo ifaces) mod_name of
+                          Just (version, _, _, _) -> version
     in
-       -- If we can't find a version number for the old module then
-       -- bail out saying things aren't up to date
-    if not (maybeToBool maybe_new_mod_vers) then
-       returnRn False
-    else
-
        -- If the module version hasn't changed, just move on
     if new_mod_vers == old_mod_vers then
-       traceRn (ppSep [ppPStr SLIT("Module version unchanged:"), pprModule PprDebug mod])      `thenRn_`
-       checkModUsage rest
+       traceRn (sep [ptext SLIT("Module version unchanged:"), pprModuleName mod_name])
+       `thenRn_` checkModUsage rest
     else
-    traceRn (ppSep [ppPStr SLIT("Module version has changed:"), pprModule PprDebug mod])       `thenRn_`
+    traceRn (sep [ptext SLIT("Module version has changed:"), pprModuleName mod_name])
+    `thenRn_`
+       -- Module version changed, so check entities inside
 
-       -- New module version, so check entities inside
-    checkEntityUsage mod decls old_local_vers  `thenRn` \ up_to_date ->
+       -- If the usage info wants to say "I imported everything from this module"
+       --     it does so by making whats_imported equal to Everything
+       -- In that case, we must recompile
+    case whats_imported of {
+      Everything -> traceRn (ptext SLIT("...and I needed the whole module"))   `thenRn_`
+                   returnRn outOfDate;            -- Bale out
+
+      Specifically old_local_vers ->
+
+       -- Non-empty usage list, so check item by item
+    checkEntityUsage mod_name (iDecls ifaces) old_local_vers   `thenRn` \ up_to_date ->
     if up_to_date then
-       traceRn (ppPStr SLIT("...but the bits I use haven't.")) `thenRn_`
+       traceRn (ptext SLIT("...but the bits I use haven't."))  `thenRn_`
        checkModUsage rest      -- This one's ok, so check the rest
     else
-       returnRn False          -- This one failed, so just bail out now
+       returnRn outOfDate              -- This one failed, so just bail out now
+    }}
   where
-    doc_str = ppSep [ppPStr SLIT("need version info for"), pprModule PprDebug mod]
+    doc_str = sep [ptext SLIT("need version info for"), pprModuleName mod_name]
 
 
 checkEntityUsage mod decls [] 
-  = returnRn True      -- Yes!  All up to date!
+  = returnRn upToDate  -- Yes!  All up to date!
 
 checkEntityUsage mod decls ((occ_name,old_vers) : rest)
-  = newGlobalName mod occ_name         `thenRn` \ name ->
-    case lookupFM decls name of
+  = mkImportedGlobalName mod occ_name  `thenRn` \ name ->
+    case lookupNameEnv decls name of
 
        Nothing       ->        -- We used it before, but it ain't there now
-                         traceRn (ppSep [ppPStr SLIT("...and this no longer exported:"), ppr PprDebug name])   `thenRn_`
-                         returnRn False
+                         traceRn (sep [ptext SLIT("No longer exported:"), ppr name])
+                         `thenRn_` returnRn outOfDate
 
-       Just (new_vers,_,_)     -- It's there, but is it up to date?
+       Just (new_vers,_,_,_)   -- It's there, but is it up to date?
                | new_vers == old_vers
                        -- Up to date, so check the rest
                -> checkEntityUsage mod decls rest
 
                | otherwise
                        -- Out of date, so bale out
-               -> traceRn (ppSep [ppPStr SLIT("...and this is out of date:"), ppr PprDebug name])  `thenRn_`
-                  returnRn False
+               -> traceRn (sep [ptext SLIT("Out of date:"), ppr name])  `thenRn_`
+                  returnRn outOfDate
 \end{code}
 
 
@@ -271,325 +525,347 @@ checkEntityUsage mod decls ((occ_name,old_vers) : rest)
 %*********************************************************
 
 \begin{code}
-importDecl :: Name -> Necessity -> RnMG (Maybe RdrNameHsDecl)
-       -- Returns Nothing for a wired-in or already-slurped decl
-
-importDecl name necessity
-  = checkSlurped name                  `thenRn` \ already_slurped ->
-    if already_slurped then
-       -- traceRn (ppSep [ppStr "Already slurped:", ppr PprDebug name])        `thenRn_`
+importDecl :: Name -> RnMG (Maybe (Module, RdrNameHsDecl))
+       -- Returns Nothing for 
+       --      (a) wired in name
+       --      (b) local decl
+       --      (c) already slurped
+
+importDecl name
+  | isWiredInName name
+  = returnRn Nothing
+  | otherwise
+  = getSlurped                                 `thenRn` \ already_slurped ->
+    if name `elemNameSet` already_slurped then
        returnRn Nothing        -- Already dealt with
     else
-    if isWiredInName name then
-       getWiredInDecl name
-    else 
-       getIfacesRn             `thenRn` \ ifaces ->
-       let
-         Ifaces this_mod _ _ _ _ _ _ _ = ifaces
-         (mod,_) = modAndOcc name
-       in
-       if mod == this_mod  then    -- Don't bring in decls from
-         pprTrace "importDecl wierdness:" (ppr PprDebug name) $
-         returnRn Nothing         -- the renamed module's own interface file
-                                  -- 
-       else
-       getNonWiredInDecl name necessity
-
+       if isLocallyDefined name then   -- Don't bring in decls from
+                                       -- the renamed module's own interface file
+                 addWarnRn (importDeclWarn name) `thenRn_`
+                 returnRn Nothing
+       else
+       getNonWiredInDecl name
 \end{code}
 
 \begin{code}
-getNonWiredInDecl :: Name -> Necessity -> RnMG (Maybe RdrNameHsDecl)
-getNonWiredInDecl name necessity
-  = traceRn doc_str                    `thenRn_`
-    loadInterface doc_str mod          `thenRn` \ (Ifaces _ _ _ decls _ _ _ _) ->
-    case lookupFM decls name of
-
-      Just (version,avail,decl) -> recordSlurp (Just version) avail    `thenRn_`
-                                  returnRn (Just decl)
-
-      Nothing ->       -- Can happen legitimately for "Optional" occurrences
-                  case necessity of { 
-                               Optional -> addWarnRn (getDeclWarn name);
-                               other    -> addErrRn  (getDeclErr  name)
-                  }                                            `thenRn_` 
-                  returnRn Nothing
+getNonWiredInDecl :: Name -> RnMG (Maybe (Module, RdrNameHsDecl))
+getNonWiredInDecl needed_name 
+  = traceRn doc_str                            `thenRn_`
+    loadHomeInterface doc_str needed_name      `thenRn` \ ifaces ->
+    case lookupNameEnv (iDecls ifaces) needed_name of
+
+      Just (version,avail,_,decl)
+       -> recordSlurp (Just version) avail     `thenRn_`
+          returnRn (Just decl)
+
+      Nothing          -- Can happen legitimately for "Optional" occurrences
+       -> addErrRn (getDeclErr needed_name)    `thenRn_` 
+          returnRn Nothing
   where
-     doc_str = ppSep [ppPStr SLIT("Need decl for"), ppr PprDebug name]
-     (mod,_) = modAndOcc name
+     doc_str = ptext SLIT("need decl for") <+> ppr needed_name
 \end{code}
 
 @getWiredInDecl@ maps a wired-in @Name@ to what it makes available.
 It behaves exactly as if the wired in decl were actually in an interface file.
 Specifically,
-
-  *    if the wired-in name is a data type constructor or a data constructor, 
+\begin{itemize}
+\item  if the wired-in name is a data type constructor or a data constructor, 
        it brings in the type constructor and all the data constructors; and
-       marks as "occurrences" any free vars of the data con.
+       marks as ``occurrences'' any free vars of the data con.
 
-  *    similarly for synonum type constructor
+\item  similarly for synonum type constructor
 
-  *    if the wired-in name is another wired-in Id, it marks as "occurrences"
+\item  if the wired-in name is another wired-in Id, it marks as ``occurrences''
        the free vars of the Id's type.
 
-  *    it loads the interface file for the wired-in thing for the
+\item  it loads the interface file for the wired-in thing for the
        sole purpose of making sure that its instance declarations are available
-
-All this is necessary so that we know all types that are "in play", so
+\end{itemize}
+All this is necessary so that we know all types that are ``in play'', so
 that we know just what instances to bring into scope.
        
-\begin{code}
-getWiredInDecl name
-  = get_wired                          `thenRn` \ avail ->
-    recordSlurp Nothing avail          `thenRn_`
-
-       -- Force in the home module in case it has instance decls for
-       -- the thing we are interested in.
-       --
-       -- Mini hack 1: no point for non-tycons/class; and if we
-       -- do this we find PrelNum trying to import PackedString,
-       -- because PrelBase's .hi file mentions PackedString.unpackString
-       -- But PackedString.hi isn't built by that point!
-       --
-       -- Mini hack 2; GHC is guaranteed not to have
-       -- instance decls, so it's a waste of time to read it
-       --
-       -- NB: We *must* look at the availName of the slurped avail, 
-       -- not the name passed to getWiredInDecl!  Why?  Because if a data constructor 
-       -- or class op is passed to getWiredInDecl we'll pull in the whole data/class
-       -- decl, and recordSlurp will record that fact.  But since the data constructor
-       -- isn't a tycon/class we won't force in the home module.  And even if the
-       -- type constructor/class comes along later, loadDecl will say that it's already
-       -- been slurped, so getWiredInDecl won't even be called.  Pretty obscure bug, this was.
-    let
-       main_name  = availName avail
-       main_is_tc = case avail of { AvailTC _ _ -> True; Avail _ -> False }
-       (mod,_)    = modAndOcc main_name
-       doc_str    = ppSep [ppPStr SLIT("Need home module for wired in thing"), ppr PprDebug name]
-    in
-    (if not main_is_tc || mod == gHC__ then
-       returnRn ()             
-    else
-       loadInterface doc_str mod       `thenRn_`
-       returnRn ()
-    )                                  `thenRn_`
-
-    returnRn Nothing           -- No declaration to process further
-  where
-
-    get_wired | is_tycon                       -- ... a type constructor
-             = get_wired_tycon the_tycon
-
-             | (isDataCon the_id)              -- ... a wired-in data constructor
-             = get_wired_tycon (dataConTyCon the_id)
-
-             | otherwise                       -- ... a wired-in non data-constructor
-             = get_wired_id the_id
-
-    maybe_wired_in_tycon = maybeWiredInTyConName name
-    is_tycon            = maybeToBool maybe_wired_in_tycon
-    maybe_wired_in_id    = maybeWiredInIdName    name
-    Just the_tycon      = maybe_wired_in_tycon
-    Just the_id         = maybe_wired_in_id
 
 
-get_wired_id id
-  = addImplicitOccsRn (nameSetToList id_mentioned)     `thenRn_`
-    returnRn (Avail (getName id))
-  where
-    id_mentioned = namesOfType (idType id)
+    
+%*********************************************************
+%*                                                     *
+\subsection{Getting what a module exports}
+%*                                                     *
+%*********************************************************
 
-get_wired_tycon tycon 
-  | isSynTyCon tycon
-  = addImplicitOccsRn (nameSetToList mentioned)                `thenRn_`
-    returnRn (Avail (getName tycon))
-  where
-    (tyvars,ty) = getSynTyConDefn tycon
-    mentioned = namesOfType ty `minusNameSet` mkNameSet (map getName tyvars)
+@getInterfaceExports@ is called only for directly-imported modules.
 
-get_wired_tycon tycon 
-  | otherwise          -- data or newtype
-  = addImplicitOccsRn (nameSetToList mentioned)                `thenRn_`
-    returnRn (AvailTC tycon_name (tycon_name : map getName data_cons))
+\begin{code}
+getInterfaceExports :: ModuleName -> WhereFrom -> RnMG (Module, Avails)
+getInterfaceExports mod_name from
+  = loadInterface doc_str mod_name from        `thenRn` \ ifaces ->
+    case lookupFM (iImpModInfo ifaces) mod_name of
+       Just (_, _, _, Just (mod, _, avails)) -> returnRn (mod, avails)
+       -- loadInterface always puts something in the map
+       -- even if it's a fake
   where
-    tycon_name = getName tycon
-    data_cons  = tyConDataCons tycon
-    mentioned  = foldr (unionNameSets . namesOfType . idType) emptyNameSet data_cons
+    doc_str = sep [pprModuleName mod_name, ptext SLIT("is directly imported")]
 \end{code}
 
 
-\begin{code}
-checkSlurped name
-  = getIfacesRn        `thenRn` \ (Ifaces _ _ _ _ slurped_names _ _ _) ->
-    returnRn (name `elemNameSet` slurped_names)
-
-recordSlurp maybe_version avail
-  = -- traceRn (ppSep [ppStr "Record slurp:", pprAvail PprDebug avail])        `thenRn_`
-    getIfacesRn        `thenRn` \ ifaces ->
-    let
-       Ifaces this_mod mod_vers export_envs decls slurped_names imp_names insts inst_mods = ifaces
-       new_slurped_names = addAvailToNameSet slurped_names avail
-
-       new_imp_names = case maybe_version of
-                          Just version -> (availName avail, version) : imp_names
-                          Nothing      -> imp_names
-
-       new_ifaces = Ifaces this_mod mod_vers export_envs decls 
-                           new_slurped_names 
-                           new_imp_names
-                           insts
-                           inst_mods
-    in
-    setIfacesRn new_ifaces
-\end{code}
-    
 %*********************************************************
 %*                                                     *
-\subsection{Getting other stuff}
+\subsection{Instance declarations are handled specially}
 %*                                                     *
 %*********************************************************
 
 \begin{code}
-getInterfaceExports :: Module -> RnMG (Avails, [(OccName,Fixity)])
-getInterfaceExports mod
-  = loadInterface doc_str mod          `thenRn` \ (Ifaces _ _ export_envs _ _ _ _ _) ->
-    case lookupFM export_envs mod of
-       Nothing ->      -- Not there; it must be that the interface file wasn't found;
-                       -- the error will have been reported already.
-                       -- (Actually loadInterface should put the empty export env in there
-                       --  anyway, but this does no harm.)
-                     returnRn ([],[])
-
-       Just stuff -> returnRn stuff
-  where
-    doc_str = ppSep [pprModule PprDebug mod, ppPStr SLIT("is directly imported")]
-
-
-getImportedInstDecls :: RnMG [(Module,RdrNameInstDecl)]
-getImportedInstDecls
-  =    -- First load any special-instance modules that aren't aready loaded
-    getSpecialInstModules                      `thenRn` \ inst_mods ->
-    mapRn load_it inst_mods                    `thenRn_`
+getImportedInstDecls :: NameSet -> RnMG [(Module,RdrNameHsDecl)]
+getImportedInstDecls gates
+  =            -- First, load any orphan-instance modules that aren't aready loaded
+       -- Orphan-instance modules are recorded in the module dependecnies
+    getIfacesRn                                        `thenRn` \ ifaces ->
+    let
+       orphan_mods =
+         [mod | (mod, (_, True, _, Nothing)) <- fmToList (iImpModInfo ifaces)]
+    in
+    loadOrphanModules orphan_mods                      `thenRn_` 
 
        -- Now we're ready to grab the instance declarations
        -- Find the un-gated ones and return them, 
        -- removing them from the bag kept in Ifaces
-    getIfacesRn        `thenRn` \ ifaces ->
+    getIfacesRn                                        `thenRn` \ ifaces ->
     let
-       Ifaces this_mod mod_vers export_envs decls slurped_names imp_names insts inst_mods = ifaces
-
-               -- An instance decl is ungated if all its gates have been slurped
-        select_ungated :: IfaceInst                                    -- A gated inst decl
-
-                      -> ([(Module, RdrNameInstDecl)], [IfaceInst])    -- Accumulator
-
-                      -> ([(Module, RdrNameInstDecl)],                 -- The ungated ones
-                          [IfaceInst])                                 -- Still gated, but with
-                                                                       -- depeleted gates
-       select_ungated (decl,gates) (ungated_decls, gated_decls)
-         | null remaining_gates
-         = (decl : ungated_decls, gated_decls)
-         | otherwise
-         = (ungated_decls, (decl, remaining_gates) : gated_decls)
-         where
-           remaining_gates = filter (not . (`elemNameSet` slurped_names)) gates
-
-       (un_gated_insts, still_gated_insts) = foldrBag select_ungated ([], []) insts
-       
-       new_ifaces = Ifaces this_mod mod_vers export_envs decls slurped_names imp_names
-                           (listToBag still_gated_insts)
-                           inst_mods
+       (decls, new_insts) = selectGated gates (iInsts ifaces)
     in
-    setIfacesRn new_ifaces     `thenRn_`
-    returnRn un_gated_insts
-  where
-    load_it mod = loadInterface (doc_str mod) mod
-    doc_str mod = ppSep [pprModule PprDebug mod, ppPStr SLIT("is a special-instance module")]
+    setIfacesRn (ifaces { iInsts = new_insts })                `thenRn_`
 
-
-getSpecialInstModules :: RnMG [Module]
-getSpecialInstModules 
-  = getIfacesRn                                                `thenRn` \ ifaces ->
+    traceRn (sep [text "getImportedInstDecls:", 
+                 nest 4 (fsep (map ppr gate_list)),
+                 text "Slurped" <+> int (length decls) <+> text "instance declarations",
+                 nest 4 (vcat (map ppr_brief_inst_decl decls))])       `thenRn_`
+    returnRn decls
+  where
+    gate_list      = nameSetToList gates
+
+ppr_brief_inst_decl (mod, InstD (InstDecl inst_ty _ _ _ _))
+  = case inst_ty of
+       HsForAllTy _ _ tau -> ppr tau
+       other              -> ppr inst_ty
+
+getImportedRules :: RnMG [(Module,RdrNameHsDecl)]
+getImportedRules 
+  | opt_IgnoreIfacePragmas = returnRn []
+  | otherwise
+  = getIfacesRn        `thenRn` \ ifaces ->
     let
-        Ifaces _ _ _ _ _ _ _ inst_mods = ifaces
+       gates              = iSlurp ifaces      -- Anything at all that's been slurped
+       (decls, new_rules) = selectGated gates (iRules ifaces)
     in
-    returnRn inst_mods
+    setIfacesRn (ifaces { iRules = new_rules })                `thenRn_`
+    traceRn (sep [text "getImportedRules:", 
+                 text "Slurped" <+> int (length decls) <+> text "rules"])      `thenRn_`
+    returnRn decls
+
+selectGated gates decl_bag
+       -- Select only those decls whose gates are *all* in 'gates'
+#ifdef DEBUG
+  | opt_NoPruneDecls   -- Just to try the effect of not gating at all
+  = (foldrBag (\ (_,d) ds -> d:ds) [] decl_bag, emptyBag)      -- Grab them all
+
+  | otherwise
+#endif
+  = foldrBag select ([], emptyBag) decl_bag
+  where
+    select (reqd, decl) (yes, no)
+       | isEmptyNameSet (reqd `minusNameSet` gates) = (decl:yes, no)
+       | otherwise                                  = (yes,      (reqd,decl) `consBag` no)
+
+lookupFixity :: Name -> RnMS Fixity
+lookupFixity name
+  | isLocallyDefined name
+  = getFixityEnv                       `thenRn` \ local_fix_env ->
+    case lookupNameEnv local_fix_env name of 
+       Just (FixitySig _ fix _) -> returnRn fix
+       Nothing                  -> returnRn defaultFixity
+
+  | otherwise  -- Imported
+      -- For imported names, we have to get their fixities by doing a loadHomeInterface,
+      -- and consulting the Ifaces that comes back from that, because the interface
+      -- file for the Name might not have been loaded yet.  Why not?  Suppose you import module A,
+      -- which exports a function 'f', which is defined in module B.  Then B isn't loaded
+      -- right away (after all, it's possible that nothing from B will be used).
+      -- When we come across a use of 'f', we need to know its fixity, and it's then,
+      -- and only then, that we load B.hi.  That is what's happening here.
+  = loadHomeInterface doc name         `thenRn` \ ifaces ->
+    case lookupNameEnv (iFixes ifaces) name of
+       Just (FixitySig _ fix _) -> returnRn fix 
+       Nothing                  -> returnRn defaultFixity
+  where
+    doc = ptext SLIT("Checking fixity for") <+> ppr name
 \end{code}
 
-getImportVersions figures out what the "usage information" for this moudule is;
-that is, what it must record in its interface file as the things it uses.
-It records:
-       - anything reachable from its body code
-       - any module exported with a "module Foo".
-
-Why the latter?  Because if Foo changes then this module's export list
-will change, so we must recompile this module at least as far as
-making a new interface file --- but in practice that means complete
-recompilation.
 
-What about this? 
-       module A( f, g ) where          module B( f ) where
-         import B( f )                   f = h 3
-         g = ...                         h = ...
-
-Should we record B.f in A's usages?  In fact we don't.  Certainly, if
-anything about B.f changes than anyone who imports A should be recompiled;
-they'll get an early exit if they don't use B.f.  However, even if B.f
-doesn't change at all, B.h may do so, and this change may not be reflected
-in f's version number.  So there are two things going on when compiling module A:
+%*********************************************************
+%*                                                     *
+\subsection{Keeping track of what we've slurped, and version numbers}
+%*                                                     *
+%*********************************************************
 
-1.  Are A.o and A.hi correct?  Then we can bale out early.
-2.  Should modules that import A be recompiled?
+getImportVersions figures out what the ``usage information'' for this
+moudule is; that is, what it must record in its interface file as the
+things it uses.  It records:
 
-For (1) it is slightly harmful to record B.f in A's usages, because a change in
-B.f's version will provoke full recompilation of A, producing an identical A.o,
-and A.hi differing only in its usage-version of B.f (which isn't used by any importer).
+\begin{itemize}
+\item  (a) anything reachable from its body code
+\item  (b) any module exported with a @module Foo@
+\item   (c) anything reachable from an exported item
+\end{itemize}
 
-For (2), because of the tricky B.h question above, we ensure that A.hi is touched
-(even if identical to its previous version) if A's recompilation was triggered by
-an imported .hi file date change.  Given that, there's no need to record B.f in
-A's usages.
+Why (b)?  Because if @Foo@ changes then this module's export list
+will change, so we must recompile this module at least as far as
+making a new interface file --- but in practice that means complete
+recompilation.
 
-On the other hand, if A exports "module B" then we *do* count module B among
-A's usages, because we must recompile A to ensure that A.hi changes appropriately.
+Why (c)?  Consider this:
+\begin{verbatim}
+       module A( f, g ) where  |       module B( f ) where
+         import B( f )         |         f = h 3
+         g = ...               |         h = ...
+\end{verbatim}
+
+Here, @B.f@ isn't used in A.  Should we nevertheless record @B.f@ in
+@A@'s usages?  Our idea is that we aren't going to touch A.hi if it is
+*identical* to what it was before.  If anything about @B.f@ changes
+than anyone who imports @A@ should be recompiled in case they use
+@B.f@ (they'll get an early exit if they don't).  So, if anything
+about @B.f@ changes we'd better make sure that something in A.hi
+changes, and the convenient way to do that is to record the version
+number @B.f@ in A.hi in the usage list.  If B.f changes that'll force a
+complete recompiation of A, which is overkill but it's the only way to 
+write a new, slightly different, A.hi.
+
+But the example is tricker.  Even if @B.f@ doesn't change at all,
+@B.h@ may do so, and this change may not be reflected in @f@'s version
+number.  But with -O, a module that imports A must be recompiled if
+@B.h@ changes!  So A must record a dependency on @B.h@.  So we treat
+the occurrence of @B.f@ in the export list *just as if* it were in the
+code of A, and thereby haul in all the stuff reachable from it.
+
+[NB: If B was compiled with -O, but A isn't, we should really *still*
+haul in all the unfoldings for B, in case the module that imports A *is*
+compiled with -O.  I think this is the case.]
+
+Even if B is used at all we get a usage line for B
+       import B <n> :: ... ;
+in A.hi, to record the fact that A does import B.  This is used to decide
+to look to look for B.hi rather than B.hi-boot when compiling a module that
+imports A.  This line says that A imports B, but uses nothing in it.
+So we'll get an early bale-out when compiling A if B's version changes.
 
 \begin{code}
-getImportVersions :: Module                    -- Name of this module
-                 -> Maybe [IE any]             -- Export list for this module
+getImportVersions :: ModuleName                        -- Name of this module
+                 -> ExportEnv                  -- Info about exports 
                  -> RnMG (VersionInfo Name)    -- Version info for these names
 
-getImportVersions this_mod exports
+getImportVersions this_mod (ExportEnv _ _ export_all_mods)
   = getIfacesRn                                        `thenRn` \ ifaces ->
     let
-        Ifaces _ mod_versions_map _ _ _ imp_names _ _ = ifaces
-        mod_version mod = expectJust "import_versions" (lookupFM mod_versions_map mod)
-
-        -- mv_map groups together all the things imported from a particular module.
-        mv_map, mv_map_mod :: FiniteMap Module [LocalVersion Name]
+       mod_map   = iImpModInfo ifaces
+       imp_names = iVSlurp     ifaces
 
-        mv_map_mod = foldl add_mod emptyFM export_mods
-               -- mv_map_mod records all the modules that have a "module M"
-               -- in this module's export list
+       -- mv_map groups together all the things imported from a particular module.
+       mv_map :: FiniteMap ModuleName [(Name,Version)]
+       mv_map = foldr add_mv emptyFM imp_names
 
-        mv_map = foldl add_mv mv_map_mod imp_names
-               -- mv_map adds the version numbers of things exported individually
+       -- Build the result list by adding info for each module.
+       -- For (a) a library module, we don't record it at all unless it contains orphans
+       --         (We must never lose track of orphans.)
+       -- 
+       --     (b) a source-imported module, don't record the dependency at all
+       --      
+       -- (b) may seem a bit strange.  The idea is that the usages in a .hi file records
+       -- *all* the module's dependencies other than the loop-breakers.  We use
+       -- this info in findAndReadInterface to decide whether to look for a .hi file or
+       -- a .hi-boot file.  
+       --
+       -- This means we won't track version changes, or orphans, from .hi-boot files.
+       -- The former is potentially rather bad news.  It could be fixed by recording
+       -- whether something is a boot file along with the usage info for it, but 
+       -- I can't be bothered just now.
+
+       mk_version_info mod_name (version, has_orphans, is_boot, contents) so_far
+          | mod_name == this_mod       -- Check if M appears in the set of modules 'below' M
+                                       -- This seems like a convenient place to check
+          = WARN( not is_boot, ptext SLIT("Wierd:") <+> ppr this_mod <+> 
+                               ptext SLIT("imports itself (perhaps indirectly)") )
+            so_far
+          | otherwise
+          = let
+               go_for_it exports = (mod_name, version, has_orphans, is_boot, exports) 
+                                    : so_far
+            in 
+            case contents of
+               Nothing ->      -- We didn't even open the interface
+                       -- This happens when a module, Foo, that we explicitly imported has 
+                       -- 'import Baz' in its interface file, recording that Baz is below
+                       -- Foo in the module dependency hierarchy.  We want to propagate this
+                       -- information.  The Nothing says that we didn't even open the interface
+                       -- file but we must still propagate the dependeny info.
+                       -- The module in question must be a local module (in the same package)
+                  go_for_it (Specifically [])
+
+               Just (mod, how_imported, _)
+                  |  is_sys_import && is_lib_module && not has_orphans
+                  -> so_far            
+          
+                  |  is_lib_module                     -- Record the module but not detailed
+                  || mod_name `elem` export_all_mods   -- version information for the imports
+                  -> go_for_it Everything
+
+                  |  otherwise
+                  -> case lookupFM mv_map mod_name of
+                       Just whats_imported -> go_for_it (Specifically whats_imported)
+                       Nothing             -> go_for_it (Specifically [])
+                                               -- This happens if you have
+                                               --      import Foo
+                                               -- but don't actually *use* anything from Foo
+                                               -- In which case record an empty dependency list
+                  where
+                    is_lib_module = not (isLocalModule mod)
+                    is_sys_import = case how_imported of
+                                       ImportBySystem -> True
+                                       other          -> False
+            
     in
-    returnRn [ (mod, mod_version mod, local_versions)
-            | (mod, local_versions) <- fmToList mv_map
-            ]
 
+    returnRn (foldFM mk_version_info [] mod_map)
   where
-     export_mods = case exports of
-                       Nothing -> []
-                       Just es -> [mod | IEModuleContents mod <- es, mod /= this_mod]
+     add_mv v@(name, version) mv_map
+      = addToFM_C add_item mv_map mod [v] 
+      where
+        mod = moduleName (nameModule name)
+         add_item vs _ = (v:vs)
+\end{code}
 
-     add_mv mv_map v@(name, version) 
-      = addToFM_C (\ ls _ -> (v:ls)) mv_map mod [v] 
-       where
-        (mod,_) = modAndOcc name
+\begin{code}
+getSlurped
+  = getIfacesRn        `thenRn` \ ifaces ->
+    returnRn (iSlurp ifaces)
+
+recordSlurp maybe_version avail
+-- Nothing     for locally defined names
+-- Just version for imported names
+  = getIfacesRn        `thenRn` \ ifaces@(Ifaces { iSlurp  = slurped_names,
+                                                   iVSlurp = imp_names }) ->
+    let
+       new_slurped_names = addAvailToNameSet slurped_names avail
 
-     add_mod mv_map mod = addToFM mv_map mod []
+       new_imp_names = case maybe_version of
+                          Just version -> (availName avail, version) : imp_names
+                          Nothing      -> imp_names
+    in
+    setIfacesRn (ifaces { iSlurp  = new_slurped_names,
+                         iVSlurp = new_imp_names })
 \end{code}
 
+
 %*********************************************************
 %*                                                     *
 \subsection{Getting binders out of a declaration}
@@ -600,68 +876,103 @@ getImportVersions this_mod exports
 It's used for both source code (from @availsFromDecl@) and interface files
 (from @loadDecl@).
 
-It doesn't deal with source-code specific things: ValD, DefD.  They
-are handled by the sourc-code specific stuff in RnNames.
+It doesn't deal with source-code specific things: @ValD@, @DefD@.  They
+are handled by the sourc-code specific stuff in @RnNames@.
 
 \begin{code}
-getDeclBinders :: (RdrName -> SrcLoc -> RnMG Name)             -- New-name function
+getDeclBinders :: (RdrName -> SrcLoc -> RnM d Name)    -- New-name function
                -> RdrNameHsDecl
-               -> RnMG AvailInfo
+               -> RnM d (Maybe AvailInfo)
 
-getDeclBinders new_name (TyD (TyData _ tycon _ condecls _ _ src_loc))
+getDeclBinders new_name (TyClD (TyData _ _ tycon _ condecls _ _ src_loc))
   = new_name tycon src_loc                     `thenRn` \ tycon_name ->
     getConFieldNames new_name condecls         `thenRn` \ sub_names ->
-    returnRn (AvailTC tycon_name (tycon_name : sub_names))
-
-getDeclBinders new_name (TyD (TyNew _ tycon _ (NewConDecl con _ con_loc) _ _ src_loc))
-  = new_name tycon src_loc             `thenRn` \ tycon_name ->
-    new_name con src_loc               `thenRn` \ con_name ->
-    returnRn (AvailTC tycon_name [tycon_name, con_name])
+    returnRn (Just (AvailTC tycon_name (tycon_name : nub sub_names)))
+       -- The "nub" is because getConFieldNames can legitimately return duplicates,
+       -- when a record declaration has the same field in multiple constructors
 
-getDeclBinders new_name (TyD (TySynonym tycon _ _ src_loc))
+getDeclBinders new_name (TyClD (TySynonym tycon _ _ src_loc))
   = new_name tycon src_loc             `thenRn` \ tycon_name ->
-    returnRn (Avail tycon_name)
+    returnRn (Just (AvailTC tycon_name [tycon_name]))
 
-getDeclBinders new_name (ClD (ClassDecl _ cname _ sigs _ _ src_loc))
+getDeclBinders new_name (TyClD (ClassDecl _ cname _ _ sigs _ _ _ _ _ _ src_loc))
   = new_name cname src_loc                     `thenRn` \ class_name ->
-    mapRn (getClassOpNames new_name) sigs      `thenRn` \ sub_names ->
-    returnRn (AvailTC class_name (class_name : sub_names))
+
+       -- Record the names for the class ops
+    let
+       -- just want class-op sigs
+       op_sigs = filter isClassOpSig sigs
+    in
+    mapRn (getClassOpNames new_name) op_sigs   `thenRn` \ sub_names ->
+
+    returnRn (Just (AvailTC class_name (class_name : sub_names)))
 
 getDeclBinders new_name (SigD (IfaceSig var ty prags src_loc))
   = new_name var src_loc                       `thenRn` \ var_name ->
-    returnRn (Avail var_name)
+    returnRn (Just (Avail var_name))
 
-getDeclBinders new_name (DefD _)  = returnRn NotAvailable
-getDeclBinders new_name (InstD _) = returnRn NotAvailable
+getDeclBinders new_name (FixD _)  = returnRn Nothing
 
-----------------
-getConFieldNames new_name (ConDecl con _ src_loc : rest)
-  = new_name con src_loc               `thenRn` \ n ->
-    getConFieldNames new_name rest     `thenRn` \ ns -> 
-    returnRn (n:ns)
+    -- foreign declarations
+getDeclBinders new_name (ForD (ForeignDecl nm kind _ dyn _ loc))
+  | binds_haskell_name kind dyn
+  = new_name nm loc                `thenRn` \ name ->
+    returnRn (Just (Avail name))
 
-getConFieldNames new_name (NewConDecl con _ src_loc : rest)
-  = new_name con src_loc               `thenRn` \ n ->
-    getConFieldNames new_name rest     `thenRn` \ ns -> 
-    returnRn (n:ns)
+  | otherwise -- a foreign export
+  = lookupImplicitOccRn nm `thenRn_` 
+    returnRn Nothing
 
-getConFieldNames new_name (ConOpDecl _ con _ src_loc : rest)
-  = new_name con src_loc               `thenRn` \ n ->
-    getConFieldNames new_name rest     `thenRn` \ ns -> 
-    returnRn (n:ns)
+getDeclBinders new_name (DefD _)  = returnRn Nothing
+getDeclBinders new_name (InstD _) = returnRn Nothing
+getDeclBinders new_name (RuleD _) = returnRn Nothing
+
+binds_haskell_name (FoImport _) _   = True
+binds_haskell_name FoLabel      _   = True
+binds_haskell_name FoExport  ext_nm = isDynamicExtName ext_nm
 
-getConFieldNames new_name (RecConDecl con fielddecls src_loc : rest)
+----------------
+getConFieldNames new_name (ConDecl con _ _ _ (RecCon fielddecls) src_loc : rest)
   = mapRn (\n -> new_name n src_loc) (con:fields)      `thenRn` \ cfs ->
     getConFieldNames new_name rest                     `thenRn` \ ns  -> 
     returnRn (cfs ++ ns)
   where
     fields = concat (map fst fielddecls)
 
+getConFieldNames new_name (ConDecl con _ _ _ condecl src_loc : rest)
+  = new_name con src_loc               `thenRn` \ n ->
+    (case condecl of
+      NewCon _ (Just f) -> 
+        new_name f src_loc `thenRn` \ new_f ->
+       returnRn [n,new_f]
+      _ -> returnRn [n])               `thenRn` \ nn ->
+    getConFieldNames new_name rest     `thenRn` \ ns -> 
+    returnRn (nn ++ ns)
+
 getConFieldNames new_name [] = returnRn []
 
-getClassOpNames new_name (ClassOpSig op _ _ src_loc) = new_name op src_loc
+getClassOpNames new_name (ClassOpSig op _ _ _ src_loc) = new_name op src_loc
 \end{code}
 
+@getDeclSysBinders@ gets the implicit binders introduced by a decl.
+A the moment that's just the tycon and datacon that come with a class decl.
+They aren't returned by @getDeclBinders@ because they aren't in scope;
+but they {\em should} be put into the @DeclsMap@ of this module.
+
+Note that this excludes the default-method names of a class decl,
+and the dict fun of an instance decl, because both of these have 
+bindings of their own elsewhere.
+
+\begin{code}
+getDeclSysBinders new_name (TyClD (ClassDecl _ cname _ _ sigs _ _ tname dname dwname snames src_loc))
+  = sequenceRn [new_name n src_loc | n <- (tname : dname : dwname : snames)]
+
+getDeclSysBinders new_name (TyClD (TyData _ _ _ _ cons _ _ _))
+  = sequenceRn [new_name wkr_name src_loc | ConDecl _ wkr_name _ _ _ src_loc <- cons]
+
+getDeclSysBinders new_name other_decl
+  = returnRn []
+\end{code}
 
 %*********************************************************
 %*                                                     *
@@ -670,95 +981,125 @@ getClassOpNames new_name (ClassOpSig op _ _ src_loc) = new_name op src_loc
 %*********************************************************
 
 \begin{code}
-findAndReadIface :: Pretty -> Module -> RnMG (Maybe ParsedIface)
+findAndReadIface :: SDoc -> ModuleName 
+                -> IsBootInterface     -- True  <=> Look for a .hi-boot file
+                                       -- False <=> Look for .hi file
+                -> RnM d (Either Message ParsedIface)
        -- Nothing <=> file not found, or unreadable, or illegible
        -- Just x  <=> successfully found and parsed 
-findAndReadIface doc_str mod
+
+findAndReadIface doc_str mod_name hi_boot_file
   = traceRn trace_msg                  `thenRn_`
-    getSearchPathRn                    `thenRn` \ dirs ->
-    try dirs dirs
+      -- we keep two maps for interface files,
+      -- one for 'normal' ones, the other for .hi-boot files,
+      -- hence the need to signal which kind we're interested.
+
+    getHiMaps                  `thenRn` \ (search_path, hi_map, hiboot_map) ->
+    let
+       relevant_map | hi_boot_file = hiboot_map
+                    | otherwise    = hi_map
+    in 
+    case lookupFM relevant_map mod_name of
+       -- Found the file
+      Just fpath -> traceRn (ptext SLIT("...reading from") <+> text fpath)     `thenRn_`
+                   readIface mod_name fpath
+       
+       -- Can't find it
+      Nothing    -> traceRn (ptext SLIT("...not found"))       `thenRn_`
+                   returnRn (Left (noIfaceErr mod_name hi_boot_file search_path))
+
   where
-    trace_msg = ppHang (ppBesides [ppPStr SLIT("Reading interface for "), 
-                                  pprModule PprDebug mod, ppSemi])
-                    4 (ppBesides [ppPStr SLIT("reason: "), doc_str])
-
-    mod_str = moduleString mod
-    hisuf =
-      if isPreludeModule mod then
-         case opt_HiSuffixPrelude of { Just hisuf -> hisuf; _ -> ".hi"}
-      else
-         case opt_HiSuffix of {Just hisuf -> hisuf; _ -> ".hi"}
-
-    try all_dirs [] = traceRn (ppPStr SLIT("...failed"))       `thenRn_`
-                     returnRn Nothing
-
-    try all_dirs (dir:dirs)
-       = readIface file_path   `thenRn` \ read_result ->
-         case read_result of
-               Nothing    -> try all_dirs dirs
-               Just iface -> traceRn (ppPStr SLIT("...done"))  `thenRn_`
-                             returnRn (Just iface)
-       where
-         file_path = dir ++ "/" ++ moduleString mod ++ hisuf
+    trace_msg = sep [hsep [ptext SLIT("Reading"), 
+                          if hi_boot_file then ptext SLIT("[boot]") else empty,
+                          ptext SLIT("interface for"), 
+                          pprModuleName mod_name <> semi],
+                    nest 4 (ptext SLIT("reason:") <+> doc_str)]
 \end{code}
 
-@readIface@ trys just one file.
+@readIface@ tries just the one file.
 
 \begin{code}
-readIface :: String -> RnMG (Maybe ParsedIface)        
+readIface :: ModuleName -> String -> RnM d (Either Message ParsedIface)
        -- Nothing <=> file not found, or unreadable, or illegible
        -- Just x  <=> successfully found and parsed 
-readIface file_path
-  = ioToRnMG (hGetStringBuffer file_path)      `thenRn` \ read_result ->
---OLD:  = ioToRnMG (readFile file_path)        `thenRn` \ read_result ->
+readIface wanted_mod file_path
+  = ioToRnM (hGetStringBuffer False file_path)       `thenRn` \ read_result ->
     case read_result of
-       Right contents    -> case parseIface contents of
-                               Failed err      -> --ioToRnMG (freeStringBuffer contents) `thenRn` \ _ -> 
-                                                  failWithRn Nothing err 
-                               Succeeded iface -> --ioToRnMG (freeStringBuffer contents) `thenRn` \ _ ->
-                                                  returnRn (Just iface)
-
-       Left  (NoSuchThing _) -> returnRn Nothing
-
-       Left  err             -> failWithRn Nothing
-                                           (cannaeReadFile file_path err)
-
-\end{code}
-
-mkSearchPath takes a string consisting of a colon-separated list of directories, and turns it into
-a list of directories.  For example:
-
-       mkSearchPath "foo:.:baz"  =  ["foo", ".", "baz"]
-
-\begin{code}
-mkSearchPath :: Maybe String -> SearchPath
-mkSearchPath Nothing = ["."]
-mkSearchPath (Just s)
-  = go s
+       Right contents    -> 
+             case parseIface contents
+                       PState{ bol = 0#, atbol = 1#,
+                               context = [],
+                               glasgow_exts = 1#,
+                               loc = mkSrcLoc (mkFastString file_path) 1 } of
+                 POk _  (PIface iface) ->
+                     warnCheckRn (read_mod == wanted_mod)
+                                 (hiModuleNameMismatchWarn wanted_mod read_mod) `thenRn_`
+                     returnRn (Right iface)
+                   where
+                     read_mod = moduleName (pi_mod iface)
+
+                 PFailed err   -> bale_out err
+                 parse_result  -> bale_out empty
+                       -- This last case can happen if the interface file is (say) empty
+                       -- in which case the parser thinks it looks like an IdInfo or
+                       -- something like that.  Just an artefact of the fact that the
+                       -- parser is used for several purposes at once.
+
+        Left io_err -> bale_out (text (show io_err))
   where
-    go "" = []
-    go s  = first : go (drop 1 rest)
-         where
-           (first,rest) = span (/= ':') s
+    bale_out err = returnRn (Left (badIfaceFile file_path err))
 \end{code}
 
 %*********************************************************
-%*                                                     *
+%*                                                      *
 \subsection{Errors}
-%*                                                     *
+%*                                                      *
 %*********************************************************
 
 \begin{code}
-noIfaceErr mod sty
-  = ppBesides [ppPStr SLIT("Could not find valid interface file for "), ppQuote (pprModule sty mod)]
---     , ppStr " in"]) 4 (ppAboves (map ppStr dirs))
-
-cannaeReadFile file err sty
-  = ppBesides [ppPStr SLIT("Failed in reading file: "), ppStr file, ppPStr SLIT("; error="), ppStr (show err)]
-
-getDeclErr name sty
-  = ppSep [ppPStr SLIT("Failed to find interface decl for"), ppr sty name]
+noIfaceErr mod_name boot_file search_path
+  = vcat [ptext SLIT("Could not find interface file for") <+> quotes (pprModuleName mod_name),
+         ptext SLIT("in the directories") <+> 
+                       -- \& to avoid cpp interpreting this string as a
+                       -- comment starter with a pre-4.06 mkdependHS --SDM
+               vcat [ text dir <> text "/\&*" <> pp_suffix suffix 
+                    | (dir,suffix) <- search_path]
+       ]
+  where
+    pp_suffix suffix | boot_file = ptext SLIT(".hi-boot")
+                    | otherwise = text suffix
+
+badIfaceFile file err
+  = vcat [ptext SLIT("Bad interface file:") <+> text file, 
+         nest 4 err]
+
+getDeclErr name
+  = vcat [ptext SLIT("Failed to find interface decl for") <+> quotes (ppr name),
+         ptext SLIT("from module") <+> quotes (ppr (nameModule name))
+        ]
+
+getDeclWarn name loc
+  = sep [ptext SLIT("Failed to find (optional) interface decl for") <+> quotes (ppr name),
+        ptext SLIT("desired at") <+> ppr loc]
+
+importDeclWarn name
+  = sep [ptext SLIT(
+    "Compiler tried to import decl from interface file with same name as module."), 
+        ptext SLIT(
+    "(possible cause: module name clashes with interface file already in scope.)")
+       ] $$
+    hsep [ptext SLIT("name:"), quotes (ppr name)]
+
+warnRedundantSourceImport mod_name
+  = ptext SLIT("Unnecessary {- SOURCE -} in the import of module")
+          <+> quotes (pprModuleName mod_name)
+
+hiModuleNameMismatchWarn :: ModuleName -> ModuleName  -> Message
+hiModuleNameMismatchWarn requested_mod read_mod = 
+    hsep [ ptext SLIT("Something is amiss; requested module name")
+        , pprModuleName requested_mod
+        , ptext SLIT("differs from name found in the interface file")
+        , pprModuleName read_mod
+        ]
 
-getDeclWarn name sty
-  = ppSep [ppPStr SLIT("Warning: failed to find (optional) interface decl for"), ppr sty name]
 \end{code}