[project @ 2000-10-24 07:35:00 by simonpj]
[ghc-hetmet.git] / ghc / compiler / typecheck / TcInstDcls.lhs
index da5d874..245e762 100644 (file)
@@ -9,7 +9,7 @@ module TcInstDcls ( tcInstDecls1, tcInstDecls2, tcAddDeclCtxt ) where
 #include "HsVersions.h"
 
 
-import CmdLineOpts     ( opt_GlasgowExts, opt_AllowUndecidableInstances, opt_D_dump_deriv )
+import CmdLineOpts     ( DynFlag(..), dopt )
 
 import HsSyn           ( HsDecl(..), InstDecl(..), TyClDecl(..),
                          MonoBinds(..), HsExpr(..),  HsLit(..), Sig(..),
@@ -18,25 +18,29 @@ import HsSyn                ( HsDecl(..), InstDecl(..), TyClDecl(..),
 import HsTypes          ( HsType (..), HsTyVarBndr(..), toHsTyVar )
 import HsPat            ( InPat (..) )
 import HsMatches        ( Match (..) )
-import RnHsSyn         ( RenamedHsBinds, RenamedInstDecl, RenamedHsDecl, extractHsTyVars )
+import RnHsSyn         ( RenamedHsBinds, RenamedInstDecl, RenamedHsDecl,
+                         extractHsTyVars )
 import TcHsSyn         ( TcMonoBinds, mkHsConApp )
 import TcBinds         ( tcSpecSigs )
 import TcClassDcl      ( tcMethodBind, badMethodErr )
 import TcMonad       
-import RnMonad         ( RnNameSupply, FixityEnv )
 import Inst            ( InstOrigin(..),
                          newDicts, newClassDicts,
                          LIE, emptyLIE, plusLIE, plusLIEs )
 import TcDeriv         ( tcDeriving )
-import TcEnv           ( ValueEnv, tcExtendGlobalValEnv, 
+import TcEnv           ( TcEnv, tcExtendGlobalValEnv, 
                          tcExtendTyVarEnvForMeths, TyThing (..),
-                         tcAddImportedIdInfo, tcInstId, tcLookupTy,
+                         tcAddImportedIdInfo, tcInstId, tcLookupClass,
                          newDFunName, tcExtendTyVarEnv
                        )
-import TcInstUtil      ( InstInfo(..), pprInstInfo, classDataCon, simpleInstInfoTyCon, simpleInstInfoTy )
+import InstEnv         ( InstInfo(..), InstEnv, pprInstInfo, classDataCon, 
+                         simpleInstInfoTyCon, simpleInstInfoTy, isLocalInst,
+                         extendInstEnv )
 import TcMonoType      ( tcTyVars, tcHsSigType, tcHsType, kcHsSigType )
 import TcSimplify      ( tcSimplifyAndCheck )
 import TcType          ( zonkTcSigTyVars )
+import HscTypes                ( PersistentCompilerState(..), HomeSymbolTable, DFunId,
+                         ModDetails(..) )
 
 import Bag             ( emptyBag, unitBag, unionBags, unionManyBags,
                          foldBag, Bag, listToBag
@@ -46,14 +50,14 @@ import Var          ( idName, idType )
 import Maybes          ( maybeToBool, expectJust )
 import MkId            ( mkDictFunId )
 import Generics                ( validGenericInstanceType )
-import Module          ( Module )
+import Module          ( Module, foldModuleEnv )
 import Name            ( isLocallyDefined )
 import NameSet         ( emptyNameSet, nameSetToList )
 import PrelInfo                ( eRROR_ID )
 import PprType         ( pprConstraint, pprPred )
-import TyCon           ( isSynTyCon, tyConDerivings )
-import Type            ( mkTyVarTys, splitSigmaTy, isTyVarTy,
-                         splitTyConApp_maybe, splitDictTy_maybe,
+import TyCon           ( TyCon, isSynTyCon, tyConDerivings )
+import Type            ( mkTyVarTys, splitDFunTy, isTyVarTy,
+                         splitTyConApp_maybe, splitDictTy,
                          splitAlgTyConApp_maybe, classesToPreds, classesOfPreds,
                          unUsgTy, tyVarsOfTypes, mkClassPred, mkTyVarTy,
                          getClassTys_maybe
@@ -71,12 +75,12 @@ import VarSet           ( varSetElems )
 import UniqFM           ( mapUFM )
 import Unique          ( Uniquable(..) )
 import BasicTypes      ( NewOrData(..) )
-import ErrUtils                ( dumpIfSet )
+import ErrUtils                ( dumpIfSet_dyn )
 import ListSetOps      ( Assoc, emptyAssoc, plusAssoc_C, mapAssoc, 
                          assocElts, extendAssoc_C,
                          equivClassesByUniq, minusList
                        )
-import List             ( intersect, (\\) )
+import List             ( intersect, (\\), partition )
 import Outputable
 \end{code}
 
@@ -163,57 +167,87 @@ and $dbinds_super$ bind the superclass dictionaries sd1 \ldots sdm.
 Gather up the instance declarations from their various sources
 
 \begin{code}
-tcInstDecls1 :: ValueEnv               -- Contains IdInfo for dfun ids
-            -> [RenamedHsDecl]
+tcInstDecls1 :: PersistentCompilerState
+            -> HomeSymbolTable         -- Contains instances
+            -> TcEnv                   -- Contains IdInfo for dfun ids
+            -> (Name -> Maybe Fixity)  -- for deriving Show and Read
             -> Module                  -- Module for deriving
-            -> FixityEnv               -- For derivings
-            -> RnNameSupply            -- For renaming derivings
-            -> TcM (Bag InstInfo,
-                      RenamedHsBinds)
-
-tcInstDecls1 unf_env decls mod fixs rn_name_supply
-  =    -- (1) Do the ordinary instance declarations
-    mapNF_Tc (tcInstDecl1 mod unf_env) 
-            [inst_decl | InstD inst_decl <- decls]     `thenNF_Tc` \ inst_info_bags ->
-    let
-       decl_inst_info = unionManyBags inst_info_bags
-    in
-       -- (2) Instances from "deriving" clauses; note that we only do derivings
-       -- for things in this module; we ignore deriving decls from
-       -- interfaces!
-    tcDeriving mod fixs rn_name_supply decl_inst_info          `thenTc` \ (deriv_inst_info, deriv_binds) ->
-
-       -- (3) Instances from generic class declarations
-    mapTc (getGenericInstances mod) 
-         [cl_decl | TyClD cl_decl <- decls, isClassDecl cl_decl]       `thenTc` \ cls_inst_info ->
+            -> [TyCon]
+            -> [RenamedHsDecl]
+            -> TcM (PersistentCompilerState, InstEnv, [InstInfo], RenamedHsBinds)
 
+tcInstDecls1 pcs hst unf_env get_fixity mod local_tycons decls
+  = let
+       inst_decls = [inst_decl | InstD inst_decl <- decls]
+       clas_decls = [clas_decl | TyClD clas_decl <- decls, isClassDecl clas_decl]
+    in
+       -- (1) Do the ordinary instance declarations
+    mapNF_Tc (tcInstDecl1 mod unf_env) inst_decls      `thenNF_Tc` \ inst_infos ->
+
+       -- (2) Instances from generic class declarations
+    getGenericInstances mod clas_decls         `thenTc` \ generic_inst_info -> 
+
+       -- Next, construct the instance environment so far, consisting of
+       --      a) cached non-home-package InstEnv (gotten from pcs)    pcs_insts pcs
+       --      b) imported instance decls (not in the home package)    inst_env1
+       --      c) other modules in this package (gotten from hst)      inst_env2
+       --      d) local instance decls                                 inst_env3
+       --      e) generic instances                                    inst_env4
+       -- The result of (b) replaces the cached InstEnv in the PCS
     let
-       generic_insts  = concat cls_inst_info
-       full_inst_info = deriv_inst_info `unionBags` 
-                        unionManyBags inst_info_bags `unionBags` 
-                        (listToBag generic_insts)
+       (local_inst_info, imported_inst_info)
+          = partition isLocalInst (concat inst_infos)
+
+       imported_dfuns   = map (tcAddImportedIdInfo unf_env . iDFunId) 
+                              imported_inst_info
+       hst_dfuns        = foldModuleEnv ((++) . md_insts) [] hst
     in
-    ioToTc (dumpIfSet opt_D_dump_deriv "Generic instances" 
-                     (vcat (map pprInstInfo generic_insts)))   `thenNF_Tc_`
+    addInstDFuns (pcs_insts pcs) imported_dfuns        `thenNF_Tc` \ inst_env1 ->
+    addInstDFuns inst_env1 hst_dfuns           `thenNF_Tc` \ inst_env2 ->
+    addInstInfos inst_env2 local_inst_info     `thenNF_Tc` \ inst_env3 ->
+    addInstInfos inst_env3 generic_inst_info   `thenNF_Tc` \ inst_env4 ->
+
+       -- (3) Compute instances from "deriving" clauses; 
+       --     note that we only do derivings for things in this module; 
+       --     we ignore deriving decls from interfaces!
+       -- This stuff computes a context for the derived instance decl, so it
+       -- needs to know about all the instances possible; hecne inst_env4
+    tcDeriving (pcs_PRS pcs) mod inst_env4 get_fixity local_tycons
+                                       `thenTc` \ (deriv_inst_info, deriv_binds) ->
+    addInstInfos inst_env4 deriv_inst_info                     
+                                       `thenNF_Tc` \ final_inst_env ->
+
+    returnTc (pcs { pcs_insts = inst_env1 }, 
+             final_inst_env, 
+             generic_inst_info ++ deriv_inst_info ++ local_inst_info,
+             deriv_binds)
+
+addInstInfos :: InstEnv -> [InstInfo] -> NF_TcM InstEnv
+addInstInfos inst_env infos = addInstDFuns inst_env (map iDFunId infos)
+
+addInstDFuns :: InstEnv -> [DFunId] -> NF_TcM InstEnv
+addInstDFuns dfuns infos
+  = getDOptsTc                         `thenTc` \ dflags ->
+    extendInstEnv dflags dfuns infos   `bind`   \ (inst_env', errs) ->
+    addErrsTc errs                     `thenNF_Tc_` 
+    returnTc inst_env'
+  where
+    bind x f = f x
 
-    (returnTc (full_inst_info, deriv_binds)) 
 \end{code} 
 
 \begin{code}
-tcInstDecl1 :: Module -> ValueEnv -> RenamedInstDecl -> NF_TcM (Bag InstInfo)
+tcInstDecl1 :: Module -> TcEnv -> RenamedInstDecl -> NF_TcM [InstInfo]
 -- Deal with a single instance declaration
 tcInstDecl1 mod unf_env (InstDecl poly_ty binds uprags maybe_dfun_name src_loc)
   =    -- Prime error recovery, set source location
-    recoverNF_Tc (returnNF_Tc emptyBag)        $
+    recoverNF_Tc (returnNF_Tc [])      $
     tcAddSrcLoc src_loc                        $
 
        -- Type-check all the stuff before the "where"
     tcHsSigType poly_ty                        `thenTc` \ poly_ty' ->
     let
-       (tyvars, theta, dict_ty) = splitSigmaTy poly_ty'
-       (clas, inst_tys)         = case splitDictTy_maybe dict_ty of
-                                    Just ct -> ct
-                                    Nothing -> pprPanic "tcInstDecl1" (ppr poly_ty)
+       (tyvars, theta, clas, inst_tys) = splitDFunTy poly_ty'
     in
 
     (case maybe_dfun_name of
@@ -229,17 +263,17 @@ tcInstDecl1 mod unf_env (InstDecl poly_ty binds uprags maybe_dfun_name src_loc)
 
                -- Make the dfun id and return it
            newDFunName mod clas inst_tys src_loc               `thenNF_Tc` \ dfun_name ->
-           returnNF_Tc (mkDictFunId dfun_name clas tyvars inst_tys theta)
+           returnNF_Tc (True, mkDictFunId dfun_name clas tyvars inst_tys theta)
 
        Just dfun_name ->       -- An interface-file instance declaration
-               -- Make the dfun id and add info from interface file
-           let
-               dfun_id = mkDictFunId dfun_name clas tyvars inst_tys theta
-           in
-           returnNF_Tc (tcAddImportedIdInfo unf_env dfun_id)
-    )                                          `thenNF_Tc` \ dfun_id ->
-
-    returnTc (unitBag (InstInfo clas tyvars inst_tys theta dfun_id binds src_loc uprags))
+               -- Make the dfun id
+           returnNF_Tc (False, mkDictFunId dfun_name clas tyvars inst_tys theta)
+    )                                          `thenNF_Tc` \ (is_local, dfun_id) ->
+
+    returnTc [InstInfo { iLocal = is_local,
+                        iClass = clas, iTyVars = tyvars, iTys = inst_tys,
+                        iTheta = theta, iDFunId = dfun_id, 
+                        iBinds = binds, iLoc = src_loc, iPrags = uprags }]
 \end{code}
 
 
@@ -274,14 +308,27 @@ gives rise to the instance declarations
 
 
 \begin{code}
-getGenericInstances :: Module -> RenamedTyClDecl -> TcM [InstInfo] 
-getGenericInstances mod decl@(ClassDecl context class_name tyvar_names 
-                                       fundeps class_sigs def_methods pragmas 
-                                       name_list loc)
+getGenericInstances :: Module -> [RenamedTyClDecl] -> TcM [InstInfo] 
+getGenericInstances mod class_decls
+  = mapTc (get_generics mod) class_decls               `thenTc` \ gen_inst_infos ->
+    let
+       gen_inst_info = concat gen_inst_infos
+    in
+    getDOptsTc                                         `thenTc`  \ dflags ->
+    ioToTc (dumpIfSet_dyn dflags Opt_D_dump_deriv "Generic instances" 
+                     (vcat (map pprInstInfo gen_inst_info)))   
+                                                       `thenNF_Tc_`
+    returnTc gen_inst_info
+
+get_generics mod decl@(ClassDecl context class_name tyvar_names 
+                                fundeps class_sigs def_methods
+                                name_list loc)
   | null groups                
-  = returnTc []                -- The comon case
+  = returnTc [] -- The comon case: 
+               --      no generic default methods, or
+               --      its an imported class decl (=> has no methods at all)
 
-  | otherwise
+  | otherwise  -- A local class decl with generic default methods
   = recoverNF_Tc (returnNF_Tc [])                              $
     tcAddDeclCtxt decl                                         $
     tcLookupClass class_name                                   `thenTc` \ clas ->
@@ -360,8 +407,10 @@ mkGenericInstance mod clas loc (hs_ty, binds)
        dfun_id    = mkDictFunId dfun_name clas tyvars inst_tys inst_theta
     in
 
-    returnTc (InstInfo clas tyvars inst_tys inst_theta dfun_id binds loc [])
-       -- The "[]" means "no pragmas"
+    returnTc (InstInfo { iLocal = True,
+                        iClass = clas, iTyVars = tyvars, iTys = inst_tys, 
+                        iTheta = inst_theta, iDFunId = dfun_id, iBinds = binds,
+                        iLoc = loc, iPrags = [] })
 \end{code}
 
 
@@ -372,11 +421,13 @@ mkGenericInstance mod clas loc (hs_ty, binds)
 %************************************************************************
 
 \begin{code}
-tcInstDecls2 :: Bag InstInfo
+tcInstDecls2 :: [InstInfo]
             -> NF_TcM (LIE, TcMonoBinds)
 
 tcInstDecls2 inst_decls
-  = foldBag combine tcInstDecl2 (returnNF_Tc (emptyLIE, EmptyMonoBinds)) inst_decls
+--  = foldBag combine tcInstDecl2 (returnNF_Tc (emptyLIE, EmptyMonoBinds)) inst_decls
+  = foldr combine (returnNF_Tc (emptyLIE, EmptyMonoBinds)) 
+          (map tcInstDecl2 inst_decls)
   where
     combine tc1 tc2 = tc1      `thenNF_Tc` \ (lie1, binds1) ->
                      tc2       `thenNF_Tc` \ (lie2, binds2) ->
@@ -453,10 +504,9 @@ First comes the easy case of a non-local instance decl.
 \begin{code}
 tcInstDecl2 :: InstInfo -> NF_TcM (LIE, TcMonoBinds)
 
-tcInstDecl2 (InstInfo clas inst_tyvars inst_tys
-                     inst_decl_theta
-                     dfun_id monobinds
-                     locn uprags)
+tcInstDecl2 (InstInfo { iClass = clas, iTyVars = inst_tyvars, iTys = inst_tys,
+                       iTheta = inst_decl_theta, iDFunId = dfun_id,
+                       iBinds = monobinds, iLoc = locn, iPrags = uprags })
   | not (isLocallyDefined dfun_id)
   = returnNF_Tc (emptyLIE, EmptyMonoBinds)
 
@@ -468,7 +518,7 @@ tcInstDecl2 (InstInfo clas inst_tyvars inst_tys
        -- Instantiate the instance decl with tc-style type variables
     tcInstId dfun_id           `thenNF_Tc` \ (inst_tyvars', dfun_theta', dict_ty') ->
     let
-       (clas, inst_tys') = expectJust "tcInstDecl2" (splitDictTy_maybe dict_ty')
+       (clas, inst_tys') = splitDictTy dict_ty'
        origin            = InstanceDeclOrigin
 
         (class_tyvars, sc_theta, _, op_items) = classBigSig clas
@@ -634,57 +684,64 @@ We can also have instances for functions: @instance Foo (a -> b) ...@.
 
 \begin{code}
 scrutiniseInstanceConstraint pred
-  | opt_AllowUndecidableInstances
-  = returnNF_Tc ()
+  = getDOptsTc `thenTc` \ dflags -> case () of
+    () 
+     |  dopt Opt_AllowUndecidableInstances dflags
+     -> returnNF_Tc ()
 
-  | Just (clas,tys) <- getClassTys_maybe pred,
-    all isTyVarTy tys
-  = returnNF_Tc ()
+     |  Just (clas,tys) <- getClassTys_maybe pred,
+        all isTyVarTy tys
+     -> returnNF_Tc ()
 
-  | otherwise
-  = addErrTc (instConstraintErr pred)
+     |  otherwise
+     -> addErrTc (instConstraintErr pred)
 
 scrutiniseInstanceHead clas inst_taus
-  |    -- CCALL CHECK
+  = getDOptsTc `thenTc` \ dflags -> case () of
+    () 
+     | -- CCALL CHECK
        -- A user declaration of a CCallable/CReturnable instance
        -- must be for a "boxed primitive" type.
-    (clas `hasKey` cCallableClassKey   && not (ccallable_type   first_inst_tau)) ||
-    (clas `hasKey` cReturnableClassKey && not (creturnable_type first_inst_tau))
-  = addErrTc (nonBoxedPrimCCallErr clas first_inst_tau)
+        (clas `hasKey` cCallableClassKey   
+            && not (ccallable_type dflags first_inst_tau)) 
+        ||
+        (clas `hasKey` cReturnableClassKey 
+            && not (creturnable_type first_inst_tau))
+     -> addErrTc (nonBoxedPrimCCallErr clas first_inst_tau)
 
        -- DERIVING CHECK
        -- It is obviously illegal to have an explicit instance
        -- for something that we are also planning to `derive'
-  | maybeToBool alg_tycon_app_maybe && clas `elem` (tyConDerivings alg_tycon)
-  = addErrTc (derivingWhenInstanceExistsErr clas first_inst_tau)
+     |  maybeToBool alg_tycon_app_maybe && clas `elem` (tyConDerivings alg_tycon)
+     -> addErrTc (derivingWhenInstanceExistsErr clas first_inst_tau)
           -- Kind check will have ensured inst_taus is of length 1
 
        -- Allow anything for AllowUndecidableInstances
-  | opt_AllowUndecidableInstances
-  = returnNF_Tc ()
+     |  dopt Opt_AllowUndecidableInstances dflags
+     -> returnNF_Tc ()
 
        -- If GlasgowExts then check at least one isn't a type variable
-  | opt_GlasgowExts 
-  = if all isTyVarTy inst_taus then
-       addErrTc (instTypeErr clas inst_taus (text "There must be at least one non-type-variable in the instance head"))
-    else
-       returnNF_Tc ()
+     |  dopt Opt_GlasgowExts dflags
+     -> if   all isTyVarTy inst_taus
+        then addErrTc (instTypeErr clas inst_taus 
+             (text "There must be at least one non-type-variable in the instance head"))
+        else returnNF_Tc ()
 
        -- WITH HASKELL 1.4, MUST HAVE C (T a b c)
-  |  not (length inst_taus == 1 &&
-         maybeToBool maybe_tycon_app &&        -- Yes, there's a type constuctor
-          not (isSynTyCon tycon) &&            -- ...but not a synonym
-          all isTyVarTy arg_tys &&             -- Applied to type variables
-         length (varSetElems (tyVarsOfTypes arg_tys)) == length arg_tys
-                -- This last condition checks that all the type variables are distinct
-     )
-  = addErrTc (instTypeErr clas inst_taus
-                       (text "the instance type must be of form (T a b c)" $$
-                        text "where T is not a synonym, and a,b,c are distinct type variables")
-    )
-
-  | otherwise
-  = returnNF_Tc ()
+     |  not (length inst_taus == 1 &&
+             maybeToBool maybe_tycon_app &&    -- Yes, there's a type constuctor
+             not (isSynTyCon tycon) &&         -- ...but not a synonym
+             all isTyVarTy arg_tys &&          -- Applied to type variables
+            length (varSetElems (tyVarsOfTypes arg_tys)) == length arg_tys
+             -- This last condition checks that all the type variables are distinct
+            )
+     ->  addErrTc (instTypeErr clas inst_taus
+                    (text "the instance type must be of form (T a b c)" $$
+                     text "where T is not a synonym, and a,b,c are distinct type variables")
+         )
+
+     |  otherwise
+     -> returnNF_Tc ()
 
   where
     (first_inst_tau : _)       = inst_taus
@@ -698,8 +755,8 @@ scrutiniseInstanceHead clas inst_taus
                                -- The "Alg" part looks through synonyms
     Just (alg_tycon, _, _) = alg_tycon_app_maybe
  
-ccallable_type   ty = isFFIArgumentTy False {- Not safe call -} ty
-creturnable_type ty = isFFIResultTy ty
+    ccallable_type   dflags ty = isFFIArgumentTy dflags False {- Not safe call -} ty
+    creturnable_type        ty = isFFIResultTy ty
 \end{code}
 
 
@@ -717,10 +774,10 @@ tcAddDeclCtxt decl thing_inside
   where
      (name, loc, thing)
        = case decl of
-           (ClassDecl _ name _ _ _ _ _ _ loc)         -> (name, loc, "class")
-           (TySynonym name _ _ loc)                   -> (name, loc, "type synonym")
-           (TyData NewType  _ name _ _ _ _ _ loc _ _) -> (name, loc, "newtype")
-           (TyData DataType _ name _ _ _ _ _ loc _ _) -> (name, loc, "data type")
+           (ClassDecl _ name _ _ _ _ _ loc)         -> (name, loc, "class")
+           (TySynonym name _ _ loc)                 -> (name, loc, "type synonym")
+           (TyData NewType  _ name _ _ _ _ loc _ _) -> (name, loc, "newtype")
+           (TyData DataType _ name _ _ _ _ loc _ _) -> (name, loc, "data type")
 
      ctxt = hsep [ptext SLIT("In the"), text thing, 
                  ptext SLIT("declaration for"), quotes (ppr name)]