X-Git-Url: http://git.megacz.com/?a=blobdiff_plain;f=ghc%2Fcompiler%2Frename%2FRnIfaces.lhs;h=deff6b7ea554155a30bc33d024e9ad9b4f989bb5;hb=904f158f9fe208b8154029dff655a6eab4b2828e;hp=ed0014f95bde79cd7ab5983990a705d689d01e18;hpb=d9de62d5329690525828f0e6453a086c54cb4517;p=ghc-hetmet.git diff --git a/ghc/compiler/rename/RnIfaces.lhs b/ghc/compiler/rename/RnIfaces.lhs index ed0014f..deff6b7 100644 --- a/ghc/compiler/rename/RnIfaces.lhs +++ b/ghc/compiler/rename/RnIfaces.lhs @@ -1,288 +1,286 @@ % -% (c) The GRASP/AQUA Project, Glasgow University, 1992-1996 +% (c) The GRASP/AQUA Project, Glasgow University, 1992-1998 % \section[RnIfaces]{Cacheing and Renaming of Interfaces} \begin{code} -#include "HsVersions.h" - module RnIfaces ( - getInterfaceExports, - getImportedInstDecls, - getSpecialInstModules, getDeferredDataDecls, + getInterfaceExports, + getImportedInstDecls, getImportedRules, + lookupFixity, loadHomeInterface, importDecl, recordSlurp, - getImportVersions, getSlurpedNames, getRnStats, + getImportVersions, getSlurped, checkUpToDate, - getDeclBinders, - mkSearchPath + getDeclBinders ) where -IMP_Ubiq() -#if __GLASGOW_HASKELL__ >= 202 -import GlaExts (trace) -- TEMP -import IO -#endif - +#include "HsVersions.h" -import CmdLineOpts ( opt_PruneTyDecls, opt_PruneInstDecls, - opt_PprUserLength, opt_IgnoreIfacePragmas +import CmdLineOpts ( opt_NoPruneDecls, opt_IgnoreIfacePragmas ) +import HsSyn ( HsDecl(..), TyClDecl(..), InstDecl(..), IfaceSig(..), + HsType(..), ConDecl(..), IE(..), ConDetails(..), Sig(..), + FixitySig(..), RuleDecl(..), + isClassOpSig ) -import HsSyn ( HsDecl(..), TyDecl(..), ClassDecl(..), HsTyVar, HsExpr, Sig(..), HsType(..), - HsBinds(..), MonoBinds, DefaultDecl, ConDecl(..), ConDetails(..), BangType, IfaceSig(..), - FixityDecl(..), Fixity, Fake, InPat, InstDecl(..), HsIdInfo, - IE(..), hsDeclName +import BasicTypes ( Version, NewOrData(..), defaultFixity ) +import RdrHsSyn ( RdrNameHsDecl, RdrNameInstDecl, RdrNameTyClDecl, RdrNameRuleDecl, + extractHsTyRdrNames ) -import HsPragmas ( noGenPragmas ) -import BasicTypes ( SYN_IE(Version), NewOrData(..), IfaceFlavour(..) ) -import RdrHsSyn ( SYN_IE(RdrNameHsDecl), SYN_IE(RdrNameInstDecl), SYN_IE(RdrNameTyDecl), - RdrName, rdrNameOcc +import RnEnv ( mkImportedGlobalName, newImportedBinder, mkImportedGlobalFromRdrName, + lookupOccRn, + pprAvail, + availName, availNames, addAvailToNameSet, + FreeVars, emptyFVs ) -import RnEnv ( newGlobalName, addImplicitOccsRn, ifaceFlavour, - availName, availNames, addAvailToNameSet, pprAvail - ) -import RnSource ( rnHsSigType ) import RnMonad -import RnHsSyn ( SYN_IE(RenamedHsDecl) ) -import ParseIface ( parseIface ) +import RnHsSyn ( RenamedHsDecl ) +import ParseIface ( parseIface, IfaceStuff(..) ) -import ErrUtils ( SYN_IE(Error), SYN_IE(Warning) ) -import FiniteMap ( FiniteMap, sizeFM, emptyFM, unitFM, delFromFM, +import FiniteMap ( FiniteMap, sizeFM, emptyFM, delFromFM, lookupFM, addToFM, addToFM_C, addListToFM, - fmToList, eltsFM + fmToList, elemFM, foldFM ) -import Name ( Name {-instance NamedThing-}, Provenance, OccName(..), - nameModule, occNameString, moduleString, pprModule, isLocallyDefined, - NameSet(..), emptyNameSet, unionNameSets, nameSetToList, - minusNameSet, mkNameSet, elemNameSet, nameUnique, addOneToNameSet, - isWiredInName, maybeWiredInTyConName, maybeWiredInIdName, - NamedThing(..) +import Name ( Name {-instance NamedThing-}, + nameModule, isLocallyDefined, + isWiredInName, nameUnique, NamedThing(..) ) -import Id ( GenId, Id(..), idType, dataConTyCon, isAlgCon ) -import TyCon ( TyCon, tyConDataCons, isSynTyCon, getSynTyConDefn ) -import Type ( namesOfType ) -import TyVar ( GenTyVar ) -import SrcLoc ( mkIfaceSrcLoc, SrcLoc ) -import PrelMods ( gHC__ ) -import PrelInfo ( cCallishTyKeys ) +import Module ( Module, moduleString, pprModule, + mkVanillaModule, pprModuleName, + moduleUserString, moduleName, isLibModule, + ModuleName, WhereFrom(..), + ) +import RdrName ( RdrName, rdrNameOcc ) +import NameSet +import Var ( Id ) +import SrcLoc ( mkSrcLoc, SrcLoc ) +import PrelMods ( pREL_GHC ) +import PrelInfo ( cCallishTyKeys, thinAirModules ) import Bag -import Maybes ( MaybeErr(..), expectJust, maybeToBool ) +import Maybes ( MaybeErr(..), maybeToBool, orElse ) import ListSetOps ( unionLists ) -import Pretty -import Outputable ( PprStyle(..) ) +import Outputable import Unique ( Unique ) -import Util ( pprPanic, pprTrace, Ord3(..) ) -import StringBuffer ( StringBuffer, hGetStringBuffer, freeStringBuffer ) +import StringBuffer ( StringBuffer, hGetStringBuffer ) +import FastString ( mkFastString ) +import Lex import Outputable -#if __GLASGOW_HASKELL__ >= 202 -import List (nub) -#endif -\end{code} +import IO ( isDoesNotExistError ) +import List ( nub ) +\end{code} %********************************************************* %* * -\subsection{Statistics} -%* * -%********************************************************* - -\begin{code} -getRnStats :: [RenamedHsDecl] -> RnMG Doc -getRnStats all_decls - = getIfacesRn `thenRn` \ ifaces -> - let - Ifaces this_mod mod_map decls_fm all_names imp_names (unslurped_insts,_) deferred_data_decls inst_mods = ifaces - n_mods = sizeFM mod_map - - decls_imported = filter is_imported_decl all_decls - decls_read = [decl | (name, (_, avail, decl)) <- fmToList decls_fm, - name == availName avail, - -- Data, newtype, and class decls are in the decls_fm - -- under multiple names; the tycon/class, and each - -- constructor/class op too. - not (isLocallyDefined name) - ] - - (cd_rd, dd_rd, add_rd, nd_rd, and_rd, sd_rd, vd_rd, _) = count_decls decls_read - (cd_sp, dd_sp, add_sp, nd_sp, and_sp, sd_sp, vd_sp, id_sp) = count_decls decls_imported - - inst_decls_unslurped = length (bagToList unslurped_insts) - inst_decls_read = id_sp + inst_decls_unslurped - - stats = vcat - [int n_mods <> text " interfaces read", - hsep [int cd_sp, text "class decls imported, out of", - int cd_rd, text "read"], - hsep [int dd_sp, text "data decls imported (of which", int add_sp, text "abstractly), out of", - int dd_rd, text "read"], - hsep [int nd_sp, text "newtype decls imported (of which", int and_sp, text "abstractly), out of", - int nd_rd, text "read"], - hsep [int sd_sp, text "type synonym decls imported, out of", - int sd_rd, text "read"], - hsep [int vd_sp, text "value signatures imported, out of", - int vd_rd, text "read"], - hsep [int id_sp, text "instance decls imported, out of", - int inst_decls_read, text "read"] - ] - in - returnRn (hcat [text "Renamer stats: ", stats]) - -is_imported_decl (DefD _) = False -is_imported_decl (ValD _) = False -is_imported_decl decl = not (isLocallyDefined (hsDeclName decl)) - -count_decls decls - = -- pprTrace "count_decls" (ppr PprDebug decls - -- - -- $$ - -- text "=========" - -- $$ - -- ppr PprDebug imported_decls - -- ) $ - (class_decls, - data_decls, abstract_data_decls, - newtype_decls, abstract_newtype_decls, - syn_decls, - val_decls, - inst_decls) - where - class_decls = length [() | ClD _ <- decls] - data_decls = length [() | TyD (TyData DataType _ _ _ _ _ _ _) <- decls] - newtype_decls = length [() | TyD (TyData NewType _ _ _ _ _ _ _) <- decls] - abstract_data_decls = length [() | TyD (TyData DataType _ _ _ [] _ _ _) <- decls] - abstract_newtype_decls = length [() | TyD (TyData NewType _ _ _ [] _ _ _) <- decls] - syn_decls = length [() | TyD (TySynonym _ _ _ _) <- decls] - val_decls = length [() | SigD _ <- decls] - inst_decls = length [() | InstD _ <- decls] - -\end{code} - -%********************************************************* -%* * \subsection{Loading a new interface file} %* * %********************************************************* \begin{code} -loadInterface :: Doc -> Module -> IfaceFlavour -> RnMG Ifaces -loadInterface doc_str load_mod as_source - = getIfacesRn `thenRn` \ ifaces -> - let - Ifaces this_mod mod_map decls - all_names imp_names (insts, tycls_names) - deferred_data_decls inst_mods = ifaces - in +loadHomeInterface :: SDoc -> Name -> RnM d (Module, Ifaces) +loadHomeInterface doc_str name + = loadInterface doc_str (moduleName (nameModule name)) ImportBySystem + +loadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d (Module, Ifaces) +loadInterface doc_str mod_name from + = getIfacesRn `thenRn` \ ifaces -> + let + mod_map = iImpModInfo ifaces + mod_info = lookupFM mod_map mod_name + in_map = maybeToBool mod_info + in + + -- Issue a warning for a redundant {- SOURCE -} import + -- It's redundant if the moduld is in the iImpModInfo at all, + -- because we arrange to read all the ordinary imports before + -- any of the {- SOURCE -} imports + warnCheckRn (not (in_map && case from of {ImportByUserSource -> True; other -> False})) + (warnRedundantSourceImport mod_name) `thenRn_` + -- CHECK WHETHER WE HAVE IT ALREADY - case lookupFM mod_map load_mod of { - Just (hif, _, _, _) | hif `as_good_as` as_source - -> -- Already in the cache; don't re-read it - returnRn ifaces ; - other -> + case mod_info of { + Just (_, _, Just (load_mod, _, _)) + -> -- We're read it already so don't re-read it + returnRn (load_mod, ifaces) ; + + mod_map_result -> -- READ THE MODULE IN - findAndReadIface doc_str load_mod as_source `thenRn` \ read_result -> - case read_result of { - -- Check for not found + findAndReadIface doc_str mod_name from in_map `thenRn` \ (hi_boot_read, read_result) -> + case read_result of { Nothing -> -- Not found, so add an empty export env to the Ifaces map -- so that we don't look again let - new_mod_map = addToFM mod_map load_mod (HiFile, 0, [],[]) - new_ifaces = Ifaces this_mod new_mod_map - decls all_names imp_names (insts, tycls_names) - deferred_data_decls inst_mods + mod = mkVanillaModule mod_name + new_mod_map = addToFM mod_map mod_name (0, False, Just (mod, False, [])) + new_ifaces = ifaces { iImpModInfo = new_mod_map } in setIfacesRn new_ifaces `thenRn_` - failWithRn new_ifaces (noIfaceErr load_mod) ; + failWithRn (mod, new_ifaces) (noIfaceErr mod hi_boot_read) ; -- Found and parsed! - Just (ParsedIface _ mod_vers usages exports rd_inst_mods fixs rd_decls rd_insts) -> + Just (mod, iface) -> -- LOAD IT INTO Ifaces + -- NB: *first* we do loadDecl, so that the provenance of all the locally-defined --- names is done correctly (notably, whether this is an .hi file or .hi-boot file). -- If we do loadExport first the wrong info gets into the cache (unless we -- explicitly tag each export which seems a bit of a bore) - foldlRn (loadDecl load_mod as_source) decls rd_decls `thenRn` \ new_decls -> - mapRn loadExport exports `thenRn` \ avails_s -> - foldlRn (loadInstDecl load_mod) insts rd_insts `thenRn` \ new_insts -> + + getModuleRn `thenRn` \ this_mod_nm -> let - mod_details = (as_source, mod_vers, concat avails_s, fixs) - - -- Exclude this module from the "special-inst" modules - new_inst_mods = inst_mods `unionLists` (filter (/= this_mod) rd_inst_mods) - - new_ifaces = Ifaces this_mod - (addToFM mod_map load_mod mod_details) - new_decls - all_names imp_names - (new_insts, tycls_names) - deferred_data_decls - new_inst_mods + rd_decls = pi_decls iface + in + foldlRn (loadDecl mod) (iDecls ifaces) rd_decls `thenRn` \ new_decls -> + foldlRn (loadInstDecl mod) (iInsts ifaces) (pi_insts iface) `thenRn` \ new_insts -> + foldlRn (loadRule mod) (iRules ifaces) (pi_rules iface) `thenRn` \ new_rules -> + foldlRn (loadFixDecl mod_name) (iFixes ifaces) rd_decls `thenRn` \ new_fixities -> + mapRn (loadExport this_mod_nm) (pi_exports iface) `thenRn` \ avails_s -> + let + -- For an explicit user import, add to mod_map info about + -- the things the imported module depends on, extracted + -- from its usage info. + mod_map1 = case from of + ImportByUser -> addModDeps mod mod_map (pi_usages iface) + other -> mod_map + + -- Now add info about this module + mod_map2 = addToFM mod_map1 mod_name mod_details + mod_details = (pi_mod iface, pi_orphan iface, Just (mod, hi_boot_read, concat avails_s)) + + new_ifaces = ifaces { iImpModInfo = mod_map2, + iDecls = new_decls, + iFixes = new_fixities, + iRules = new_rules, + iInsts = new_insts } in setIfacesRn new_ifaces `thenRn_` - returnRn new_ifaces + returnRn (mod, new_ifaces) }} -as_good_as HiFile any = True -as_good_as any HiBootFile = True -as_good_as _ _ = False - +addModDeps :: Module -> ImportedModuleInfo + -> [ImportVersion a] -> ImportedModuleInfo +addModDeps mod mod_deps new_deps + = foldr add mod_deps new_deps + where + is_lib = isLibModule mod -- Don't record dependencies when importing a library module + add (imp_mod, version, has_orphans, _) deps + | is_lib && not has_orphans = deps + | otherwise = addToFM_C combine deps imp_mod (version, has_orphans, Nothing) + -- Record dependencies for modules that are + -- either are dependent via a non-library module + -- or contain orphan rules or instance decls + + -- Don't ditch a module that's already loaded!! + combine old@(_, _, Just _) new = old + combine old@(_, _, Nothing) new = new + +loadExport :: ModuleName -> ExportItem -> RnM d [AvailInfo] +loadExport this_mod (mod, entities) + | mod == this_mod = returnRn [] + -- If the module exports anything defined in this module, just ignore it. + -- Reason: otherwise it looks as if there are two local definition sites + -- for the thing, and an error gets reported. Easiest thing is just to + -- filter them out up front. This situation only arises if a module + -- imports itself, or another module that imported it. (Necessarily, + -- this invoves a loop.) Consequence: if you say + -- module A where + -- import B( AType ) + -- type AType = ... + -- + -- module B( AType ) where + -- import {-# SOURCE #-} A( AType ) + -- + -- then you'll get a 'B does not export AType' message. A bit bogus + -- but it's a bogus thing to do! -loadExport :: ExportItem -> RnMG [AvailInfo] -loadExport (mod, hif, entities) - = mapRn load_entity entities + | otherwise + = mapRn (load_entity mod) entities where - new_name occ = newGlobalName mod occ hif + new_name mod occ = mkImportedGlobalName mod occ - load_entity (Avail occ) - = new_name occ `thenRn` \ name -> + load_entity mod (Avail occ) + = new_name mod occ `thenRn` \ name -> returnRn (Avail name) - load_entity (AvailTC occ occs) - = new_name occ `thenRn` \ name -> - mapRn new_name occs `thenRn` \ names -> + load_entity mod (AvailTC occ occs) + = new_name mod occ `thenRn` \ name -> + mapRn (new_name mod) occs `thenRn` \ names -> returnRn (AvailTC name names) + +loadFixDecl :: ModuleName -> FixityEnv + -> (Version, RdrNameHsDecl) + -> RnM d FixityEnv +loadFixDecl mod_name fixity_env (version, FixD sig@(FixitySig rdr_name fixity loc)) + = -- Ignore the version; when the fixity changes the version of + -- its 'host' entity changes, so we don't need a separate version + -- number for fixities + mkImportedGlobalName mod_name (rdrNameOcc rdr_name) `thenRn` \ name -> + let + new_fixity_env = addToNameEnv fixity_env name (FixitySig name fixity loc) + in + returnRn new_fixity_env + + -- Ignore the other sorts of decl +loadFixDecl mod_name fixity_env other_decl = returnRn fixity_env + loadDecl :: Module - -> IfaceFlavour -> DeclsMap -> (Version, RdrNameHsDecl) - -> RnMG DeclsMap -loadDecl mod as_source decls_map (version, decl) - = getDeclBinders new_implicit_name decl `thenRn` \ avail -> - returnRn (addListToFM decls_map - [(name,(version,avail,decl')) | name <- availNames avail] - ) + -> RnM d DeclsMap + +loadDecl mod decls_map (version, decl) + = getDeclBinders new_name decl `thenRn` \ maybe_avail -> + case maybe_avail of { + Nothing -> returnRn decls_map; -- No bindings + Just avail -> + + getDeclSysBinders new_name decl `thenRn` \ sys_bndrs -> + let + main_name = availName avail + new_decls_map = foldl add_decl decls_map + [ (name, (version, avail, name==main_name, (mod, decl'))) + | name <- sys_bndrs ++ availNames avail] + add_decl decls_map (name, stuff) + = WARN( name `elemNameEnv` decls_map, ppr name ) + addToNameEnv decls_map name stuff + in + returnRn new_decls_map + } where + -- newImportedBinder puts into the cache the binder with the + -- module information set correctly. When the decl is later renamed, + -- the binding site will thereby get the correct module. + new_name rdr_name loc = newImportedBinder mod rdr_name + {- - If a signature decl is being loaded and we're ignoring interface pragmas, - toss away unfolding information. + If a signature decl is being loaded, and optIgnoreIfacePragmas is on, + we toss away unfolding information. Also, if the signature is loaded from a module we're importing from source, we do the same. This is to avoid situations when compiling a pair of mutually recursive modules, peering at unfolding info in the interface file of the other, e.g., you compile A, it looks at B's interface file and may as a result change - it's interface file. Hence, B is recompiled, maybe changing it's interface file, - which will the ufolding info used in A to become invalid. Simple way out is to + its interface file. Hence, B is recompiled, maybe changing its interface file, + which will the unfolding info used in A to become invalid. Simple way out is to just ignore unfolding info. - -} - decl' = - case decl of - SigD (IfaceSig name tp ls loc) | from_hi_boot || opt_IgnoreIfacePragmas -> - SigD (IfaceSig name tp [] loc) - _ -> decl - new_implicit_name rdr_name loc = newGlobalName mod (rdrNameOcc rdr_name) as_source - from_hi_boot = case as_source of - HiBootFile -> True - other -> False + [Jan 99: I junked the second test above. If we're importing from an hi-boot + file there isn't going to *be* any pragma info. Maybe the above comment + dates from a time where we picked up a .hi file first if it existed?] + -} + decl' = case decl of + SigD (IfaceSig name tp ls loc) | opt_IgnoreIfacePragmas -> SigD (IfaceSig name tp [] loc) + other -> decl loadInstDecl :: Module - -> Bag IfaceInst + -> Bag GatedDecl -> RdrNameInstDecl - -> RnMG (Bag IfaceInst) -loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc) + -> RnM d (Bag GatedDecl) +loadInstDecl mod insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc) = -- Find out what type constructors and classes are "gates" for the -- instance declaration. If all these "gates" are slurped in then @@ -294,17 +292,33 @@ loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_lo -- -- Here the gates are Baz and T, but *not* Foo. let - munged_inst_ty = case inst_ty of - HsForAllTy tvs cxt ty -> HsForAllTy tvs [] ty - HsPreForAllTy cxt ty -> HsPreForAllTy [] ty - other -> inst_ty + munged_inst_ty = removeContext inst_ty + free_names = extractHsTyRdrNames munged_inst_ty in - -- We find the gates by renaming the instance type with in a - -- and returning the occurrence pool. - initRnMS emptyRnEnv mod_name (InterfaceMode Compulsory) ( - findOccurrencesRn (rnHsSigType (\sty -> text "an instance decl") munged_inst_ty) - ) `thenRn` \ gate_names -> - returnRn (((mod_name, decl), gate_names) `consBag` insts) + setModuleRn (moduleName mod) $ + mapRn mkImportedGlobalFromRdrName free_names `thenRn` \ gate_names -> + returnRn ((mkNameSet gate_names, (mod, InstD decl)) `consBag` insts) + + +-- In interface files, the instance decls now look like +-- forall a. Foo a -> Baz (T a) +-- so we have to strip off function argument types as well +-- as the bit before the '=>' (which is always empty in interface files) +removeContext (HsForAllTy tvs cxt ty) = HsForAllTy tvs [] (removeFuns ty) +removeContext ty = removeFuns ty + +removeFuns (MonoFunTy _ ty) = removeFuns ty +removeFuns ty = ty + + +loadRule :: Module -> Bag GatedDecl + -> RdrNameRuleDecl -> RnM d (Bag GatedDecl) +-- "Gate" the rule simply by whether the rule variable is +-- needed. We can refine this later. +loadRule mod rules decl@(IfaceRuleDecl var body src_loc) + = setModuleRn (moduleName mod) $ + mkImportedGlobalFromRdrName var `thenRn` \ var_name -> + returnRn ((unitNameSet var_name, (mod, RuleD decl)) `consBag` rules) \end{code} @@ -315,77 +329,94 @@ loadInstDecl mod_name insts decl@(InstDecl inst_ty binds uprags dfun_name src_lo %******************************************************** \begin{code} -checkUpToDate :: Module -> RnMG Bool -- True <=> no need to recompile +checkUpToDate :: ModuleName -> RnMG Bool -- True <=> no need to recompile checkUpToDate mod_name - = findAndReadIface doc_str mod_name HiFile `thenRn` \ read_result -> + = getIfacesRn `thenRn` \ ifaces -> + findAndReadIface doc_str mod_name + ImportByUser + (error "checkUpToDate") `thenRn` \ (_, read_result) -> -- CHECK WHETHER WE HAVE IT ALREADY case read_result of Nothing -> -- Old interface file not found, so we'd better bail out traceRn (sep [ptext SLIT("Didnt find old iface"), - pprModule PprDebug mod_name]) `thenRn_` + pprModuleName mod_name]) `thenRn_` returnRn False - Just (ParsedIface _ _ usages _ _ _ _ _) + Just (_, iface) -> -- Found it, so now check it - checkModUsage usages + checkModUsage (pi_usages iface) where -- Only look in current directory, with suffix .hi - doc_str = sep [ptext SLIT("need usage info from"), pprModule PprDebug mod_name] + doc_str = sep [ptext SLIT("need usage info from"), pprModuleName mod_name] checkModUsage [] = returnRn True -- Yes! Everything is up to date! -checkModUsage ((mod, hif, old_mod_vers, old_local_vers) : rest) - = loadInterface doc_str mod hif `thenRn` \ ifaces -> +checkModUsage ((mod_name, old_mod_vers, _, whats_imported) : rest) + = loadInterface doc_str mod_name ImportBySystem `thenRn` \ (mod, ifaces) -> let - Ifaces _ mod_map decls _ _ _ _ _ = ifaces - maybe_new_mod_vers = lookupFM mod_map mod - Just (_, new_mod_vers, _, _) = maybe_new_mod_vers + maybe_mod_vers = case lookupFM (iImpModInfo ifaces) mod_name of + Just (version, _, Just (_, _, _)) -> Just version + other -> Nothing in - -- If we can't find a version number for the old module then - -- bail out saying things aren't up to date - if not (maybeToBool maybe_new_mod_vers) then - traceRn (sep [ptext SLIT("Can't find version number for module"), pprModule PprDebug mod]) `thenRn_` - returnRn False - else + case maybe_mod_vers of { + Nothing -> -- If we can't find a version number for the old module then + -- bail out saying things aren't up to date + traceRn (sep [ptext SLIT("Can't find version number for module"), + pprModuleName mod_name]) `thenRn_` + returnRn False ; + + Just new_mod_vers -> -- If the module version hasn't changed, just move on if new_mod_vers == old_mod_vers then - traceRn (sep [ptext SLIT("Module version unchanged:"), pprModule PprDebug mod]) `thenRn_` + traceRn (sep [ptext SLIT("Module version unchanged:"), pprModuleName mod_name]) `thenRn_` checkModUsage rest else - traceRn (sep [ptext SLIT("Module version has changed:"), pprModule PprDebug mod]) `thenRn_` + traceRn (sep [ptext SLIT("Module version has changed:"), pprModuleName mod_name]) `thenRn_` + + -- Module version changed, so check entities inside + + -- If the usage info wants to say "I imported everything from this module" + -- it does so by making whats_imported equal to Everything + -- In that case, we must recompile + case whats_imported of { + Everything -> traceRn (ptext SLIT("...and I needed the whole module")) `thenRn_` + returnRn False; -- Bale out + + Specifically old_local_vers -> - -- New module version, so check entities inside - checkEntityUsage mod decls old_local_vers `thenRn` \ up_to_date -> + -- Non-empty usage list, so check item by item + checkEntityUsage mod_name (iDecls ifaces) old_local_vers `thenRn` \ up_to_date -> if up_to_date then traceRn (ptext SLIT("...but the bits I use haven't.")) `thenRn_` checkModUsage rest -- This one's ok, so check the rest else returnRn False -- This one failed, so just bail out now + }} where - doc_str = sep [ptext SLIT("need version info for"), pprModule PprDebug mod] + doc_str = sep [ptext SLIT("need version info for"), pprModuleName mod_name] checkEntityUsage mod decls [] = returnRn True -- Yes! All up to date! checkEntityUsage mod decls ((occ_name,old_vers) : rest) - = newGlobalName mod occ_name HiFile {- ?? -} `thenRn` \ name -> - case lookupFM decls name of + = mkImportedGlobalName mod occ_name `thenRn` \ name -> + case lookupNameEnv decls name of Nothing -> -- We used it before, but it ain't there now - putDocRn (sep [ptext SLIT("No longer exported:"), ppr PprDebug name]) `thenRn_` + putDocRn (sep [ptext SLIT("No longer exported:"), ppr name]) `thenRn_` returnRn False - Just (new_vers,_,_) -- It's there, but is it up to date? + Just (new_vers,_,_,_) -- It's there, but is it up to date? | new_vers == old_vers -- Up to date, so check the rest -> checkEntityUsage mod decls rest | otherwise -- Out of date, so bale out - -> putDocRn (sep [ptext SLIT("Out of date:"), ppr PprDebug name]) `thenRn_` + -> putDocRn (sep [ptext SLIT("Out of date:"), ppr name]) `thenRn_` returnRn False \end{code} @@ -397,61 +428,48 @@ checkEntityUsage mod decls ((occ_name,old_vers) : rest) %********************************************************* \begin{code} -importDecl :: Name -> Necessity -> RnMG (Maybe RdrNameHsDecl) - -- Returns Nothing for a wired-in or already-slurped decl - -importDecl name necessity - = checkSlurped name `thenRn` \ already_slurped -> - if already_slurped then --- traceRn (sep [text "Already slurped:", ppr PprDebug name]) `thenRn_` +importDecl :: Name -> RnMG (Maybe (Module, RdrNameHsDecl)) + -- Returns Nothing for + -- (a) wired in name + -- (b) local decl + -- (c) already slurped + +importDecl name + | isWiredInName name + = returnRn Nothing + | otherwise + = getSlurped `thenRn` \ already_slurped -> + if name `elemNameSet` already_slurped then returnRn Nothing -- Already dealt with else - if isWiredInName name then - getWiredInDecl name necessity - else - getIfacesRn `thenRn` \ ifaces -> - let - Ifaces this_mod _ _ _ _ _ _ _ = ifaces - mod = nameModule name - in - if mod == this_mod then -- Don't bring in decls from - pprTrace "importDecl wierdness:" (ppr PprDebug name) $ - returnRn Nothing -- the renamed module's own interface file - -- - else - getNonWiredInDecl name necessity + getModuleRn `thenRn` \ this_mod -> + let + mod = moduleName (nameModule name) + in + if mod == this_mod then -- Don't bring in decls from + -- the renamed module's own interface file + addWarnRn (importDeclWarn mod name) `thenRn_` + returnRn Nothing + else + getNonWiredInDecl name \end{code} \begin{code} -getNonWiredInDecl :: Name -> Necessity -> RnMG (Maybe RdrNameHsDecl) -getNonWiredInDecl needed_name necessity - = traceRn doc_str `thenRn_` - loadInterface doc_str mod (ifaceFlavour needed_name) `thenRn` \ (Ifaces _ _ decls _ _ _ _ _) -> - case lookupFM decls needed_name of - - -- Special case for data/newtype type declarations - Just (version, avail, TyD ty_decl) | is_data_or_newtype ty_decl - -> getNonWiredDataDecl needed_name version avail ty_decl `thenRn` \ (avail', maybe_decl) -> - recordSlurp (Just version) necessity avail' `thenRn_` - returnRn maybe_decl - - Just (version,avail,decl) - -> recordSlurp (Just version) necessity avail `thenRn_` - returnRn (Just decl) - - Nothing -> -- Can happen legitimately for "Optional" occurrences - case necessity of { - Optional -> addWarnRn (getDeclWarn needed_name); - other -> addErrRn (getDeclErr needed_name) - } `thenRn_` - returnRn Nothing +getNonWiredInDecl :: Name -> RnMG (Maybe (Module, RdrNameHsDecl)) +getNonWiredInDecl needed_name + = traceRn doc_str `thenRn_` + loadHomeInterface doc_str needed_name `thenRn` \ (_, ifaces) -> + case lookupNameEnv (iDecls ifaces) needed_name of + + Just (version,avail,_,decl) + -> recordSlurp (Just version) avail `thenRn_` + returnRn (Just decl) + + Nothing -- Can happen legitimately for "Optional" occurrences + -> addErrRn (getDeclErr needed_name) `thenRn_` + returnRn Nothing where - doc_str = sep [ptext SLIT("need decl for"), ppr PprDebug needed_name] - mod = nameModule needed_name - - is_data_or_newtype (TyData _ _ _ _ _ _ _ _) = True - is_data_or_newtype other = False - + doc_str = ptext SLIT("need decl for") <+> ppr needed_name \end{code} @getWiredInDecl@ maps a wired-in @Name@ to what it makes available. @@ -473,88 +491,6 @@ Specifically, All this is necessary so that we know all types that are "in play", so that we know just what instances to bring into scope. -\begin{code} -getWiredInDecl name necessity - = initRnMS emptyRnEnv mod_name (InterfaceMode necessity) - get_wired `thenRn` \ avail -> - recordSlurp Nothing necessity avail `thenRn_` - - -- Force in the home module in case it has instance decls for - -- the thing we are interested in. - -- - -- Mini hack 1: no point for non-tycons/class; and if we - -- do this we find PrelNum trying to import PackedString, - -- because PrelBase's .hi file mentions PackedString.unpackString - -- But PackedString.hi isn't built by that point! - -- - -- Mini hack 2; GHC is guaranteed not to have - -- instance decls, so it's a waste of time to read it - -- - -- NB: We *must* look at the availName of the slurped avail, - -- not the name passed to getWiredInDecl! Why? Because if a data constructor - -- or class op is passed to getWiredInDecl we'll pull in the whole data/class - -- decl, and recordSlurp will record that fact. But since the data constructor - -- isn't a tycon/class we won't force in the home module. And even if the - -- type constructor/class comes along later, loadDecl will say that it's already - -- been slurped, so getWiredInDecl won't even be called. Pretty obscure bug, this was. - let - main_name = availName avail - main_is_tc = case avail of { AvailTC _ _ -> True; Avail _ -> False } - mod = nameModule main_name - doc_str = sep [ptext SLIT("need home module for wired in thing"), ppr PprDebug name] - in - (if not main_is_tc || mod == gHC__ then - returnRn () - else - loadInterface doc_str mod (ifaceFlavour main_name) `thenRn_` - returnRn () - ) `thenRn_` - - returnRn Nothing -- No declaration to process further - where - - get_wired | is_tycon -- ... a type constructor - = get_wired_tycon the_tycon - - | (isAlgCon the_id) -- ... a wired-in data constructor - = get_wired_tycon (dataConTyCon the_id) - - | otherwise -- ... a wired-in non data-constructor - = get_wired_id the_id - - mod_name = nameModule name - maybe_wired_in_tycon = maybeWiredInTyConName name - is_tycon = maybeToBool maybe_wired_in_tycon - maybe_wired_in_id = maybeWiredInIdName name - Just the_tycon = maybe_wired_in_tycon - Just the_id = maybe_wired_in_id - - -get_wired_id id - = addImplicitOccsRn id_mentions `thenRn_` - returnRn (Avail (getName id)) - where - id_mentions = nameSetToList (namesOfType ty) - ty = idType id - -get_wired_tycon tycon - | isSynTyCon tycon - = addImplicitOccsRn (nameSetToList mentioned) `thenRn_` - returnRn (AvailTC tc_name [tc_name]) - where - tc_name = getName tycon - (tyvars,ty) = getSynTyConDefn tycon - mentioned = namesOfType ty `minusNameSet` mkNameSet (map getName tyvars) - -get_wired_tycon tycon - | otherwise -- data or newtype - = addImplicitOccsRn (nameSetToList mentioned) `thenRn_` - returnRn (AvailTC tycon_name (tycon_name : map getName data_cons)) - where - tycon_name = getName tycon - data_cons = tyConDataCons tycon - mentioned = foldr (unionNameSets . namesOfType . idType) emptyNameSet data_cons -\end{code} @@ -564,157 +500,100 @@ get_wired_tycon tycon %* * %********************************************************* +@getInterfaceExports@ is called only for directly-imported modules + \begin{code} -getInterfaceExports :: Module -> IfaceFlavour -> RnMG (Avails, [(OccName,Fixity)]) -getInterfaceExports mod as_source - = loadInterface doc_str mod as_source `thenRn` \ (Ifaces _ mod_map _ _ _ _ _ _) -> - case lookupFM mod_map mod of +getInterfaceExports :: ModuleName -> WhereFrom -> RnMG (Module, Avails) +getInterfaceExports mod_name from + = loadInterface doc_str mod_name from `thenRn` \ (mod, ifaces) -> + case lookupFM (iImpModInfo ifaces) mod_name of Nothing -> -- Not there; it must be that the interface file wasn't found; -- the error will have been reported already. -- (Actually loadInterface should put the empty export env in there -- anyway, but this does no harm.) - returnRn ([],[]) + returnRn (mod, []) - Just (_, _, avails, fixities) -> returnRn (avails, fixities) + Just (_, _, Just (mod, _, avails)) -> returnRn (mod, avails) where - doc_str = sep [pprModule PprDebug mod, ptext SLIT("is directly imported")] + doc_str = sep [pprModuleName mod_name, ptext SLIT("is directly imported")] \end{code} %********************************************************* %* * -\subsection{Data type declarations are handled specially} +\subsection{Instance declarations are handled specially} %* * %********************************************************* -Data type declarations get special treatment. If we import a data type decl -with all its constructors, we end up importing all the types mentioned in -the constructors' signatures, and hence {\em their} data type decls, and so on. -In effect, we get the transitive closure of data type decls. Worse, this drags -in tons on instance decls, and their unfoldings, and so on. - -If only the type constructor is mentioned, then all this is a waste of time. -If any of the data constructors are mentioned then we really have to -drag in the whole declaration. - -So when we import the type constructor for a @data@ or @newtype@ decl, we -put it in the "deferred data/newtype decl" pile in Ifaces. Right at the end -we slurp these decls, if they havn't already been dragged in by an occurrence -of a constructor. - \begin{code} -getNonWiredDataDecl needed_name - version - avail@(AvailTC tycon_name _) - ty_decl@(TyData new_or_data context tycon tyvars condecls derivings pragmas src_loc) - | needed_name == tycon_name - && opt_PruneTyDecls - && not (nameUnique needed_name `elem` cCallishTyKeys) -- Hack! Don't prune these tycons whose constructors - -- the desugarer must be able to see when desugaring - -- a CCall. Ugh! - = -- Need the type constructor; so put it in the deferred set for now - getIfacesRn `thenRn` \ ifaces -> +getImportedInstDecls :: NameSet -> RnMG [(Module,RdrNameHsDecl)] +getImportedInstDecls gates + = -- First load any orphan-instance modules that aren't aready loaded + -- Orphan-instance modules are recorded in the module dependecnies + getIfacesRn `thenRn` \ ifaces -> let - Ifaces this_mod mod_map decls_fm slurped_names imp_names unslurped_insts deferred_data_decls inst_mods = ifaces - new_ifaces = Ifaces this_mod mod_map decls_fm slurped_names imp_names unslurped_insts new_deferred_data_decls inst_mods - - no_constr_ty_decl = TyData new_or_data [] tycon tyvars [] derivings pragmas src_loc - new_deferred_data_decls = addToFM deferred_data_decls tycon_name no_constr_ty_decl - -- Nota bene: we nuke both the constructors and the context in the deferred decl. - -- If we don't nuke the context then renaming the deferred data decls can give - -- new unresolved names (for the classes). This could be handled, but there's - -- no point. If the data type is completely abstract then we aren't interested - -- its context. + orphan_mods = [mod | (mod, (_, True, Nothing)) <- fmToList (iImpModInfo ifaces)] in - setIfacesRn new_ifaces `thenRn_` - returnRn (AvailTC tycon_name [tycon_name], Nothing) - - | otherwise - = -- Need a data constructor, so delete the data decl from the deferred set if it's there - getIfacesRn `thenRn` \ ifaces -> - let - Ifaces this_mod mod_map decls_fm slurped_names imp_names unslurped_insts deferred_data_decls inst_mods = ifaces - new_ifaces = Ifaces this_mod mod_map decls_fm slurped_names imp_names unslurped_insts new_deferred_data_decls inst_mods - - new_deferred_data_decls = delFromFM deferred_data_decls tycon_name - in - setIfacesRn new_ifaces `thenRn_` - returnRn (avail, Just (TyD ty_decl)) -\end{code} - -\begin{code} -getDeferredDataDecls :: RnMG [(Name, RdrNameTyDecl)] -getDeferredDataDecls - = getIfacesRn `thenRn` \ (Ifaces _ _ _ _ _ _ deferred_data_decls _) -> - let - deferred_list = fmToList deferred_data_decls - trace_msg = hang (text "Slurping abstract data/newtype decls for: ") - 4 (ppr PprDebug (map fst deferred_list)) - in - traceRn trace_msg `thenRn_` - returnRn deferred_list -\end{code} - - -%********************************************************* -%* * -\subsection{Instance declarations are handled specially} -%* * -%********************************************************* - -\begin{code} -getImportedInstDecls :: RnMG [(Module,RdrNameInstDecl)] -getImportedInstDecls - = -- First load any special-instance modules that aren't aready loaded - getSpecialInstModules `thenRn` \ inst_mods -> - mapRn load_it inst_mods `thenRn_` + traceRn (text "Loading orphan modules" <+> fsep (map pprModuleName orphan_mods)) `thenRn_` + mapRn_ load_it orphan_mods `thenRn_` -- Now we're ready to grab the instance declarations -- Find the un-gated ones and return them, -- removing them from the bag kept in Ifaces - getIfacesRn `thenRn` \ ifaces -> + getIfacesRn `thenRn` \ ifaces -> let - Ifaces this_mod mod_map decls slurped_names imp_names (insts, tycls_names) deferred_data_decls inst_mods = ifaces - - -- An instance decl is ungated if all its gates have been slurped - select_ungated :: IfaceInst -- A gated inst decl - - -> ([(Module, RdrNameInstDecl)], [IfaceInst]) -- Accumulator - - -> ([(Module, RdrNameInstDecl)], -- The ungated ones - [IfaceInst]) -- Still gated, but with - -- depeleted gates - select_ungated (decl,gates) (ungated_decls, gated_decls) - | null remaining_gates - = (decl : ungated_decls, gated_decls) - | otherwise - = (ungated_decls, (decl, remaining_gates) : gated_decls) - where - remaining_gates = filter (not . (`elemNameSet` tycls_names)) gates - - (un_gated_insts, still_gated_insts) = foldrBag select_ungated ([], []) insts - - new_ifaces = Ifaces this_mod mod_map decls slurped_names imp_names - ((listToBag still_gated_insts), tycls_names) - -- NB: don't throw away tycls_names; we may comre across more instance decls - deferred_data_decls - inst_mods + (decls, new_insts) = selectGated gates (iInsts ifaces) in - traceRn (sep [text "getInstDecls:", fsep (map (ppr PprDebug) (nameSetToList tycls_names))]) `thenRn_` - setIfacesRn new_ifaces `thenRn_` - returnRn un_gated_insts - where - load_it mod = loadInterface (doc_str mod) mod HiFile - doc_str mod = sep [pprModule PprDebug mod, ptext SLIT("is a special-instance module")] + setIfacesRn (ifaces { iInsts = new_insts }) `thenRn_` + traceRn (sep [text "getImportedInstDecls:", + nest 4 (fsep (map ppr (nameSetToList gates))), + text "Slurped" <+> int (length decls) <+> text "instance declarations"]) `thenRn_` + returnRn decls + where + load_it mod = loadInterface (doc_str mod) mod ImportBySystem + doc_str mod = sep [pprModuleName mod, ptext SLIT("is a orphan-instance module")] -getSpecialInstModules :: RnMG [Module] -getSpecialInstModules - = getIfacesRn `thenRn` \ ifaces -> +getImportedRules :: RnMG [(Module,RdrNameHsDecl)] +getImportedRules + = getIfacesRn `thenRn` \ ifaces -> let - Ifaces _ _ _ _ _ _ _ inst_mods = ifaces + gates = iSlurp ifaces -- Anything at all that's been slurped + (decls, new_rules) = selectGated gates (iRules ifaces) in - returnRn inst_mods + setIfacesRn (ifaces { iRules = new_rules }) `thenRn_` + traceRn (sep [text "getImportedRules:", + text "Slurped" <+> int (length decls) <+> text "rules"]) `thenRn_` + returnRn decls + +selectGated gates decl_bag +#ifdef DEBUG + | opt_NoPruneDecls -- Just to try the effect of not gating at all + = (foldrBag (\ (_,d) ds -> d:ds) [] decl_bag, emptyBag) -- Grab them all + + | otherwise +#endif + = foldrBag select ([], emptyBag) decl_bag + where + select (reqd, decl) (yes, no) + | isEmptyNameSet (reqd `minusNameSet` gates) = (decl:yes, no) + | otherwise = (yes, (reqd,decl) `consBag` no) + +lookupFixity :: Name -> RnMS Fixity +lookupFixity name + | isLocallyDefined name + = getFixityEnv `thenRn` \ local_fix_env -> + case lookupNameEnv local_fix_env name of + Just (FixitySig _ fix _) -> returnRn fix + Nothing -> returnRn defaultFixity + + | otherwise -- Imported + = loadHomeInterface doc name `thenRn` \ (_, ifaces) -> + case lookupNameEnv (iFixes ifaces) name of + Just (FixitySig _ fix _) -> returnRn fix + Nothing -> returnRn defaultFixity + where + doc = ptext SLIT("Checking fixity for") <+> ppr name \end{code} @@ -762,88 +641,74 @@ On the other hand, if A exports "module B" then we *do* count module B among A's usages, because we must recompile A to ensure that A.hi changes appropriately. \begin{code} -getImportVersions :: Module -- Name of this module +getImportVersions :: ModuleName -- Name of this module -> Maybe [IE any] -- Export list for this module -> RnMG (VersionInfo Name) -- Version info for these names getImportVersions this_mod exports = getIfacesRn `thenRn` \ ifaces -> let - Ifaces _ mod_map _ _ imp_names _ _ _ = ifaces - - -- mv_map groups together all the things imported from a particular module. - mv_map, mv_map_mod :: FiniteMap Module [LocalVersion Name] - - mv_map_mod = foldl add_mod emptyFM export_mods - -- mv_map_mod records all the modules that have a "module M" - -- in this module's export list - - mv_map = foldl add_mv mv_map_mod imp_names - -- mv_map adds the version numbers of things exported individually - - mk_version_info (mod, local_versions) - = case lookupFM mod_map mod of - Just (hif, version, _, _) -> (mod, hif, version, local_versions) + mod_map = iImpModInfo ifaces + imp_names = iVSlurp ifaces + + -- mv_map groups together all the things imported from a particular module. + mv_map1, mv_map2 :: FiniteMap ModuleName (WhatsImported Name) + + -- mv_map1 records all the modules that have a "module M" + -- in this module's export list with an "Everything" + mv_map1 = foldr add_mod emptyFM export_mods + + -- mv_map2 adds the version numbers of things exported individually + mv_map2 = foldr add_mv mv_map1 imp_names + + -- Build the result list by adding info for each module, + -- *omitting* (a) library modules + -- (b) source-imported modules + mk_version_info mod_name (version, has_orphans, cts) so_far + | omit cts = so_far -- Don't record usage info for this module + | otherwise = (mod_name, version, has_orphans, whats_imported) : so_far + where + whats_imported = case lookupFM mv_map2 mod_name of + Just wi -> wi + Nothing -> Specifically [] + + omit (Just (mod, boot_import, _)) = isLibModule mod || boot_import + omit Nothing = False in - returnRn (map mk_version_info (fmToList mv_map)) + returnRn (foldFM mk_version_info [] mod_map) where export_mods = case exports of Nothing -> [] Just es -> [mod | IEModuleContents mod <- es, mod /= this_mod] - add_mv mv_map v@(name, version) - = addToFM_C (\ ls _ -> (v:ls)) mv_map mod [v] + add_mv v@(name, version) mv_map + = addToFM_C add_item mv_map mod (Specifically [v]) where - mod = nameModule name + mod = moduleName (nameModule name) - add_mod mv_map mod = addToFM mv_map mod [] + add_item Everything _ = Everything + add_item (Specifically xs) _ = Specifically (v:xs) + + add_mod mod mv_map = addToFM mv_map mod Everything \end{code} \begin{code} -checkSlurped name - = getIfacesRn `thenRn` \ (Ifaces _ _ _ slurped_names _ _ _ _) -> - returnRn (name `elemNameSet` slurped_names) - -getSlurpedNames :: RnMG NameSet -getSlurpedNames +getSlurped = getIfacesRn `thenRn` \ ifaces -> - let - Ifaces _ _ _ slurped_names _ _ _ _ = ifaces - in - returnRn slurped_names + returnRn (iSlurp ifaces) -recordSlurp maybe_version necessity avail - = {- traceRn (hsep [text "Record slurp:", pprAvail (PprForUser opt_PprUserLength) avail, - -- NB PprForDebug prints export flag, which is too - -- strict; it's a knot-tied thing in RnNames - case necessity of {Compulsory -> text "comp"; Optional -> text "opt" } ]) `thenRn_` - -} - getIfacesRn `thenRn` \ ifaces -> +recordSlurp maybe_version avail + = getIfacesRn `thenRn` \ ifaces@(Ifaces { iSlurp = slurped_names, + iVSlurp = imp_names }) -> let - Ifaces this_mod mod_map decls slurped_names imp_names (insts, tycls_names) deferred_data_decls inst_mods = ifaces new_slurped_names = addAvailToNameSet slurped_names avail new_imp_names = case maybe_version of Just version -> (availName avail, version) : imp_names Nothing -> imp_names - - -- Add to the names that will let in instance declarations; - -- but only (a) if it's a type/class - -- (b) if it's compulsory (unless the test flag opt_PruneInstDecls is off) - new_tycls_names = case avail of - AvailTC tc _ | not opt_PruneInstDecls || - case necessity of {Optional -> False; Compulsory -> True } - -> tycls_names `addOneToNameSet` tc - otherwise -> tycls_names - - new_ifaces = Ifaces this_mod mod_map decls - new_slurped_names - new_imp_names - (insts, new_tycls_names) - deferred_data_decls - inst_mods in - setIfacesRn new_ifaces + setIfacesRn (ifaces { iSlurp = new_slurped_names, + iVSlurp = new_imp_names }) \end{code} @@ -861,51 +726,85 @@ It doesn't deal with source-code specific things: ValD, DefD. They are handled by the sourc-code specific stuff in RnNames. \begin{code} -getDeclBinders :: (RdrName -> SrcLoc -> RnMG Name) -- New-name function +getDeclBinders :: (RdrName -> SrcLoc -> RnM d Name) -- New-name function -> RdrNameHsDecl - -> RnMG AvailInfo + -> RnM d (Maybe AvailInfo) -getDeclBinders new_name (TyD (TyData _ _ tycon _ condecls _ _ src_loc)) +getDeclBinders new_name (TyClD (TyData _ _ tycon _ condecls _ _ src_loc)) = new_name tycon src_loc `thenRn` \ tycon_name -> getConFieldNames new_name condecls `thenRn` \ sub_names -> - returnRn (AvailTC tycon_name (tycon_name : nub sub_names)) + returnRn (Just (AvailTC tycon_name (tycon_name : nub sub_names))) -- The "nub" is because getConFieldNames can legitimately return duplicates, -- when a record declaration has the same field in multiple constructors -getDeclBinders new_name (TyD (TySynonym tycon _ _ src_loc)) +getDeclBinders new_name (TyClD (TySynonym tycon _ _ src_loc)) = new_name tycon src_loc `thenRn` \ tycon_name -> - returnRn (AvailTC tycon_name [tycon_name]) + returnRn (Just (AvailTC tycon_name [tycon_name])) -getDeclBinders new_name (ClD (ClassDecl _ cname _ sigs _ _ src_loc)) +getDeclBinders new_name (TyClD (ClassDecl _ cname _ sigs _ _ _ _ _ src_loc)) = new_name cname src_loc `thenRn` \ class_name -> - mapRn (getClassOpNames new_name) sigs `thenRn` \ sub_names -> - returnRn (AvailTC class_name (class_name : sub_names)) + + -- Record the names for the class ops + let + -- just want class-op sigs + op_sigs = filter isClassOpSig sigs + in + mapRn (getClassOpNames new_name) op_sigs `thenRn` \ sub_names -> + + returnRn (Just (AvailTC class_name (class_name : sub_names))) getDeclBinders new_name (SigD (IfaceSig var ty prags src_loc)) = new_name var src_loc `thenRn` \ var_name -> - returnRn (Avail var_name) + returnRn (Just (Avail var_name)) -getDeclBinders new_name (DefD _) = returnRn NotAvailable -getDeclBinders new_name (InstD _) = returnRn NotAvailable +getDeclBinders new_name (FixD _) = returnRn Nothing +getDeclBinders new_name (ForD _) = returnRn Nothing +getDeclBinders new_name (DefD _) = returnRn Nothing +getDeclBinders new_name (InstD _) = returnRn Nothing +getDeclBinders new_name (RuleD _) = returnRn Nothing ---------------- -getConFieldNames new_name (ConDecl con _ (RecCon fielddecls) src_loc : rest) +getConFieldNames new_name (ConDecl con _ _ (RecCon fielddecls) src_loc : rest) = mapRn (\n -> new_name n src_loc) (con:fields) `thenRn` \ cfs -> getConFieldNames new_name rest `thenRn` \ ns -> returnRn (cfs ++ ns) where fields = concat (map fst fielddecls) -getConFieldNames new_name (ConDecl con _ _ src_loc : rest) +getConFieldNames new_name (ConDecl con _ _ condecl src_loc : rest) = new_name con src_loc `thenRn` \ n -> + (case condecl of + NewCon _ (Just f) -> + new_name f src_loc `thenRn` \ new_f -> + returnRn [n,new_f] + _ -> returnRn [n]) `thenRn` \ nn -> getConFieldNames new_name rest `thenRn` \ ns -> - returnRn (n:ns) + returnRn (nn ++ ns) getConFieldNames new_name [] = returnRn [] getClassOpNames new_name (ClassOpSig op _ _ src_loc) = new_name op src_loc \end{code} +@getDeclSysBinders@ gets the implicit binders introduced by a decl. +A the moment that's just the tycon and datacon that come with a class decl. +They aren'te returned by getDeclBinders because they aren't in scope; +but they *should* be put into the DeclsMap of this module. + +Note that this excludes the default-method names of a class decl, +and the dict fun of an instance decl, because both of these have +bindings of their own elsewhere. + +\begin{code} +getDeclSysBinders new_name (TyClD (ClassDecl _ cname _ sigs _ _ tname dname snames src_loc)) + = new_name dname src_loc `thenRn` \ datacon_name -> + new_name tname src_loc `thenRn` \ tycon_name -> + sequenceRn [new_name n src_loc | n <- snames] `thenRn` \ scsel_names -> + returnRn (tycon_name : datacon_name : scsel_names) + +getDeclSysBinders new_name other_decl + = returnRn [] +\end{code} %********************************************************* %* * @@ -914,100 +813,83 @@ getClassOpNames new_name (ClassOpSig op _ _ src_loc) = new_name op src_loc %********************************************************* \begin{code} -findAndReadIface :: Doc -> Module - -> IfaceFlavour - -> RnMG (Maybe ParsedIface) +findAndReadIface :: SDoc -> ModuleName -> WhereFrom + -> Bool -- Only relevant for SystemImport + -- True <=> Look for a .hi file + -- False <=> Look for .hi-boot file unless there's + -- a library .hi file + -> RnM d (Bool, Maybe (Module, ParsedIface)) + -- Bool is True if the interface actually read was a .hi-boot one -- Nothing <=> file not found, or unreadable, or illegible -- Just x <=> successfully found and parsed -findAndReadIface doc_str mod_name as_source + +findAndReadIface doc_str mod_name from hi_file = traceRn trace_msg `thenRn_` - getSearchPathRn `thenRn` \ dirs -> - try dirs dirs + -- we keep two maps for interface files, + -- one for 'normal' ones, the other for .hi-boot files, + -- hence the need to signal which kind we're interested. + + getHiMaps `thenRn` \ hi_maps -> + + case find_path from hi_maps of + -- Found the file + (hi_boot, Just (fpath, mod)) -> traceRn (ptext SLIT("...reading from") <+> text fpath) `thenRn_` + readIface mod fpath `thenRn` \ result -> + returnRn (hi_boot, result) + (hi_boot, Nothing) -> traceRn (ptext SLIT("...not found")) `thenRn_` + returnRn (hi_boot, Nothing) where + find_path ImportByUser (hi_map, _) = (False, lookupFM hi_map mod_name) + find_path ImportByUserSource (_, hiboot_map) = (True, lookupFM hiboot_map mod_name) + + find_path ImportBySystem (hi_map, hiboot_map) + | hi_file + = -- If the module we seek is in our dependent set, + -- Look for a .hi file + (False, lookupFM hi_map mod_name) + + | otherwise + -- Check if there's a library module of that name + -- If not, look for an hi-boot file + = case lookupFM hi_map mod_name of + stuff@(Just (_, mod)) | isLibModule mod -> (False, stuff) + other -> (True, lookupFM hiboot_map mod_name) + trace_msg = sep [hsep [ptext SLIT("Reading"), - case as_source of { HiBootFile -> ptext SLIT("[boot]"); other -> empty}, + ppr from, ptext SLIT("interface for"), - ptext mod_name <> semi], + pprModuleName mod_name <> semi], nest 4 (ptext SLIT("reason:") <+> doc_str)] - - -- For import {-# SOURCE #-} Foo, "as_source" will be True - -- and we read Foo.hi-boot, not Foo.hi. This is used to break - -- loops among modules. - mod_suffix hi = case as_source of - HiBootFile -> ".hi-boot" -- Ignore `ways' for boot files. - HiFile -> hi - - try all_dirs [] = traceRn (ptext SLIT("...failed")) `thenRn_` - returnRn Nothing - - try all_dirs ((dir,hisuf):dirs) - = readIface file_path `thenRn` \ read_result -> - case read_result of - Nothing -> try all_dirs dirs - Just iface -> traceRn (ptext SLIT("...done")) `thenRn_` - returnRn (Just iface) - where - file_path = dir ++ '/' : moduleString mod_name ++ (mod_suffix hisuf) \end{code} -@readIface@ trys just one file. +@readIface@ tries just the one file. \begin{code} -readIface :: String -> RnMG (Maybe ParsedIface) +readIface :: Module -> String -> RnM d (Maybe (Module, ParsedIface)) -- Nothing <=> file not found, or unreadable, or illegible -- Just x <=> successfully found and parsed -readIface file_path - = ioToRnMG (hGetStringBuffer file_path) `thenRn` \ read_result -> - --traceRn (hcat[ptext SLIT("Opening...."), text file_path]) `thenRn_` +readIface the_mod file_path + = ioToRnM (hGetStringBuffer False file_path) `thenRn` \ read_result -> case read_result of Right contents -> - case parseIface contents 1 of - Failed err -> - --traceRn (ptext SLIT("parse err")) `thenRn_` - failWithRn Nothing err - Succeeded iface -> - --traceRn (ptext SLIT("parse cool")) `thenRn_` - returnRn (Just iface) - -#if __GLASGOW_HASKELL__ >= 202 - Left err -> - if isDoesNotExistError err then - --traceRn (ptext SLIT("no file")) `thenRn_` - returnRn Nothing - else - --traceRn (ptext SLIT("uh-oh..")) `thenRn_` - failWithRn Nothing (cannaeReadFile file_path err) -#else /* 2.01 and 0.2x */ - Left (NoSuchThing _) -> returnRn Nothing - - Left err -> failWithRn Nothing - (cannaeReadFile file_path err) -#endif - -\end{code} - -mkSearchPath takes a string consisting of a colon-separated list -of directories and corresponding suffixes, and turns it into a list -of (directory, suffix) pairs. For example: - -\begin{verbatim} - mkSearchPath "foo%.hi:.%.p_hi:baz%.mc_hi" - = [("foo",".hi"),( ".", ".p_hi"), ("baz",".mc_hi")] -\begin{verbatim} - -\begin{code} -mkSearchPath :: Maybe String -> SearchPath -mkSearchPath Nothing = [(".",".hi")] -mkSearchPath (Just s) - = go s - where - go "" = [] - go s = - case span (/= '%') s of - (dir,'%':rs) -> - case span (/= ':') rs of - (hisuf,_:rest) -> (dir,hisuf):go rest - (hisuf,[]) -> [(dir,hisuf)] + case parseIface contents + PState{ bol = 0#, atbol = 1#, + context = [], + glasgow_exts = 1#, + loc = mkSrcLoc (mkFastString file_path) 1 } of + PFailed err -> failWithRn Nothing err + POk _ (PIface mod_nm iface) -> + warnCheckRn (mod_nm == moduleName the_mod) + (hsep [ ptext SLIT("Something is amiss; requested module name") + , pprModule the_mod + , ptext SLIT("differs from name found in the interface file ") + , pprModuleName mod_nm + ]) `thenRn_` + returnRn (Just (the_mod, iface)) + + Left err + | isDoesNotExistError err -> returnRn Nothing + | otherwise -> failWithRn Nothing (cannaeReadFile file_path err) \end{code} %********************************************************* @@ -1017,22 +899,33 @@ mkSearchPath (Just s) %********************************************************* \begin{code} -noIfaceErr filename sty - = hcat [ptext SLIT("Could not find valid interface file "), - quotes (pprModule sty filename)] +noIfaceErr filename boot_file + = hsep [ptext SLIT("Could not find valid"), boot, + ptext SLIT("interface file"), quotes (pprModule filename)] + where + boot | boot_file = ptext SLIT("[boot]") + | otherwise = empty -cannaeReadFile file err sty +cannaeReadFile file err = hcat [ptext SLIT("Failed in reading file: "), - text file, + text file, ptext SLIT("; error="), - text (show err)] + text (show err)] + +getDeclErr name + = ptext SLIT("Failed to find interface decl for") <+> quotes (ppr name) -getDeclErr name sty - = sep [ptext SLIT("Failed to find interface decl for"), - ppr sty name] +getDeclWarn name loc + = sep [ptext SLIT("Failed to find (optional) interface decl for") <+> quotes (ppr name), + ptext SLIT("desired at") <+> ppr loc] -getDeclWarn name sty - = sep [ptext SLIT("Warning: failed to find (optional) interface decl for"), - ppr sty name] +importDeclWarn mod name + = sep [ptext SLIT("Compiler tried to import decl from interface file with same name as module."), + ptext SLIT("(possible cause: module name clashes with interface file already in scope.)") + ] $$ + hsep [ptext SLIT("Interface:"), quotes (pprModuleName mod), + comma, ptext SLIT("name:"), quotes (ppr name)] +warnRedundantSourceImport mod_name + = ptext SLIT("Unnecessary {- SOURCE -} in the import of module") <+> quotes (pprModuleName mod_name) \end{code}