simplify DVI code
authormegacz <adam@megacz.com>
Sun, 8 Mar 2009 19:37:19 +0000 (12:37 -0700)
committermegacz <adam@megacz.com>
Sun, 8 Mar 2009 19:37:19 +0000 (12:37 -0700)
commitdeaa31fe2e662055eb56eead57b47c058a79d21e
tree97db9901e110a63d36bcc65b882c4bab19f6b340
parentf075f37fd587171058350317c5d4096d7c01c7c2
simplify DVI code
ships/Dvi.ship
src/edu/berkeley/fleet/fpga/dvi/dvi_video_test.vhd [deleted file]
src/edu/berkeley/fleet/fpga/dvi/vga_timing_decode.vhd [deleted file]