From: Simon Marlow Date: Thu, 19 Feb 2009 10:32:58 +0000 (+0000) Subject: #2860: remove redundant unblocking of signals X-Git-Url: http://git.megacz.com/?a=commitdiff_plain;h=1e0f31543a0ee99c712c517de2bb1053e046b8db;p=ghc-hetmet.git #2860: remove redundant unblocking of signals --- diff --git a/rts/posix/Signals.c b/rts/posix/Signals.c index c016b9b..8268e6f 100644 --- a/rts/posix/Signals.c +++ b/rts/posix/Signals.c @@ -155,8 +155,6 @@ generic_handler(int sig USED_IF_THREADS, siginfo_t *info, void *p STG_UNUSED) { - sigset_t signals; - #if defined(THREADED_RTS) if (io_manager_pipe != -1) @@ -219,11 +217,6 @@ generic_handler(int sig USED_IF_THREADS, MainCapability.context_switch = 1; #endif /* THREADED_RTS */ - - // re-establish the signal handler, and carry on - sigemptyset(&signals); - sigaddset(&signals, sig); - sigprocmask(SIG_UNBLOCK, &signals, NULL); } /* -----------------------------------------------------------------------------