From c67dfd41aed9a3fc2a58c6e6ce6e3c7665c168bb Mon Sep 17 00:00:00 2001 From: adam Date: Sat, 12 Apr 2008 13:58:17 +0100 Subject: [PATCH] better reset code in Memory.ship --- ships/Memory.ship | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/ships/Memory.ship b/ships/Memory.ship index bf66bb0..0f2f7c5 100644 --- a/ships/Memory.ship +++ b/ships/Memory.ship @@ -262,15 +262,14 @@ module memory (clk, rst, if (!rst) begin -/* in_addr_a = 1; write_addr_a = 1; write_data_a = 1; - stride_a <= 1; - count_a <= 1; + stride_a = 1; + count_a = 1; preload_a <= 1; cbd_a <= 1; -*/ + out_r <= 0; ihorn_r <= 0; dhorn_r <= 0; -- 1.7.10.4