From c71969ee49d5b615ea96080e869e65773601b6f4 Mon Sep 17 00:00:00 2001 From: simonmar Date: Tue, 13 Jun 2000 15:35:29 +0000 Subject: [PATCH] [project @ 2000-06-13 15:35:29 by simonmar] Oops, back out most of last revision. Other changes crept in by mistake. --- ghc/driver/mangler/ghc-asm.lprl | 72 +++++++++++---------------------------- 1 file changed, 20 insertions(+), 52 deletions(-) diff --git a/ghc/driver/mangler/ghc-asm.lprl b/ghc/driver/mangler/ghc-asm.lprl index d15003b..70f500d 100644 --- a/ghc/driver/mangler/ghc-asm.lprl +++ b/ghc/driver/mangler/ghc-asm.lprl @@ -69,7 +69,7 @@ sub init_TARGET_STUFF { $T_HDR_data = "\.data\n\t\.align 3\n"; $T_HDR_consist = "\.text\n"; $T_HDR_closure = "\.data\n\t\.align 3\n"; - $T_HDR_srt = "\.text\n\t\.align 3\n"; + $T_HDR_srt = "\.data\n\t\.align 3\n"; $T_HDR_info = "\.text\n\t\.align 3\n"; $T_HDR_entry = "\.text\n\t\.align 3\n"; $T_HDR_fast = "\.text\n\t\.align 3\n"; @@ -104,7 +104,7 @@ sub init_TARGET_STUFF { $T_HDR_direct = "\t.SPACE \$TEXT\$\n\t.SUBSPA \$CODE\$\n\t\.align 4\n"; #--------------------------------------------------------# - } elsif ( $TargetPlatform =~ /^i386-.*-(linuxaout|freebsd2|netbsd|nextstep3|cygwin32|mingw32)$/ ) { + } elsif ( $TargetPlatform =~ /^i386-.*-(linuxaout|freebsd2|nextstep3|cygwin32|mingw32)$/ ) { # NeXT added but not tested. CaS $T_STABBY = 1; # 1 iff .stab things (usually if a.out format) @@ -127,7 +127,7 @@ sub init_TARGET_STUFF { $T_HDR_consist = "\.text\n"; $T_HDR_closure = "\.data\n\t\.align 2\n"; $T_HDR_closure = "\.data\n\t\.align 2\n\t.long 0\n" if ( $TargetPlatform =~ /.*-mingw32$/ ); - $T_HDR_srt = "\.text\n\t\.align 2\n"; + $T_HDR_srt = "\.data\n\t\.align 2\n"; $T_HDR_info = "\.text\n\t\.align 2\n"; # NB: requires padding $T_HDR_entry = "\.text\n"; # no .align so we're right next to _info (arguably wrong...?) $T_HDR_fast = "\.text\n\t\.align 2,0x90\n"; @@ -135,12 +135,12 @@ sub init_TARGET_STUFF { $T_HDR_direct = "\.text\n\t\.align 2,0x90\n"; #--------------------------------------------------------# - } elsif ( $TargetPlatform =~ /^i386-.*-(solaris2|linux|freebsd|netbsd_elf)$/ ) { + } elsif ( $TargetPlatform =~ /^i386-.*-(solaris2|linux|freebsd3)$/ ) { $T_STABBY = 0; # 1 iff .stab things (usually if a.out format) $T_US = ''; # _ if symbols have an underscore on the front $T_PRE_APP = # regexp that says what comes before APP/NO_APP - ($TargetPlatform =~ /-(linux|freebsd|netbsd_elf)$/) ? '#' : '/' ; + ($TargetPlatform =~ /-(linux|freebsd3)$/) ? '#' : '/' ; $T_CONST_LBL = '^\.LC(\d+):$'; # regexp for what such a lbl looks like $T_POST_LBL = ':'; $T_X86_PRE_LLBL_PAT = '\.L'; @@ -150,7 +150,7 @@ sub init_TARGET_STUFF { $T_MOVE_DIRVS = '^(\s*(\.(p2)?align\s+\d+(,0x90)?|\.globl\s+\S+|\.text|\.data|\.section\s+.*|\.type\s+.*|\.Lfe.*\n\t\.size\s+.*|\.size\s+.*|\.ident.*)\n)'; $T_COPY_DIRVS = '\.(globl)'; - if ( $TargetPlatform =~ /freebsd|netbsd_elf/ ) { + if ( $TargetPlatform =~ /freebsd3/ ) { $T_hsc_cc_PAT = '\.ascii.*\)(hsc|cc) (.*)\\\\11"\n\t\.ascii\s+"(.*)\\\\0"'; } else { $T_hsc_cc_PAT = '\.string.*\)(hsc|cc) (.*)\\\\t(.*)"'; @@ -163,7 +163,7 @@ sub init_TARGET_STUFF { $T_HDR_data = "\.data\n\t\.align 4\n"; # ToDo: change align?? $T_HDR_consist = "\.text\n"; $T_HDR_closure = "\.data\n\t\.align 4\n"; # ToDo: change align? - $T_HDR_srt = "\.text\n\t\.align 4\n"; # ToDo: change align? + $T_HDR_srt = "\.data\n\t\.align 4\n"; # ToDo: change align? $T_HDR_info = "\.text\n\t\.align 4\n"; # NB: requires padding $T_HDR_entry = "\.text\n"; # no .align so we're right next to _info (arguably wrong...?) $T_HDR_fast = "\.text\n\t\.align 4\n"; @@ -190,7 +190,7 @@ sub init_TARGET_STUFF { $T_HDR_data = "\.data\n\t\.even\n"; $T_HDR_consist = "\.text\n"; $T_HDR_closure = "\.data\n\t\.even\n"; - $T_HDR_srt = "\.text\n\t\.even\n"; + $T_HDR_srt = "\.data\n\t\.even\n"; $T_HDR_info = "\.text\n\t\.even\n"; $T_HDR_entry = "\.text\n\t\.even\n"; $T_HDR_fast = "\.text\n\t\.even\n"; @@ -217,7 +217,7 @@ sub init_TARGET_STUFF { $T_HDR_data = "\t\.data\n\t\.align 2\n"; $T_HDR_consist = 'TOO LAZY TO DO THIS TOO'; $T_HDR_closure = "\t\.data\n\t\.align 2\n"; - $T_HDR_srt = "\t\.text\n\t\.align 2\n"; + $T_HDR_srt = "\t\.data\n\t\.align 2\n"; $T_HDR_info = "\t\.text\n\t\.align 2\n"; $T_HDR_entry = "\t\.text\n\t\.align 2\n"; $T_HDR_fast = "\t\.text\n\t\.align 2\n"; @@ -435,7 +435,7 @@ sub mangle_asm { $chkcat[$i] = 'literal'; $chksymb[$i] = $1; - } elsif ( /^$TUS[@]?__stg_split_marker(\d*)$TPOSTLBL[@]?$/o ) { + } elsif ( /^$TUS[@]?__stg_split_marker(\d+)$TPOSTLBL[@]?$/o ) { $chk[++$i] = $_; $chkcat[$i] = 'splitmarker'; $chksymb[$i] = $1; @@ -478,11 +478,6 @@ sub mangle_asm { $srtchk{$1} = $i; - } elsif ( /^$TUS[@]?([A-Za-z0-9_]+)_ct$TPOSTLBL[@]?$/o ) { - $chk[++$i] = $_; - $chkcat[$i] = 'data'; - $chksymb[$i] = ''; - } elsif ( /^$TUS[@]?ghc.*c_ID$TPOSTLBL/o ) { $chk[++$i] = $_; $chkcat[$i] = 'consist'; @@ -492,6 +487,7 @@ sub mangle_asm { } elsif ( /^$TUS[A-Za-z0-9_]+\.\d+$TPOSTLBL[@]?$/o || /^$TUS[@]?.*_CAT$TPOSTLBL[@]?$/o # PROF: _entryname_CAT + || /^$TUS[@]?CC_.*_struct$TPOSTLBL[@]?$/o # PROF: _CC_ccident_struct || /^$TUS[@]?.*_done$TPOSTLBL[@]?$/o # PROF: _module_done || /^$TUS[@]?_module_registered$TPOSTLBL[@]?$/o # PROF: _module_registered ) { @@ -509,7 +505,7 @@ sub mangle_asm { $chkcat[$i] = 'toc'; $chksymb[$i] = $1; - } elsif ( /^$TUS[@]?([A-Za-z0-9_]+)_cc(s)?$TPOSTLBL[@]?$/o ) { + } elsif ( /^$TUS[@]?CC(S)?_.*$/ ) { # all CC_ symbols go in the data section... $chk[++$i] = $_; $chkcat[$i] = 'data'; @@ -564,7 +560,7 @@ sub mangle_asm { unless $KNOWN_FUNNY_THING{$thing} || /^$TUS[@]?stg_.*$TPOSTLBL[@]?$/o # RTS internals || /^$TUS[@]__fexp_.*$TPOSTLBL$/o # foreign export - || /^$TUS[@]?__init.*$TPOSTLBL$/o # __init + || /^$TUS[@]?_reg.*$TPOSTLBL$/o # PROF: __reg || /^$TUS[@]?.*_btm$TPOSTLBL$/o # large bitmaps || /^$TUS[@]?.*_closure_tbl$TPOSTLBL$/o; # closure tables $chk[++$i] = $_; @@ -687,9 +683,6 @@ sub mangle_asm { print STDERR "$Pgm: unknown prologue mangling? $TargetPlatform\n"; } - # HWL HACK: dont die, just print a warning - #print stderr "HWL: this should die! Prologue junk?: $p\n" if $p =~ /^\t[^\.]/ - # && $TargetPlatform !~ /^powerpc-/; #ToDo: remove test die "Prologue junk?: $p\n" if $p =~ /^\t[^\.]/ && $TargetPlatform !~ /^powerpc-/; #ToDo: remove test @@ -713,7 +706,6 @@ sub mangle_asm { $e =~ s/^\tpopl \%esi\n//; $e =~ s/^\tpopl \%ecx\n//; $e =~ s/^\taddl \$\d+,\%esp\n//; - $e =~ s/^\tsubl \$-\d+,\%esp\n//; } elsif ($TargetPlatform =~ /^m68k-/) { $e =~ s/^\tunlk a6\n//; $e =~ s/^\trts\n//; @@ -731,10 +723,7 @@ sub mangle_asm { } else { print STDERR "$Pgm: unknown epilogue mangling? $TargetPlatform\n"; } - # HWL HACK: dont die, just print a warning - #print stderr "HWL: this should die! Epilogue junk?: $e\n" if $e =~ /^\t[^\.]/ - # && $TargetPlatform !~ /^powerpc-/; #ToDo: remove test - die "Epilogue junk?: $e\n" if $e =~ /^\t[^\.\n]/ + die "Epilogue junk?: $e\n" if $e =~ /^\t[^\.]/ && $TargetPlatform !~ /^powerpc-/; #ToDo: remove test # glue together what's left @@ -747,7 +736,7 @@ sub mangle_asm { # toss the register-windowing save/restore/ret* instructions # directly: if ( $TargetPlatform =~ /^sparc-/ ) { - $c =~ s/^\t(save.*|restore|ret|retl)\n//g; + $c =~ s/^\t(save .*|restore|ret|retl)\n//g; # throw away PROLOGUE comments $c =~ s/^\t!#PROLOGUE# 0\n\t!#PROLOGUE# 1\n//; } @@ -782,28 +771,7 @@ sub mangle_asm { # (this SEGVs perl4 on alphas, you see) $to_move = $1; - - # on x86 we try not to copy any directives into a literal - # chunk, rather we keep looking for the next real chunk. This - # is because we get things like - # - # .globl blah_closure - # .LC32 - # .string "..." - # blah_closure: - # ... - # - if ( $TargetPlatform =~ /^i386/ && $to_move =~ /$TCOPYDIRVS/ ) { - $j = $i + 1; - while ( $j < $numchks && $chk[$j] =~ /$T_CONST_LBL/) { - $j++; - } - if ( $j < $numchks ) { - $chk[$j] = $to_move . $chk[$j]; - } - } - - elsif ( $i < ($numchks - 1) + if ( $i < ($numchks - 1) && ( $to_move =~ /$TCOPYDIRVS/ || ($TargetPlatform =~ /^hppa/ && $to_move =~ /align/ && $chkcat[$i+1] eq 'literal') )) { $chk[$i + 1] = $to_move . $chk[$i + 1]; @@ -909,7 +877,7 @@ sub mangle_asm { }; &print_doctored($chk[$i], 0); if ($TargetPlatform =~ /^powerpc-|^rs6000-/ && $printDS) { -#ok if ($chksymb[$i] !~ /\__init_Main/) { +#ok if ($chksymb[$i] !~ /\_regMain/) { print OUTASM "\.csect ${chksymb[$i]}[DS]\n"; print OUTASM "${p}TOC[tc0], 0\n"; #ok } @@ -1049,8 +1017,8 @@ sub mangle_asm { } elsif ( $TargetPlatform =~ /^powerpc-|^rs6000-/ ) { $c =~ s/^\tb \.${T_US}${symb}_fast\d+\n//; } elsif ( $TargetPlatform =~ /^sparc-/ ) { - $c =~ s/^\tcall\s+${T_US}${symb}_fast\d+,.*\n\t\s*nop\n//; - $c =~ s/^\tcall\s+${T_US}${symb}_fast\d+,.*\n(\t\s*[a-z].*\n)/$1/; + $c =~ s/^\tcall ${T_US}${symb}_fast\d+,.*\n\tnop\n//; + $c =~ s/^\tcall ${T_US}${symb}_fast\d+,.*\n(\t[a-z].*\n)/$1/; } else { print STDERR "$Pgm: mystery slow-fast dropthrough: $TargetPlatform\n"; } @@ -1190,7 +1158,7 @@ sub print_doctored { if ( $TargetPlatform !~ /^i386-/ || ! /^\t[a-z]/ # no instructions in here, apparently - || /^${T_US}__init_[A-Za-z0-9_]+${T_POST_LBL}/) { + || /^${T_US}_reg[A-Za-z0-9_]+${T_POST_LBL}/) { print OUTASM $_; return; } -- 1.7.10.4