From fffba9e37c59f6b03bb79dcafb818b88abc0ed47 Mon Sep 17 00:00:00 2001 From: simonpj Date: Tue, 24 Oct 2000 09:44:18 +0000 Subject: [PATCH] [project @ 2000-10-24 09:44:18 by simonpj] More renamer; move stuff into (new) RnHiFiles.lhs --- ghc/compiler/main/HscTypes.lhs | 10 +- ghc/compiler/rename/Rename.lhs | 140 +----- ghc/compiler/rename/RnHiFiles.lhs | 618 ++++++++++++++++++++++++ ghc/compiler/rename/RnIfaces.lhs | 941 ++++++++++--------------------------- ghc/compiler/rename/RnNames.lhs | 4 +- 5 files changed, 880 insertions(+), 833 deletions(-) create mode 100644 ghc/compiler/rename/RnHiFiles.lhs diff --git a/ghc/compiler/main/HscTypes.lhs b/ghc/compiler/main/HscTypes.lhs index 1b34ec0..3cdc200 100644 --- a/ghc/compiler/main/HscTypes.lhs +++ b/ghc/compiler/main/HscTypes.lhs @@ -10,7 +10,7 @@ module HscTypes ( ModDetails(..), ModIface(..), GlobalSymbolTable, HomeSymbolTable, PackageSymbolTable, HomeIfaceTable, PackageIfaceTable, - lookupTable, + lookupTable, lookupTableByModName, IfaceDecls(..), @@ -49,7 +49,8 @@ import Name ( Name, NameEnv, NamedThing, import NameSet ( NameSet ) import OccName ( OccName ) import Module ( Module, ModuleName, ModuleEnv, - lookupModuleEnv ) + lookupModuleEnv, lookupModuleEnvByName + ) import VarSet ( TyVarSet ) import VarEnv ( emptyVarEnv ) import Id ( Id ) @@ -191,6 +192,11 @@ lookupTable ht pt name = lookupModuleEnv ht mod `seqMaybe` lookupModuleEnv pt mod where mod = nameModule name + +lookupTableByModName :: ModuleEnv a -> ModuleEnv a -> ModuleName -> Maybe a +-- We often have two Symbol- or IfaceTables, and want to do a lookup +lookupTableByModName ht pt mod + = lookupModuleEnvByName ht mod `seqMaybe` lookupModuleEnvByName pt mod \end{code} diff --git a/ghc/compiler/rename/Rename.lhs b/ghc/compiler/rename/Rename.lhs index 2f14e0d..0e1ff00 100644 --- a/ghc/compiler/rename/Rename.lhs +++ b/ghc/compiler/rename/Rename.lhs @@ -20,9 +20,10 @@ import RnNames ( getGlobalNames ) import RnSource ( rnSourceDecls, rnDecl ) import RnIfaces ( getImportedInstDecls, importDecl, mkImportInfo, getInterfaceExports, - getImportedRules, getSlurped, removeContext, + getImportedRules, getSlurped, ImportDeclResult(..) ) +import RnHiFiles ( removeContext ) import RnEnv ( availName, availsToNameSet, emptyAvailEnv, unitAvailEnv, availEnvElts, plusAvailEnv, sortAvails, warnUnusedImports, warnUnusedLocalBinds, warnUnusedModules, @@ -35,7 +36,6 @@ import Module ( Module, ModuleName, WhereFrom(..), ) import Name ( Name, isLocallyDefined, NamedThing(..), getSrcLoc, nameOccName, nameUnique, nameModule, - isUserExportedName, mkNameEnv, nameEnvElts, extendNameEnv ) import OccName ( occNameFlavour ) @@ -916,139 +916,3 @@ badDeprec d \end{code} -%******************************************************** -%* * -\subsection{Checking usage information} -%* * -%******************************************************** - -\begin{code} -{- -checkEarlyExit mod_name - = traceRn (text "Considering whether compilation is required...") `thenRn_` - - -- Read the old interface file, if any, for the module being compiled - findAndReadIface doc_str mod_name False {- Not hi-boot -} `thenRn` \ maybe_iface -> - - -- CHECK WHETHER WE HAVE IT ALREADY - case maybe_iface of - Left err -> -- Old interface file not found, so we'd better bail out - traceRn (vcat [ptext SLIT("No old interface file for") <+> ppr mod_name, - err]) `thenRn_` - returnRn (outOfDate, Nothing) - - Right iface - | panic "checkEarlyExit: ???: not opt_SourceUnchanged" - -> -- Source code changed - traceRn (nest 4 (text "source file changed or recompilation check turned off")) `thenRn_` - returnRn (False, Just iface) - - | otherwise - -> -- Source code unchanged and no errors yet... carry on - checkModUsage (pi_usages iface) `thenRn` \ up_to_date -> - returnRn (up_to_date, Just iface) - where - -- Only look in current directory, with suffix .hi - doc_str = sep [ptext SLIT("need usage info from"), ppr mod_name] -\end{code} - -\begin{code} -upToDate = True -outOfDate = False - -checkModUsage :: [ImportVersion OccName] -> RnMG Bool --- Given the usage information extracted from the old --- M.hi file for the module being compiled, figure out --- whether M needs to be recompiled. - -checkModUsage [] = returnRn upToDate -- Yes! Everything is up to date! - -checkModUsage ((mod_name, _, _, NothingAtAll) : rest) - -- If CurrentModule.hi contains - -- import Foo :: ; - -- then that simply records that Foo lies below CurrentModule in the - -- hierarchy, but CurrentModule doesn't depend in any way on Foo. - -- In this case we don't even want to open Foo's interface. - = traceRn (ptext SLIT("Nothing used from:") <+> ppr mod_name) `thenRn_` - checkModUsage rest -- This one's ok, so check the rest - -checkModUsage ((mod_name, _, _, whats_imported) : rest) - = tryLoadInterface doc_str mod_name ImportBySystem `thenRn` \ (ifaces, maybe_err) -> - case maybe_err of { - Just err -> out_of_date (sep [ptext SLIT("Can't find version number for module"), - ppr mod_name]) ; - -- Couldn't find or parse a module mentioned in the - -- old interface file. Don't complain -- it might just be that - -- the current module doesn't need that import and it's been deleted - - Nothing -> - let - (_, new_mod_vers, new_fix_vers, new_rule_vers, _, _) - = case lookupFM (iImpModInfo ifaces) mod_name of - Just (_, _, Just stuff) -> stuff - - old_mod_vers = case whats_imported of - Everything v -> v - Specifically v _ _ _ -> v - -- NothingAtAll case dealt with by previous eqn for checkModUsage - in - -- If the module version hasn't changed, just move on - if new_mod_vers == old_mod_vers then - traceRn (sep [ptext SLIT("Module version unchanged:"), ppr mod_name]) - `thenRn_` checkModUsage rest - else - traceRn (sep [ptext SLIT("Module version has changed:"), ppr mod_name]) - `thenRn_` - -- Module version changed, so check entities inside - - -- If the usage info wants to say "I imported everything from this module" - -- it does so by making whats_imported equal to Everything - -- In that case, we must recompile - case whats_imported of { -- NothingAtAll dealt with earlier - - Everything _ - -> out_of_date (ptext SLIT("...and I needed the whole module")) ; - - Specifically _ old_fix_vers old_rule_vers old_local_vers -> - - if old_fix_vers /= new_fix_vers then - out_of_date (ptext SLIT("Fixities changed")) - else if old_rule_vers /= new_rule_vers then - out_of_date (ptext SLIT("Rules changed")) - else - -- Non-empty usage list, so check item by item - checkEntityUsage mod_name (iDecls ifaces) old_local_vers `thenRn` \ up_to_date -> - if up_to_date then - traceRn (ptext SLIT("...but the bits I use haven't.")) `thenRn_` - checkModUsage rest -- This one's ok, so check the rest - else - returnRn outOfDate -- This one failed, so just bail out now - }} - where - doc_str = sep [ptext SLIT("need version info for"), ppr mod_name] - - -checkEntityUsage mod decls [] - = returnRn upToDate -- Yes! All up to date! - -checkEntityUsage mod decls ((occ_name,old_vers) : rest) - = newGlobalName mod occ_name `thenRn` \ name -> - case lookupNameEnv decls name of - - Nothing -> -- We used it before, but it ain't there now - out_of_date (sep [ptext SLIT("No longer exported:"), ppr name]) - - Just (new_vers,_,_,_) -- It's there, but is it up to date? - | new_vers == old_vers - -- Up to date, so check the rest - -> checkEntityUsage mod decls rest - - | otherwise - -- Out of date, so bale out - -> out_of_date (sep [ptext SLIT("Out of date:"), ppr name]) - -out_of_date msg = traceRn msg `thenRn_` returnRn outOfDate --} -\end{code} - - diff --git a/ghc/compiler/rename/RnHiFiles.lhs b/ghc/compiler/rename/RnHiFiles.lhs new file mode 100644 index 0000000..ed3d6f2 --- /dev/null +++ b/ghc/compiler/rename/RnHiFiles.lhs @@ -0,0 +1,618 @@ +% +% (c) The GRASP/AQUA Project, Glasgow University, 1992-1998 +% +\section{Dealing with interface files} + +\begin{code} +module RnHiFiles ( + findAndReadIface, loadInterface, loadHomeInterface, + tryLoadInterface, loadOrphanModules, + + getDeclBinders, getDeclSysBinders, + removeContext -- removeContext probably belongs somewhere else + ) where + +#include "HsVersions.h" + +import CmdLineOpts ( opt_IgnoreIfacePragmas ) +import HscTypes +import HsSyn ( HsDecl(..), TyClDecl(..), InstDecl(..), IfaceSig(..), + HsType(..), ConDecl(..), + ForeignDecl(..), ForKind(..), isDynamicExtName, + FixitySig(..), RuleDecl(..), + tyClDeclNames + ) +import BasicTypes ( Version ) +import RdrHsSyn ( RdrNameHsDecl, RdrNameInstDecl, RdrNameRuleDecl, + extractHsTyRdrNames + ) +import RnEnv +import RnMonad +import ParseIface ( parseIface, IfaceStuff(..) ) + +import Name ( Name {-instance NamedThing-}, nameOccName, + nameModule, + NamedThing(..), + mkNameEnv, elemNameEnv, extendNameEnv + ) +import Module ( Module, + moduleName, isModuleInThisPackage, + ModuleName, WhereFrom(..), + extendModuleEnv, lookupModuleEnvByName, + ) +import RdrName ( RdrName, rdrNameOcc ) +import NameSet +import SrcLoc ( mkSrcLoc, SrcLoc ) +import Maybes ( maybeToBool ) +import StringBuffer ( hGetStringBuffer ) +import FastString ( mkFastString ) +import ErrUtils ( Message ) +import Lex +import FiniteMap +import Outputable +import Bag +\end{code} + + +%********************************************************* +%* * +\subsection{Loading a new interface file} +%* * +%********************************************************* + +\begin{code} +loadHomeInterface :: SDoc -> Name -> RnM d Ifaces +loadHomeInterface doc_str name + = loadInterface doc_str (moduleName (nameModule name)) ImportBySystem + +loadOrphanModules :: [ModuleName] -> RnM d () +loadOrphanModules mods + | null mods = returnRn () + | otherwise = traceRn (text "Loading orphan modules:" <+> + fsep (map ppr mods)) `thenRn_` + mapRn_ load mods `thenRn_` + returnRn () + where + load mod = loadInterface (mk_doc mod) mod ImportBySystem + mk_doc mod = ppr mod <+> ptext SLIT("is a orphan-instance module") + +loadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d Ifaces +loadInterface doc mod from + = tryLoadInterface doc mod from `thenRn` \ (ifaces, maybe_err) -> + case maybe_err of + Nothing -> returnRn ifaces + Just err -> failWithRn ifaces err + +tryLoadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d (Ifaces, Maybe Message) + -- Returns (Just err) if an error happened + -- Guarantees to return with iImpModInfo m --> (..., True) + -- (If the load fails, we plug in a vanilla placeholder) +tryLoadInterface doc_str mod_name from + = getHomeIfaceTableRn `thenRn` \ hit -> + getIfacesRn `thenRn` \ ifaces -> + + -- Check whether we have it already in the home package + case lookupModuleEnvByName hit mod_name of { + Just _ -> returnRn (ifaces, Nothing) ; -- In the home package + Nothing -> + + let + mod_map = iImpModInfo ifaces + mod_info = lookupFM mod_map mod_name + + hi_boot_file + = case (from, mod_info) of + (ImportByUser, _) -> False -- Not hi-boot + (ImportByUserSource, _) -> True -- hi-boot + (ImportBySystem, Just (_, is_boot, _)) -> is_boot -- + (ImportBySystem, Nothing) -> False + -- We're importing a module we know absolutely + -- nothing about, so we assume it's from + -- another package, where we aren't doing + -- dependency tracking. So it won't be a hi-boot file. + + redundant_source_import + = case (from, mod_info) of + (ImportByUserSource, Just (_,False,_)) -> True + other -> False + in + -- CHECK WHETHER WE HAVE IT ALREADY + case mod_info of { + Just (_, _, True) + -> -- We're read it already so don't re-read it + returnRn (ifaces, Nothing) ; + + _ -> + + -- Issue a warning for a redundant {- SOURCE -} import + -- NB that we arrange to read all the ordinary imports before + -- any of the {- SOURCE -} imports + warnCheckRn (not redundant_source_import) + (warnRedundantSourceImport mod_name) `thenRn_` + + -- READ THE MODULE IN + findAndReadIface doc_str mod_name hi_boot_file `thenRn` \ read_result -> + case read_result of { + Left err -> -- Not found, so add an empty export env to the Ifaces map + -- so that we don't look again + let + new_mod_map = addToFM mod_map mod_name (False, False, True) + new_ifaces = ifaces { iImpModInfo = new_mod_map } + in + setIfacesRn new_ifaces `thenRn_` + returnRn (new_ifaces, Just err) ; + + -- Found and parsed! + Right (mod, iface) -> + + -- LOAD IT INTO Ifaces + + -- NB: *first* we do loadDecl, so that the provenance of all the locally-defined + --- names is done correctly (notably, whether this is an .hi file or .hi-boot file). + -- If we do loadExport first the wrong info gets into the cache (unless we + -- explicitly tag each export which seems a bit of a bore) + + + -- Sanity check. If we're system-importing a module we know nothing at all + -- about, it should be from a different package to this one + WARN( not (maybeToBool mod_info) && + case from of { ImportBySystem -> True; other -> False } && + isModuleInThisPackage mod, + ppr mod ) + + loadDecls mod (iDecls ifaces) (pi_decls iface) `thenRn` \ (decls_vers, new_decls) -> + loadRules mod (iRules ifaces) (pi_rules iface) `thenRn` \ (rule_vers, new_rules) -> + loadFixDecls mod_name (pi_fixity iface) `thenRn` \ fix_env -> + loadDeprecs mod (pi_deprecs iface) `thenRn` \ deprec_env -> + foldlRn (loadInstDecl mod) (iInsts ifaces) (pi_insts iface) `thenRn` \ new_insts -> + loadExports (pi_exports iface) `thenRn` \ (export_vers, avails) -> + let + version = VersionInfo { vers_module = pi_vers iface, + vers_exports = export_vers, + vers_rules = rule_vers, + vers_decls = decls_vers } + + -- For an explicit user import, add to mod_map info about + -- the things the imported module depends on, extracted + -- from its usage info. + mod_map1 = case from of + ImportByUser -> addModDeps mod (pi_usages iface) mod_map + other -> mod_map + mod_map2 = addToFM mod_map1 mod_name (has_orphans, hi_boot_file, True) + + -- Now add info about this module to the PIT + has_orphans = pi_orphan iface + new_pit = extendModuleEnv (iPIT ifaces) mod mod_iface + mod_iface = ModIface { mi_module = mod, mi_version = version, + mi_exports = avails, mi_orphan = has_orphans, + mi_fixities = fix_env, mi_deprecs = deprec_env, + mi_usages = [], -- Will be filled in later + mi_decls = panic "No mi_decls in PIT", + mi_globals = panic "No mi_globals in PIT" + } + + new_ifaces = ifaces { iPIT = new_pit, + iDecls = new_decls, + iInsts = new_insts, + iRules = new_rules, + iImpModInfo = mod_map2 } + in + setIfacesRn new_ifaces `thenRn_` + returnRn (new_ifaces, Nothing) + }}} + +----------------------------------------------------- +-- Adding module dependencies from the +-- import decls in the interface file +----------------------------------------------------- + +addModDeps :: Module -> [ImportVersion a] + -> ImportedModuleInfo -> ImportedModuleInfo +-- (addModDeps M ivs deps) +-- We are importing module M, and M.hi contains 'import' decls given by ivs +addModDeps mod new_deps mod_deps + = foldr add mod_deps filtered_new_deps + where + -- Don't record dependencies when importing a module from another package + -- Except for its descendents which contain orphans, + -- and in that case, forget about the boot indicator + filtered_new_deps :: [(ModuleName, (WhetherHasOrphans, IsBootInterface, IsLoaded))] + filtered_new_deps + | isModuleInThisPackage mod + = [ (imp_mod, (has_orphans, is_boot, False)) + | (imp_mod, has_orphans, is_boot, _) <- new_deps + ] + | otherwise = [ (imp_mod, (True, False, False)) + | (imp_mod, has_orphans, _, _) <- new_deps, + has_orphans + ] + add (imp_mod, dep) deps = addToFM_C combine deps imp_mod dep + + combine old@(_, old_is_boot, old_is_loaded) new + | old_is_loaded || not old_is_boot = old -- Keep the old info if it's already loaded + -- or if it's a non-boot pending load + | otherwise = new -- Otherwise pick new info + + +----------------------------------------------------- +-- Loading the export list +----------------------------------------------------- + +loadExports :: (Version, [ExportItem]) -> RnM d (Version, Avails) +loadExports (vers, items) + = getModuleRn `thenRn` \ this_mod -> + mapRn (loadExport this_mod) items `thenRn` \ avails_s -> + returnRn (vers, concat avails_s) + + +loadExport :: Module -> ExportItem -> RnM d [AvailInfo] +loadExport this_mod (mod, entities) + | mod == moduleName this_mod = returnRn [] + -- If the module exports anything defined in this module, just ignore it. + -- Reason: otherwise it looks as if there are two local definition sites + -- for the thing, and an error gets reported. Easiest thing is just to + -- filter them out up front. This situation only arises if a module + -- imports itself, or another module that imported it. (Necessarily, + -- this invoves a loop.) Consequence: if you say + -- module A where + -- import B( AType ) + -- type AType = ... + -- + -- module B( AType ) where + -- import {-# SOURCE #-} A( AType ) + -- + -- then you'll get a 'B does not export AType' message. A bit bogus + -- but it's a bogus thing to do! + + | otherwise + = mapRn (load_entity mod) entities + where + new_name mod occ = newGlobalName mod occ + + load_entity mod (Avail occ) + = new_name mod occ `thenRn` \ name -> + returnRn (Avail name) + load_entity mod (AvailTC occ occs) + = new_name mod occ `thenRn` \ name -> + mapRn (new_name mod) occs `thenRn` \ names -> + returnRn (AvailTC name names) + + +----------------------------------------------------- +-- Loading type/class/value decls +----------------------------------------------------- + +loadDecls :: Module + -> DeclsMap + -> [(Version, RdrNameHsDecl)] + -> RnM d (NameEnv Version, DeclsMap) +loadDecls mod decls_map decls + = foldlRn (loadDecl mod) (emptyNameEnv, decls_map) decls + +loadDecl :: Module + -> (NameEnv Version, DeclsMap) + -> (Version, RdrNameHsDecl) + -> RnM d (NameEnv Version, DeclsMap) +loadDecl mod (version_map, decls_map) (version, decl) + = getDeclBinders new_name decl `thenRn` \ maybe_avail -> + case maybe_avail of { + Nothing -> returnRn (version_map, decls_map); -- No bindings + Just avail -> + + getDeclSysBinders new_name decl `thenRn` \ sys_bndrs -> + let + full_avail = addSysAvails avail sys_bndrs + -- Add the sys-binders to avail. When we import the decl, + -- it's full_avail that will get added to the 'already-slurped' set (iSlurp) + -- If we miss out sys-binders, we'll read the decl multiple times! + + main_name = availName avail + new_decls_map = foldl add_decl decls_map + [ (name, (full_avail, name==main_name, (mod, decl'))) + | name <- availNames full_avail] + add_decl decls_map (name, stuff) + = WARN( name `elemNameEnv` decls_map, ppr name ) + extendNameEnv decls_map name stuff + + new_version_map = extendNameEnv version_map main_name version + in + returnRn (new_version_map, new_decls_map) + } + where + -- newTopBinder puts into the cache the binder with the + -- module information set correctly. When the decl is later renamed, + -- the binding site will thereby get the correct module. + -- There maybe occurrences that don't have the correct Module, but + -- by the typechecker will propagate the binding definition to all + -- the occurrences, so that doesn't matter + new_name rdr_name loc = newTopBinder mod rdr_name loc + + {- + If a signature decl is being loaded, and optIgnoreIfacePragmas is on, + we toss away unfolding information. + + Also, if the signature is loaded from a module we're importing from source, + we do the same. This is to avoid situations when compiling a pair of mutually + recursive modules, peering at unfolding info in the interface file of the other, + e.g., you compile A, it looks at B's interface file and may as a result change + its interface file. Hence, B is recompiled, maybe changing its interface file, + which will the unfolding info used in A to become invalid. Simple way out is to + just ignore unfolding info. + + [Jan 99: I junked the second test above. If we're importing from an hi-boot + file there isn't going to *be* any pragma info. Maybe the above comment + dates from a time where we picked up a .hi file first if it existed?] + -} + decl' = case decl of + SigD (IfaceSig name tp ls loc) | opt_IgnoreIfacePragmas + -> SigD (IfaceSig name tp [] loc) + other -> decl + +----------------------------------------------------- +-- Loading fixity decls +----------------------------------------------------- + +loadFixDecls mod_name decls + = mapRn (loadFixDecl mod_name) decls `thenRn` \ to_add -> + returnRn (mkNameEnv to_add) + +loadFixDecl mod_name sig@(FixitySig rdr_name fixity loc) + = newGlobalName mod_name (rdrNameOcc rdr_name) `thenRn` \ name -> + returnRn (name, fixity) + + +----------------------------------------------------- +-- Loading instance decls +----------------------------------------------------- + +loadInstDecl :: Module + -> IfaceInsts + -> RdrNameInstDecl + -> RnM d IfaceInsts +loadInstDecl mod insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc) + = + -- Find out what type constructors and classes are "gates" for the + -- instance declaration. If all these "gates" are slurped in then + -- we should slurp the instance decl too. + -- + -- We *don't* want to count names in the context part as gates, though. + -- For example: + -- instance Foo a => Baz (T a) where ... + -- + -- Here the gates are Baz and T, but *not* Foo. + let + munged_inst_ty = removeContext inst_ty + free_names = extractHsTyRdrNames munged_inst_ty + in + setModuleRn mod $ + mapRn lookupOrigName free_names `thenRn` \ gate_names -> + returnRn ((mkNameSet gate_names, (mod, InstD decl)) `consBag` insts) + + +-- In interface files, the instance decls now look like +-- forall a. Foo a -> Baz (T a) +-- so we have to strip off function argument types as well +-- as the bit before the '=>' (which is always empty in interface files) +removeContext (HsForAllTy tvs cxt ty) = HsForAllTy tvs [] (removeFuns ty) +removeContext ty = removeFuns ty + +removeFuns (HsFunTy _ ty) = removeFuns ty +removeFuns ty = ty + + +----------------------------------------------------- +-- Loading Rules +----------------------------------------------------- + +loadRules :: Module -> IfaceRules + -> (Version, [RdrNameRuleDecl]) + -> RnM d (Version, IfaceRules) +loadRules mod rule_bag (version, rules) + | null rules || opt_IgnoreIfacePragmas + = returnRn (version, rule_bag) + | otherwise + = setModuleRn mod $ + mapRn (loadRule mod) rules `thenRn` \ new_rules -> + returnRn (version, rule_bag `unionBags` listToBag new_rules) + +loadRule :: Module -> RdrNameRuleDecl -> RnM d GatedDecl +-- "Gate" the rule simply by whether the rule variable is +-- needed. We can refine this later. +loadRule mod decl@(IfaceRule _ _ var _ _ src_loc) + = lookupOrigName var `thenRn` \ var_name -> + returnRn (unitNameSet var_name, (mod, RuleD decl)) + + +----------------------------------------------------- +-- Loading Deprecations +----------------------------------------------------- + +loadDeprecs :: Module -> IfaceDeprecs -> RnM d Deprecations +loadDeprecs m Nothing = returnRn NoDeprecs +loadDeprecs m (Just (Left txt)) = returnRn (DeprecAll txt) +loadDeprecs m (Just (Right prs)) = setModuleRn m $ + foldlRn loadDeprec emptyNameEnv prs `thenRn` \ env -> + returnRn (DeprecSome env) +loadDeprec deprec_env (n, txt) + = lookupOrigName n `thenRn` \ name -> + traceRn (text "Loaded deprecation(s) for" <+> ppr name <> colon <+> ppr txt) `thenRn_` + returnRn (extendNameEnv deprec_env name txt) +\end{code} + + +%********************************************************* +%* * +\subsection{Getting binders out of a declaration} +%* * +%********************************************************* + +@getDeclBinders@ returns the names for a @RdrNameHsDecl@. +It's used for both source code (from @availsFromDecl@) and interface files +(from @loadDecl@). + +It doesn't deal with source-code specific things: @ValD@, @DefD@. They +are handled by the sourc-code specific stuff in @RnNames@. + +\begin{code} +getDeclBinders :: (RdrName -> SrcLoc -> RnM d Name) -- New-name function + -> RdrNameHsDecl + -> RnM d (Maybe AvailInfo) + +getDeclBinders new_name (TyClD tycl_decl) + = mapRn do_one (tyClDeclNames tycl_decl) `thenRn` \ (main_name:sub_names) -> + returnRn (Just (AvailTC main_name (main_name : sub_names))) + where + do_one (name,loc) = new_name name loc + +getDeclBinders new_name (SigD (IfaceSig var ty prags src_loc)) + = new_name var src_loc `thenRn` \ var_name -> + returnRn (Just (Avail var_name)) + + -- foreign declarations +getDeclBinders new_name (ForD (ForeignDecl nm kind _ dyn _ loc)) + | binds_haskell_name kind dyn + = new_name nm loc `thenRn` \ name -> + returnRn (Just (Avail name)) + + | otherwise -- a foreign export + = lookupOrigName nm `thenRn_` + returnRn Nothing + +getDeclBinders new_name (FixD _) = returnRn Nothing +getDeclBinders new_name (DeprecD _) = returnRn Nothing +getDeclBinders new_name (DefD _) = returnRn Nothing +getDeclBinders new_name (InstD _) = returnRn Nothing +getDeclBinders new_name (RuleD _) = returnRn Nothing + +binds_haskell_name (FoImport _) _ = True +binds_haskell_name FoLabel _ = True +binds_haskell_name FoExport ext_nm = isDynamicExtName ext_nm +\end{code} + +@getDeclSysBinders@ gets the implicit binders introduced by a decl. +A the moment that's just the tycon and datacon that come with a class decl. +They aren't returned by @getDeclBinders@ because they aren't in scope; +but they {\em should} be put into the @DeclsMap@ of this module. + +Note that this excludes the default-method names of a class decl, +and the dict fun of an instance decl, because both of these have +bindings of their own elsewhere. + +\begin{code} +getDeclSysBinders new_name (TyClD (ClassDecl _ cname _ _ sigs _ names src_loc)) + = sequenceRn [new_name n src_loc | n <- names] + +getDeclSysBinders new_name (TyClD (TyData _ _ _ _ cons _ _ _ _ _)) + = sequenceRn [new_name wkr_name src_loc | ConDecl _ wkr_name _ _ _ src_loc <- cons] + +getDeclSysBinders new_name other_decl + = returnRn [] +\end{code} + + +%********************************************************* +%* * +\subsection{Reading an interface file} +%* * +%********************************************************* + +\begin{code} +findAndReadIface :: SDoc -> ModuleName + -> IsBootInterface -- True <=> Look for a .hi-boot file + -- False <=> Look for .hi file + -> RnM d (Either Message (Module, ParsedIface)) + -- Nothing <=> file not found, or unreadable, or illegible + -- Just x <=> successfully found and parsed + +findAndReadIface doc_str mod_name hi_boot_file + = traceRn trace_msg `thenRn_` + -- we keep two maps for interface files, + -- one for 'normal' ones, the other for .hi-boot files, + -- hence the need to signal which kind we're interested. + + getFinderRn `thenRn` \ finder -> + ioToRnM (finder mod_name) `thenRn` \ maybe_found -> + + case maybe_found of + Right (Just (mod,locn)) + | hi_boot_file -> readIface mod (hi_file locn ++ "-hi-boot") + | otherwise -> readIface mod (hi_file locn) + + -- Can't find it + other -> traceRn (ptext SLIT("...not found")) `thenRn_` + returnRn (Left (noIfaceErr mod_name hi_boot_file)) + + where + trace_msg = sep [hsep [ptext SLIT("Reading"), + if hi_boot_file then ptext SLIT("[boot]") else empty, + ptext SLIT("interface for"), + ppr mod_name <> semi], + nest 4 (ptext SLIT("reason:") <+> doc_str)] +\end{code} + +@readIface@ tries just the one file. + +\begin{code} +readIface :: Module -> String -> RnM d (Either Message (Module, ParsedIface)) + -- Nothing <=> file not found, or unreadable, or illegible + -- Just x <=> successfully found and parsed +readIface wanted_mod file_path + = traceRn (ptext SLIT("...reading from") <+> text file_path) `thenRn_` + ioToRnM (hGetStringBuffer False file_path) `thenRn` \ read_result -> + case read_result of + Right contents -> + case parseIface contents + PState{ bol = 0#, atbol = 1#, + context = [], + glasgow_exts = 1#, + loc = mkSrcLoc (mkFastString file_path) 1 } of + POk _ (PIface iface) -> + warnCheckRn (wanted_mod == read_mod) + (hiModuleNameMismatchWarn wanted_mod read_mod) `thenRn_` + returnRn (Right (wanted_mod, iface)) + where + read_mod = pi_mod iface + + PFailed err -> bale_out err + parse_result -> bale_out empty + -- This last case can happen if the interface file is (say) empty + -- in which case the parser thinks it looks like an IdInfo or + -- something like that. Just an artefact of the fact that the + -- parser is used for several purposes at once. + + Left io_err -> bale_out (text (show io_err)) + where + bale_out err = returnRn (Left (badIfaceFile file_path err)) +\end{code} + + +%********************************************************* +%* * +\subsection{Errors} +%* * +%********************************************************* + +\begin{code} +noIfaceErr mod_name boot_file + = ptext SLIT("Could not find interface file for") <+> quotes (ppr mod_name) + -- We used to print the search path, but we can't do that + -- now, becuase it's hidden inside the finder. + -- Maybe the finder should expose more functions. + +badIfaceFile file err + = vcat [ptext SLIT("Bad interface file:") <+> text file, + nest 4 err] + +hiModuleNameMismatchWarn :: Module -> Module -> Message +hiModuleNameMismatchWarn requested_mod read_mod = + hsep [ ptext SLIT("Something is amiss; requested module name") + , ppr (moduleName requested_mod) + , ptext SLIT("differs from name found in the interface file") + , ppr read_mod + ] + +warnRedundantSourceImport mod_name + = ptext SLIT("Unnecessary {- SOURCE -} in the import of module") + <+> quotes (ppr mod_name) +\end{code} + diff --git a/ghc/compiler/rename/RnIfaces.lhs b/ghc/compiler/rename/RnIfaces.lhs index 6ff626d..28362f6 100644 --- a/ghc/compiler/rename/RnIfaces.lhs +++ b/ghc/compiler/rename/RnIfaces.lhs @@ -6,16 +6,11 @@ \begin{code} module RnIfaces ( - findAndReadIface, - getInterfaceExports, getImportedInstDecls, getImportedRules, - lookupFixityRn, loadHomeInterface, + lookupFixityRn, importDecl, ImportDeclResult(..), recordLocalSlurps, - mkImportInfo, getSlurped, - - getDeclBinders, getDeclSysBinders, - removeContext -- removeContext probably belongs somewhere else + mkImportInfo, getSlurped ) where @@ -23,41 +18,27 @@ where import CmdLineOpts ( opt_NoPruneDecls, opt_NoPruneTyDecls, opt_IgnoreIfacePragmas ) import HscTypes -import HsSyn ( HsDecl(..), TyClDecl(..), InstDecl(..), IfaceSig(..), - HsType(..), ConDecl(..), - ForeignDecl(..), ForKind(..), isDynamicExtName, - FixitySig(..), RuleDecl(..), - tyClDeclNames - ) +import HsSyn ( HsDecl(..), InstDecl(..), HsType(..) ) import HsImpExp ( ImportDecl(..) ) import BasicTypes ( Version, defaultFixity ) -import RdrHsSyn ( RdrNameHsDecl, RdrNameInstDecl, RdrNameRuleDecl, - extractHsTyRdrNames - ) +import RdrHsSyn ( RdrNameHsDecl, RdrNameInstDecl ) +import RnHiFiles ( tryLoadInterface, loadHomeInterface, loadInterface, loadOrphanModules ) import RnEnv import RnMonad -import ParseIface ( parseIface, IfaceStuff(..) ) - import Name ( Name {-instance NamedThing-}, nameOccName, nameModule, isLocallyDefined, NamedThing(..), - mkNameEnv, elemNameEnv, extendNameEnv + elemNameEnv ) import Module ( Module, ModuleEnv, moduleName, isModuleInThisPackage, ModuleName, WhereFrom(..), - emptyModuleEnv, extendModuleEnv, lookupModuleEnvByName, + emptyModuleEnv, lookupModuleEnvByName, extendModuleEnv_C, lookupWithDefaultModuleEnv ) -import RdrName ( RdrName, rdrNameOcc ) import NameSet -import SrcLoc ( mkSrcLoc, SrcLoc ) import PrelInfo ( wiredInThingEnv ) -import Maybes ( maybeToBool, orElse ) -import StringBuffer ( hGetStringBuffer ) -import FastString ( mkFastString ) -import ErrUtils ( Message ) -import Lex +import Maybes ( orElse ) import FiniteMap import Outputable import Bag @@ -68,530 +49,6 @@ import List ( nub ) %********************************************************* %* * -\subsection{Loading a new interface file} -%* * -%********************************************************* - -\begin{code} -loadHomeInterface :: SDoc -> Name -> RnM d Ifaces -loadHomeInterface doc_str name - = loadInterface doc_str (moduleName (nameModule name)) ImportBySystem - -loadOrphanModules :: [ModuleName] -> RnM d () -loadOrphanModules mods - | null mods = returnRn () - | otherwise = traceRn (text "Loading orphan modules:" <+> - fsep (map ppr mods)) `thenRn_` - mapRn_ load mods `thenRn_` - returnRn () - where - load mod = loadInterface (mk_doc mod) mod ImportBySystem - mk_doc mod = ppr mod <+> ptext SLIT("is a orphan-instance module") - - -loadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d Ifaces -loadInterface doc mod from - = tryLoadInterface doc mod from `thenRn` \ (ifaces, maybe_err) -> - case maybe_err of - Nothing -> returnRn ifaces - Just err -> failWithRn ifaces err - -tryLoadInterface :: SDoc -> ModuleName -> WhereFrom -> RnM d (Ifaces, Maybe Message) - -- Returns (Just err) if an error happened - -- Guarantees to return with iImpModInfo m --> (..., True) - -- (If the load fails, we plug in a vanilla placeholder) -tryLoadInterface doc_str mod_name from - = getHomeIfaceTableRn `thenRn` \ hit -> - getIfacesRn `thenRn` \ ifaces -> - - -- Check whether we have it already in the home package - case lookupModuleEnvByName hit mod_name of { - Just _ -> returnRn (ifaces, Nothing) ; -- In the home package - Nothing -> - - let - mod_map = iImpModInfo ifaces - mod_info = lookupFM mod_map mod_name - - hi_boot_file - = case (from, mod_info) of - (ImportByUser, _) -> False -- Not hi-boot - (ImportByUserSource, _) -> True -- hi-boot - (ImportBySystem, Just (_, is_boot, _)) -> is_boot -- - (ImportBySystem, Nothing) -> False - -- We're importing a module we know absolutely - -- nothing about, so we assume it's from - -- another package, where we aren't doing - -- dependency tracking. So it won't be a hi-boot file. - - redundant_source_import - = case (from, mod_info) of - (ImportByUserSource, Just (_,False,_)) -> True - other -> False - in - -- CHECK WHETHER WE HAVE IT ALREADY - case mod_info of { - Just (_, _, True) - -> -- We're read it already so don't re-read it - returnRn (ifaces, Nothing) ; - - _ -> - - -- Issue a warning for a redundant {- SOURCE -} import - -- NB that we arrange to read all the ordinary imports before - -- any of the {- SOURCE -} imports - warnCheckRn (not redundant_source_import) - (warnRedundantSourceImport mod_name) `thenRn_` - - -- READ THE MODULE IN - findAndReadIface doc_str mod_name hi_boot_file `thenRn` \ read_result -> - case read_result of { - Left err -> -- Not found, so add an empty export env to the Ifaces map - -- so that we don't look again - let - new_mod_map = addToFM mod_map mod_name (False, False, True) - new_ifaces = ifaces { iImpModInfo = new_mod_map } - in - setIfacesRn new_ifaces `thenRn_` - returnRn (new_ifaces, Just err) ; - - -- Found and parsed! - Right (mod, iface) -> - - -- LOAD IT INTO Ifaces - - -- NB: *first* we do loadDecl, so that the provenance of all the locally-defined - --- names is done correctly (notably, whether this is an .hi file or .hi-boot file). - -- If we do loadExport first the wrong info gets into the cache (unless we - -- explicitly tag each export which seems a bit of a bore) - - - -- Sanity check. If we're system-importing a module we know nothing at all - -- about, it should be from a different package to this one - WARN( not (maybeToBool mod_info) && - case from of { ImportBySystem -> True; other -> False } && - isModuleInThisPackage mod, - ppr mod ) - - loadDecls mod (iDecls ifaces) (pi_decls iface) `thenRn` \ (decls_vers, new_decls) -> - loadRules mod (iRules ifaces) (pi_rules iface) `thenRn` \ (rule_vers, new_rules) -> - loadFixDecls mod_name (pi_fixity iface) `thenRn` \ fix_env -> - loadDeprecs mod (pi_deprecs iface) `thenRn` \ deprec_env -> - foldlRn (loadInstDecl mod) (iInsts ifaces) (pi_insts iface) `thenRn` \ new_insts -> - loadExports (pi_exports iface) `thenRn` \ (export_vers, avails) -> - let - version = VersionInfo { vers_module = pi_vers iface, - vers_exports = export_vers, - vers_rules = rule_vers, - vers_decls = decls_vers } - - -- For an explicit user import, add to mod_map info about - -- the things the imported module depends on, extracted - -- from its usage info. - mod_map1 = case from of - ImportByUser -> addModDeps mod (pi_usages iface) mod_map - other -> mod_map - mod_map2 = addToFM mod_map1 mod_name (has_orphans, hi_boot_file, True) - - -- Now add info about this module to the PIT - has_orphans = pi_orphan iface - new_pit = extendModuleEnv (iPIT ifaces) mod mod_iface - mod_iface = ModIface { mi_module = mod, mi_version = version, - mi_exports = avails, mi_orphan = has_orphans, - mi_fixities = fix_env, mi_deprecs = deprec_env, - mi_usages = [], -- Will be filled in later - mi_decls = panic "No mi_decls in PIT", - mi_globals = panic "No mi_globals in PIT" - } - - new_ifaces = ifaces { iPIT = new_pit, - iDecls = new_decls, - iInsts = new_insts, - iRules = new_rules, - iImpModInfo = mod_map2 } - in - setIfacesRn new_ifaces `thenRn_` - returnRn (new_ifaces, Nothing) - }}} - ------------------------------------------------------ --- Adding module dependencies from the --- import decls in the interface file ------------------------------------------------------ - -addModDeps :: Module -> [ImportVersion a] - -> ImportedModuleInfo -> ImportedModuleInfo --- (addModDeps M ivs deps) --- We are importing module M, and M.hi contains 'import' decls given by ivs -addModDeps mod new_deps mod_deps - = foldr add mod_deps filtered_new_deps - where - -- Don't record dependencies when importing a module from another package - -- Except for its descendents which contain orphans, - -- and in that case, forget about the boot indicator - filtered_new_deps :: [(ModuleName, (WhetherHasOrphans, IsBootInterface, IsLoaded))] - filtered_new_deps - | isModuleInThisPackage mod - = [ (imp_mod, (has_orphans, is_boot, False)) - | (imp_mod, has_orphans, is_boot, _) <- new_deps - ] - | otherwise = [ (imp_mod, (True, False, False)) - | (imp_mod, has_orphans, _, _) <- new_deps, - has_orphans - ] - add (imp_mod, dep) deps = addToFM_C combine deps imp_mod dep - - combine old@(_, old_is_boot, old_is_loaded) new - | old_is_loaded || not old_is_boot = old -- Keep the old info if it's already loaded - -- or if it's a non-boot pending load - | otherwise = new -- Otherwise pick new info - - ------------------------------------------------------ --- Loading the export list ------------------------------------------------------ - -loadExports :: (Version, [ExportItem]) -> RnM d (Version, Avails) -loadExports (vers, items) - = getModuleRn `thenRn` \ this_mod -> - mapRn (loadExport this_mod) items `thenRn` \ avails_s -> - returnRn (vers, concat avails_s) - - -loadExport :: Module -> ExportItem -> RnM d [AvailInfo] -loadExport this_mod (mod, entities) - | mod == moduleName this_mod = returnRn [] - -- If the module exports anything defined in this module, just ignore it. - -- Reason: otherwise it looks as if there are two local definition sites - -- for the thing, and an error gets reported. Easiest thing is just to - -- filter them out up front. This situation only arises if a module - -- imports itself, or another module that imported it. (Necessarily, - -- this invoves a loop.) Consequence: if you say - -- module A where - -- import B( AType ) - -- type AType = ... - -- - -- module B( AType ) where - -- import {-# SOURCE #-} A( AType ) - -- - -- then you'll get a 'B does not export AType' message. A bit bogus - -- but it's a bogus thing to do! - - | otherwise - = mapRn (load_entity mod) entities - where - new_name mod occ = newGlobalName mod occ - - load_entity mod (Avail occ) - = new_name mod occ `thenRn` \ name -> - returnRn (Avail name) - load_entity mod (AvailTC occ occs) - = new_name mod occ `thenRn` \ name -> - mapRn (new_name mod) occs `thenRn` \ names -> - returnRn (AvailTC name names) - - ------------------------------------------------------ --- Loading type/class/value decls ------------------------------------------------------ - -loadDecls :: Module - -> DeclsMap - -> [(Version, RdrNameHsDecl)] - -> RnM d (NameEnv Version, DeclsMap) -loadDecls mod decls_map decls - = foldlRn (loadDecl mod) (emptyNameEnv, decls_map) decls - -loadDecl :: Module - -> (NameEnv Version, DeclsMap) - -> (Version, RdrNameHsDecl) - -> RnM d (NameEnv Version, DeclsMap) -loadDecl mod (version_map, decls_map) (version, decl) - = getDeclBinders new_name decl `thenRn` \ maybe_avail -> - case maybe_avail of { - Nothing -> returnRn (version_map, decls_map); -- No bindings - Just avail -> - - getDeclSysBinders new_name decl `thenRn` \ sys_bndrs -> - let - full_avail = addSysAvails avail sys_bndrs - -- Add the sys-binders to avail. When we import the decl, - -- it's full_avail that will get added to the 'already-slurped' set (iSlurp) - -- If we miss out sys-binders, we'll read the decl multiple times! - - main_name = availName avail - new_decls_map = foldl add_decl decls_map - [ (name, (full_avail, name==main_name, (mod, decl'))) - | name <- availNames full_avail] - add_decl decls_map (name, stuff) - = WARN( name `elemNameEnv` decls_map, ppr name ) - extendNameEnv decls_map name stuff - - new_version_map = extendNameEnv version_map main_name version - in - returnRn (new_version_map, new_decls_map) - } - where - -- newTopBinder puts into the cache the binder with the - -- module information set correctly. When the decl is later renamed, - -- the binding site will thereby get the correct module. - -- There maybe occurrences that don't have the correct Module, but - -- by the typechecker will propagate the binding definition to all - -- the occurrences, so that doesn't matter - new_name rdr_name loc = newTopBinder mod rdr_name loc - - {- - If a signature decl is being loaded, and optIgnoreIfacePragmas is on, - we toss away unfolding information. - - Also, if the signature is loaded from a module we're importing from source, - we do the same. This is to avoid situations when compiling a pair of mutually - recursive modules, peering at unfolding info in the interface file of the other, - e.g., you compile A, it looks at B's interface file and may as a result change - its interface file. Hence, B is recompiled, maybe changing its interface file, - which will the unfolding info used in A to become invalid. Simple way out is to - just ignore unfolding info. - - [Jan 99: I junked the second test above. If we're importing from an hi-boot - file there isn't going to *be* any pragma info. Maybe the above comment - dates from a time where we picked up a .hi file first if it existed?] - -} - decl' = case decl of - SigD (IfaceSig name tp ls loc) | opt_IgnoreIfacePragmas - -> SigD (IfaceSig name tp [] loc) - other -> decl - ------------------------------------------------------ --- Loading fixity decls ------------------------------------------------------ - -loadFixDecls mod_name decls - = mapRn (loadFixDecl mod_name) decls `thenRn` \ to_add -> - returnRn (mkNameEnv to_add) - -loadFixDecl mod_name sig@(FixitySig rdr_name fixity loc) - = newGlobalName mod_name (rdrNameOcc rdr_name) `thenRn` \ name -> - returnRn (name, fixity) - - ------------------------------------------------------ --- Loading instance decls ------------------------------------------------------ - -loadInstDecl :: Module - -> IfaceInsts - -> RdrNameInstDecl - -> RnM d IfaceInsts -loadInstDecl mod insts decl@(InstDecl inst_ty binds uprags dfun_name src_loc) - = - -- Find out what type constructors and classes are "gates" for the - -- instance declaration. If all these "gates" are slurped in then - -- we should slurp the instance decl too. - -- - -- We *don't* want to count names in the context part as gates, though. - -- For example: - -- instance Foo a => Baz (T a) where ... - -- - -- Here the gates are Baz and T, but *not* Foo. - let - munged_inst_ty = removeContext inst_ty - free_names = extractHsTyRdrNames munged_inst_ty - in - setModuleRn mod $ - mapRn lookupOrigName free_names `thenRn` \ gate_names -> - returnRn ((mkNameSet gate_names, (mod, InstD decl)) `consBag` insts) - - --- In interface files, the instance decls now look like --- forall a. Foo a -> Baz (T a) --- so we have to strip off function argument types as well --- as the bit before the '=>' (which is always empty in interface files) -removeContext (HsForAllTy tvs cxt ty) = HsForAllTy tvs [] (removeFuns ty) -removeContext ty = removeFuns ty - -removeFuns (HsFunTy _ ty) = removeFuns ty -removeFuns ty = ty - - ------------------------------------------------------ --- Loading Rules ------------------------------------------------------ - -loadRules :: Module -> IfaceRules - -> (Version, [RdrNameRuleDecl]) - -> RnM d (Version, IfaceRules) -loadRules mod rule_bag (version, rules) - | null rules || opt_IgnoreIfacePragmas - = returnRn (version, rule_bag) - | otherwise - = setModuleRn mod $ - mapRn (loadRule mod) rules `thenRn` \ new_rules -> - returnRn (version, rule_bag `unionBags` listToBag new_rules) - -loadRule :: Module -> RdrNameRuleDecl -> RnM d GatedDecl --- "Gate" the rule simply by whether the rule variable is --- needed. We can refine this later. -loadRule mod decl@(IfaceRule _ _ var _ _ src_loc) - = lookupOrigName var `thenRn` \ var_name -> - returnRn (unitNameSet var_name, (mod, RuleD decl)) - - ------------------------------------------------------ --- Loading Deprecations ------------------------------------------------------ - -loadDeprecs :: Module -> IfaceDeprecs -> RnM d Deprecations -loadDeprecs m Nothing = returnRn NoDeprecs -loadDeprecs m (Just (Left txt)) = returnRn (DeprecAll txt) -loadDeprecs m (Just (Right prs)) = setModuleRn m $ - foldlRn loadDeprec emptyNameEnv prs `thenRn` \ env -> - returnRn (DeprecSome env) -loadDeprec deprec_env (n, txt) - = lookupOrigName n `thenRn` \ name -> - traceRn (text "Loaded deprecation(s) for" <+> ppr name <> colon <+> ppr txt) `thenRn_` - returnRn (extendNameEnv deprec_env name txt) -\end{code} - - -%********************************************************* -%* * -\subsection{Getting in a declaration} -%* * -%********************************************************* - -\begin{code} -importDecl :: Name -> RnMG ImportDeclResult - -data ImportDeclResult - = AlreadySlurped - | WiredIn - | Deferred - | HereItIs (Module, RdrNameHsDecl) - -importDecl name - = -- Check if it was loaded before beginning this module - checkAlreadyAvailable name `thenRn` \ done -> - if done then - returnRn AlreadySlurped - else - - -- Check if we slurped it in while compiling this module - getIfacesRn `thenRn` \ ifaces -> - if name `elemNameSet` iSlurp ifaces then - returnRn AlreadySlurped - else - - -- Don't slurp in decls from this module's own interface file - -- (Indeed, this shouldn't happen.) - if isLocallyDefined name then - addWarnRn (importDeclWarn name) `thenRn_` - returnRn AlreadySlurped - else - - -- When we find a wired-in name we must load its home - -- module so that we find any instance decls lurking therein - if name `elemNameEnv` wiredInThingEnv then - loadHomeInterface doc name `thenRn_` - returnRn WiredIn - - else getNonWiredInDecl name - where - doc = ptext SLIT("need home module for wired in thing") <+> ppr name - -getNonWiredInDecl :: Name -> RnMG ImportDeclResult -getNonWiredInDecl needed_name - = traceRn doc_str `thenRn_` - loadHomeInterface doc_str needed_name `thenRn` \ ifaces -> - case lookupNameEnv (iDecls ifaces) needed_name of - -{- OMIT DEFERRED STUFF FOR NOW, TILL GHCI WORKS - Just (version, avail, is_tycon_name, decl@(_, TyClD (TyData DataType _ _ _ _ ncons _ _ _ _))) - -- This case deals with deferred import of algebraic data types - - | not opt_NoPruneTyDecls - - && (opt_IgnoreIfacePragmas || ncons > 1) - -- We only defer if imported interface pragmas are ingored - -- or if it's not a product type. - -- Sole reason: The wrapper for a strict function may need to look - -- inside its arg, and hence need to see its arg type's constructors. - - && not (getUnique tycon_name `elem` cCallishTyKeys) - -- Never defer ccall types; we have to unbox them, - -- and importing them does no harm - - - -> -- OK, so we're importing a deferrable data type - if needed_name == tycon_name - -- The needed_name is the TyCon of a data type decl - -- Record that it's slurped, put it in the deferred set - -- and don't return a declaration at all - setIfacesRn (recordSlurp (ifaces {iDeferred = iDeferred ifaces - `addOneToNameSet` tycon_name}) - version (AvailTC needed_name [needed_name])) `thenRn_` - returnRn Deferred - - else - -- The needed name is a constructor of a data type decl, - -- getting a constructor, so remove the TyCon from the deferred set - -- (if it's there) and return the full declaration - setIfacesRn (recordSlurp (ifaces {iDeferred = iDeferred ifaces - `delFromNameSet` tycon_name}) - version avail) `thenRn_` - returnRn (HereItIs decl) - where - tycon_name = availName avail --} - - Just (avail,_,decl) - -> setIfacesRn (recordSlurp ifaces avail) `thenRn_` - returnRn (HereItIs decl) - - Nothing - -> addErrRn (getDeclErr needed_name) `thenRn_` - returnRn AlreadySlurped - where - doc_str = ptext SLIT("need decl for") <+> ppr needed_name - -{- OMIT FOR NOW -getDeferredDecls :: RnMG [(Module, RdrNameHsDecl)] -getDeferredDecls - = getIfacesRn `thenRn` \ ifaces -> - let - decls_map = iDecls ifaces - deferred_names = nameSetToList (iDeferred ifaces) - get_abstract_decl n = case lookupNameEnv decls_map n of - Just (_, _, _, decl) -> decl - in - traceRn (sep [text "getDeferredDecls", nest 4 (fsep (map ppr deferred_names))]) `thenRn_` - returnRn (map get_abstract_decl deferred_names) --} -\end{code} - -@getWiredInDecl@ maps a wired-in @Name@ to what it makes available. -It behaves exactly as if the wired in decl were actually in an interface file. -Specifically, -\begin{itemize} -\item if the wired-in name is a data type constructor or a data constructor, - it brings in the type constructor and all the data constructors; and - marks as ``occurrences'' any free vars of the data con. - -\item similarly for synonum type constructor - -\item if the wired-in name is another wired-in Id, it marks as ``occurrences'' - the free vars of the Id's type. - -\item it loads the interface file for the wired-in thing for the - sole purpose of making sure that its instance declarations are available -\end{itemize} -All this is necessary so that we know all types that are ``in play'', so -that we know just what instances to bring into scope. - - - - -%********************************************************* -%* * \subsection{Getting what a module exports} %* * %********************************************************* @@ -899,148 +356,273 @@ recordLocalSlurps local_avails %********************************************************* %* * -\subsection{Getting binders out of a declaration} +\subsection{Getting in a declaration} %* * %********************************************************* -@getDeclBinders@ returns the names for a @RdrNameHsDecl@. -It's used for both source code (from @availsFromDecl@) and interface files -(from @loadDecl@). +\begin{code} +importDecl :: Name -> RnMG ImportDeclResult -It doesn't deal with source-code specific things: @ValD@, @DefD@. They -are handled by the sourc-code specific stuff in @RnNames@. +data ImportDeclResult + = AlreadySlurped + | WiredIn + | Deferred + | HereItIs (Module, RdrNameHsDecl) -\begin{code} -getDeclBinders :: (RdrName -> SrcLoc -> RnM d Name) -- New-name function - -> RdrNameHsDecl - -> RnM d (Maybe AvailInfo) +importDecl name + = -- Check if it was loaded before beginning this module + checkAlreadyAvailable name `thenRn` \ done -> + if done then + returnRn AlreadySlurped + else + + -- Check if we slurped it in while compiling this module + getIfacesRn `thenRn` \ ifaces -> + if name `elemNameSet` iSlurp ifaces then + returnRn AlreadySlurped + else + + -- Don't slurp in decls from this module's own interface file + -- (Indeed, this shouldn't happen.) + if isLocallyDefined name then + addWarnRn (importDeclWarn name) `thenRn_` + returnRn AlreadySlurped + else + + -- When we find a wired-in name we must load its home + -- module so that we find any instance decls lurking therein + if name `elemNameEnv` wiredInThingEnv then + loadHomeInterface doc name `thenRn_` + returnRn WiredIn -getDeclBinders new_name (TyClD tycl_decl) - = mapRn do_one (tyClDeclNames tycl_decl) `thenRn` \ (main_name:sub_names) -> - returnRn (Just (AvailTC main_name (main_name : sub_names))) + else getNonWiredInDecl name where - do_one (name,loc) = new_name name loc - -getDeclBinders new_name (SigD (IfaceSig var ty prags src_loc)) - = new_name var src_loc `thenRn` \ var_name -> - returnRn (Just (Avail var_name)) - - -- foreign declarations -getDeclBinders new_name (ForD (ForeignDecl nm kind _ dyn _ loc)) - | binds_haskell_name kind dyn - = new_name nm loc `thenRn` \ name -> - returnRn (Just (Avail name)) - - | otherwise -- a foreign export - = lookupOrigName nm `thenRn_` - returnRn Nothing - -getDeclBinders new_name (FixD _) = returnRn Nothing -getDeclBinders new_name (DeprecD _) = returnRn Nothing -getDeclBinders new_name (DefD _) = returnRn Nothing -getDeclBinders new_name (InstD _) = returnRn Nothing -getDeclBinders new_name (RuleD _) = returnRn Nothing - -binds_haskell_name (FoImport _) _ = True -binds_haskell_name FoLabel _ = True -binds_haskell_name FoExport ext_nm = isDynamicExtName ext_nm -\end{code} + doc = ptext SLIT("need home module for wired in thing") <+> ppr name -@getDeclSysBinders@ gets the implicit binders introduced by a decl. -A the moment that's just the tycon and datacon that come with a class decl. -They aren't returned by @getDeclBinders@ because they aren't in scope; -but they {\em should} be put into the @DeclsMap@ of this module. +getNonWiredInDecl :: Name -> RnMG ImportDeclResult +getNonWiredInDecl needed_name + = traceRn doc_str `thenRn_` + loadHomeInterface doc_str needed_name `thenRn` \ ifaces -> + case lookupNameEnv (iDecls ifaces) needed_name of -Note that this excludes the default-method names of a class decl, -and the dict fun of an instance decl, because both of these have -bindings of their own elsewhere. +{- OMIT DEFERRED STUFF FOR NOW, TILL GHCI WORKS + Just (version, avail, is_tycon_name, decl@(_, TyClD (TyData DataType _ _ _ _ ncons _ _ _ _))) + -- This case deals with deferred import of algebraic data types -\begin{code} -getDeclSysBinders new_name (TyClD (ClassDecl _ cname _ _ sigs _ names src_loc)) - = sequenceRn [new_name n src_loc | n <- names] + | not opt_NoPruneTyDecls + + && (opt_IgnoreIfacePragmas || ncons > 1) + -- We only defer if imported interface pragmas are ingored + -- or if it's not a product type. + -- Sole reason: The wrapper for a strict function may need to look + -- inside its arg, and hence need to see its arg type's constructors. + + && not (getUnique tycon_name `elem` cCallishTyKeys) + -- Never defer ccall types; we have to unbox them, + -- and importing them does no harm -getDeclSysBinders new_name (TyClD (TyData _ _ _ _ cons _ _ _ _ _)) - = sequenceRn [new_name wkr_name src_loc | ConDecl _ wkr_name _ _ _ src_loc <- cons] -getDeclSysBinders new_name other_decl - = returnRn [] + -> -- OK, so we're importing a deferrable data type + if needed_name == tycon_name + -- The needed_name is the TyCon of a data type decl + -- Record that it's slurped, put it in the deferred set + -- and don't return a declaration at all + setIfacesRn (recordSlurp (ifaces {iDeferred = iDeferred ifaces + `addOneToNameSet` tycon_name}) + version (AvailTC needed_name [needed_name])) `thenRn_` + returnRn Deferred + + else + -- The needed name is a constructor of a data type decl, + -- getting a constructor, so remove the TyCon from the deferred set + -- (if it's there) and return the full declaration + setIfacesRn (recordSlurp (ifaces {iDeferred = iDeferred ifaces + `delFromNameSet` tycon_name}) + version avail) `thenRn_` + returnRn (HereItIs decl) + where + tycon_name = availName avail +-} + + Just (avail,_,decl) + -> setIfacesRn (recordSlurp ifaces avail) `thenRn_` + returnRn (HereItIs decl) + + Nothing + -> addErrRn (getDeclErr needed_name) `thenRn_` + returnRn AlreadySlurped + where + doc_str = ptext SLIT("need decl for") <+> ppr needed_name + +{- OMIT FOR NOW +getDeferredDecls :: RnMG [(Module, RdrNameHsDecl)] +getDeferredDecls + = getIfacesRn `thenRn` \ ifaces -> + let + decls_map = iDecls ifaces + deferred_names = nameSetToList (iDeferred ifaces) + get_abstract_decl n = case lookupNameEnv decls_map n of + Just (_, _, _, decl) -> decl + in + traceRn (sep [text "getDeferredDecls", nest 4 (fsep (map ppr deferred_names))]) `thenRn_` + returnRn (map get_abstract_decl deferred_names) +-} \end{code} -%********************************************************* +@getWiredInDecl@ maps a wired-in @Name@ to what it makes available. +It behaves exactly as if the wired in decl were actually in an interface file. +Specifically, +\begin{itemize} +\item if the wired-in name is a data type constructor or a data constructor, + it brings in the type constructor and all the data constructors; and + marks as ``occurrences'' any free vars of the data con. + +\item similarly for synonum type constructor + +\item if the wired-in name is another wired-in Id, it marks as ``occurrences'' + the free vars of the Id's type. + +\item it loads the interface file for the wired-in thing for the + sole purpose of making sure that its instance declarations are available +\end{itemize} +All this is necessary so that we know all types that are ``in play'', so +that we know just what instances to bring into scope. + + +%******************************************************** %* * -\subsection{Reading an interface file} +\subsection{Checking usage information} %* * -%********************************************************* +%******************************************************** \begin{code} -findAndReadIface :: SDoc -> ModuleName - -> IsBootInterface -- True <=> Look for a .hi-boot file - -- False <=> Look for .hi file - -> RnM d (Either Message (Module, ParsedIface)) - -- Nothing <=> file not found, or unreadable, or illegible - -- Just x <=> successfully found and parsed - -findAndReadIface doc_str mod_name hi_boot_file - = traceRn trace_msg `thenRn_` - -- we keep two maps for interface files, - -- one for 'normal' ones, the other for .hi-boot files, - -- hence the need to signal which kind we're interested. - - getFinderRn `thenRn` \ finder -> - ioToRnM (finder mod_name) `thenRn` \ maybe_found -> - - case maybe_found of - Right (Just (mod,locn)) - | hi_boot_file -> readIface mod (hi_file locn ++ "-hi-boot") - | otherwise -> readIface mod (hi_file locn) - - -- Can't find it - other -> traceRn (ptext SLIT("...not found")) `thenRn_` - returnRn (Left (noIfaceErr mod_name hi_boot_file)) +type RecompileRequired = Bool +upToDate = False -- Recompile not required +outOfDate = True -- Recompile required + +recompileRequired :: Module -> Bool -> Maybe ModIface -> RnMG RecompileRequired +recompileRequired mod source_unchanged maybe_iface + = traceRn (text "Considering whether compilation is required for" <+> ppr mod <> colon) `thenRn_` + + -- CHECK WHETHER THE SOURCE HAS CHANGED + if not source_unchanged then + traceRn (nest 4 (text "Source file changed or recompilation check turned off")) `thenRn_` + returnRn outOfDate + else - where - trace_msg = sep [hsep [ptext SLIT("Reading"), - if hi_boot_file then ptext SLIT("[boot]") else empty, - ptext SLIT("interface for"), - ppr mod_name <> semi], - nest 4 (ptext SLIT("reason:") <+> doc_str)] + -- CHECK WHETHER WE HAVE AN OLD IFACE + case maybe_iface of + Nothing -> traceRn (nest 4 (ptext SLIT("No old interface file"))) `thenRn_` + returnRn outOfDate ; + + Just iface -> -- Source code unchanged and no errors yet... carry on + getHomeIfaceTableRn `thenRn` \ hit -> + checkList [checkModUsage hit u | u <- mi_usages iface] + +checkList :: [RnMG RecompileRequired] -> RnMG RecompileRequired +checkList [] = returnRn upToDate +checkList (check:checks) = check `thenRn` \ recompile -> + if recompile then + returnRn outOfDate + else + checkList checks \end{code} + +\begin{code} +checkModUsage :: HomeIfaceTable -> ImportVersion Name -> RnMG RecompileRequired +-- Given the usage information extracted from the old +-- M.hi file for the module being compiled, figure out +-- whether M needs to be recompiled. + +checkModUsage hit (mod_name, _, _, NothingAtAll) + -- If CurrentModule.hi contains + -- import Foo :: ; + -- then that simply records that Foo lies below CurrentModule in the + -- hierarchy, but CurrentModule doesn't depend in any way on Foo. + -- In this case we don't even want to open Foo's interface. + = up_to_date (ptext SLIT("Nothing used from:") <+> ppr mod_name) + +checkModUsage hit (mod_name, _, _, whats_imported) + = tryLoadInterface doc_str mod_name ImportBySystem `thenRn` \ (ifaces, maybe_err) -> + case maybe_err of { + Just err -> out_of_date (sep [ptext SLIT("Can't find version number for module"), + ppr mod_name]) ; + -- Couldn't find or parse a module mentioned in the + -- old interface file. Don't complain -- it might just be that + -- the current module doesn't need that import and it's been deleted + + Nothing -> + let + mod_details = lookupTableByModName hit (iPIT ifaces) mod_name + `orElse` panic "checkModUsage" + new_vers = mi_version mod_details + new_decl_vers = vers_decls new_vers + in + case whats_imported of { -- NothingAtAll dealt with earlier -@readIface@ tries just the one file. + Everything old_mod_vers -> checkModuleVersion old_mod_vers new_vers `thenRn` \ recompile -> + if recompile then + out_of_date (ptext SLIT("...and I needed the whole module")) + else + returnRn upToDate ; -\begin{code} -readIface :: Module -> String -> RnM d (Either Message (Module, ParsedIface)) - -- Nothing <=> file not found, or unreadable, or illegible - -- Just x <=> successfully found and parsed -readIface wanted_mod file_path - = traceRn (ptext SLIT("...reading from") <+> text file_path) `thenRn_` - ioToRnM (hGetStringBuffer False file_path) `thenRn` \ read_result -> - case read_result of - Right contents -> - case parseIface contents - PState{ bol = 0#, atbol = 1#, - context = [], - glasgow_exts = 1#, - loc = mkSrcLoc (mkFastString file_path) 1 } of - POk _ (PIface iface) -> - warnCheckRn (wanted_mod == read_mod) - (hiModuleNameMismatchWarn wanted_mod read_mod) `thenRn_` - returnRn (Right (wanted_mod, iface)) - where - read_mod = pi_mod iface - - PFailed err -> bale_out err - parse_result -> bale_out empty - -- This last case can happen if the interface file is (say) empty - -- in which case the parser thinks it looks like an IdInfo or - -- something like that. Just an artefact of the fact that the - -- parser is used for several purposes at once. - - Left io_err -> bale_out (text (show io_err)) + Specifically old_mod_vers maybe_old_export_vers old_decl_vers old_rule_vers -> + + -- CHECK MODULE + checkModuleVersion old_mod_vers new_vers `thenRn` \ recompile -> + if not recompile then + returnRn upToDate + else + + -- CHECK EXPORT LIST + if checkExportList maybe_old_export_vers new_vers then + out_of_date (ptext SLIT("Export list changed")) + else + + -- CHECK RULES + if old_rule_vers /= vers_rules new_vers then + out_of_date (ptext SLIT("Rules changed")) + else + + -- CHECK ITEMS ONE BY ONE + checkList [checkEntityUsage new_decl_vers u | u <- old_decl_vers] `thenRn` \ recompile -> + if recompile then + returnRn outOfDate -- This one failed, so just bail out now + else + up_to_date (ptext SLIT("...but the bits I use haven't.")) + + }} where - bale_out err = returnRn (Left (badIfaceFile file_path err)) + doc_str = sep [ptext SLIT("need version info for"), ppr mod_name] + +------------------------ +checkModuleVersion old_mod_vers new_vers + | vers_module new_vers == old_mod_vers + = up_to_date (ptext SLIT("Module version unchanged")) + + | otherwise + = out_of_date (ptext SLIT("Module version has changed")) + +------------------------ +checkExportList Nothing new_vers = upToDate +checkExportList (Just v) new_vers = v /= vers_exports new_vers + +------------------------ +checkEntityUsage new_vers (name,old_vers) + = case lookupNameEnv new_vers name of + + Nothing -> -- We used it before, but it ain't there now + out_of_date (sep [ptext SLIT("No longer exported:"), ppr name]) + + Just new_vers -- It's there, but is it up to date? + | new_vers == old_vers -> returnRn upToDate + | otherwise -> out_of_date (sep [ptext SLIT("Out of date:"), ppr name]) + +up_to_date msg = traceRn msg `thenRn_` returnRn upToDate +out_of_date msg = traceRn msg `thenRn_` returnRn outOfDate \end{code} + %********************************************************* %* * \subsection{Errors} @@ -1048,16 +630,6 @@ readIface wanted_mod file_path %********************************************************* \begin{code} -noIfaceErr mod_name boot_file - = ptext SLIT("Could not find interface file for") <+> quotes (ppr mod_name) - -- We used to print the search path, but we can't do that - -- now, becuase it's hidden inside the finder. - -- Maybe the finder should expose more functions. - -badIfaceFile file err - = vcat [ptext SLIT("Bad interface file:") <+> text file, - nest 4 err] - getDeclErr name = vcat [ptext SLIT("Failed to find interface decl for") <+> quotes (ppr name), ptext SLIT("from module") <+> quotes (ppr (nameModule name)) @@ -1070,17 +642,4 @@ importDeclWarn name "(possible cause: module name clashes with interface file already in scope.)") ] $$ hsep [ptext SLIT("name:"), quotes (ppr name)] - -warnRedundantSourceImport mod_name - = ptext SLIT("Unnecessary {- SOURCE -} in the import of module") - <+> quotes (ppr mod_name) - -hiModuleNameMismatchWarn :: Module -> Module -> Message -hiModuleNameMismatchWarn requested_mod read_mod = - hsep [ ptext SLIT("Something is amiss; requested module name") - , ppr (moduleName requested_mod) - , ptext SLIT("differs from name found in the interface file") - , ppr read_mod - ] - \end{code} diff --git a/ghc/compiler/rename/RnNames.lhs b/ghc/compiler/rename/RnNames.lhs index 9a61325..1b02331 100644 --- a/ghc/compiler/rename/RnNames.lhs +++ b/ghc/compiler/rename/RnNames.lhs @@ -18,8 +18,8 @@ import HsSyn ( HsModule(..), HsDecl(..), IE(..), ieName, ImportDecl(..), import RdrHsSyn ( RdrNameIE, RdrNameImportDecl, RdrNameHsModule, RdrNameHsDecl ) -import RnIfaces ( getInterfaceExports, getDeclBinders, - recordLocalSlurps ) +import RnIfaces ( getInterfaceExports, recordLocalSlurps ) +import RnHiFiles ( getDeclBinders ) import RnEnv import RnMonad -- 1.7.10.4