[project @ 2001-05-09 13:48:18 by simonmar]
authorsimonmar <unknown>
Wed, 9 May 2001 13:48:18 +0000 (13:48 +0000)
committersimonmar <unknown>
Wed, 9 May 2001 13:48:18 +0000 (13:48 +0000)
commitb16f846dfa03b4570eaa7d0ce18f0f7c3d9ee015
treef6945b4fd0c716459b8ef24ecb1a0a4eacce48cf
parentdda636ede142f7f2c82090479cc1b44bb8a6871f
[project @ 2001-05-09 13:48:18 by simonmar]
Add test for pattern parse errors, in particular getting the line
number right (4.08.2 didn't).
ghc/tests/reader/should_fail/read017.hs [new file with mode: 0644]
ghc/tests/reader/should_fail/read017.stderr [new file with mode: 0644]