Mention that the module sub-directory structure for .o and .hi files is created autom...
[ghc-hetmet.git] / rts / RtsSignals.h
2006-04-07 Simon MarlowReorganisation of the source tree