From: adam Date: Fri, 22 Sep 2006 03:41:28 +0000 (+0100) Subject: checkpoint X-Git-Tag: mpar_demo_release~42 X-Git-Url: http://git.megacz.com/?p=slipway.git;a=commitdiff_plain;h=5a91bf9a6e633c2ee544aa414ed54702b409883f checkpoint --- diff --git a/bitstreams/slipway_drone.bst b/bitstreams/slipway_drone.bst index 6b7181d..391d754 100644 --- a/bitstreams/slipway_drone.bst +++ b/bitstreams/slipway_drone.bst @@ -4,8 +4,8 @@ 00000000 10000000 00001101 -00000010 -00011001 +00000001 +11111101 00000000 00000000 11010100 @@ -163,8 +163,8 @@ 00001000 10000111 10110000 -11100010 -11110000 +11101010 +11111111 00000010 00000101 00001101 @@ -1828,13 +1828,13 @@ 01000000 00001000 10001111 -01000000 +10000000 01100010 -01010000 +10010000 01100011 -01100000 +10100000 01100100 -01110000 +10110000 01100101 01000001 00001000 @@ -1843,62 +1843,61 @@ 00001000 10000111 00111111 -01001110 -01011111 -01101111 -01111111 -01111010 -10001011 -01000000 +00000010 +10100001 +10110001 +10000000 +01100010 +10010000 +01100011 01000001 00001000 10001000 01000001 00001000 10001111 -01100010 -01010000 -01100011 -01100000 +10100000 01100100 -01110000 +10110000 01100101 00111111 +00100000 +01101100 +00110000 01000010 00001000 10000000 01000010 00001000 10000111 -00111011 -00100000 -01101100 -00110000 01101101 10000000 01110000 10010000 +01110001 +10000010 +10010011 +00001001 01000010 00001000 10001000 01000010 00001000 10001111 -01110001 -10000010 -10010011 -00001001 -11011101 -10000000 01101100 -10010000 +11100000 +01101100 +11110000 +01101101 +11101110 +11111011 +11100000 01000011 00001000 10000000 01000011 00001000 10000111 -01101101 10000000 01101100 10010000 @@ -1906,13 +1905,13 @@ 00000001 00100100 10000000 +10010010 01000011 00001000 10001000 01000011 00001000 10001111 -10010010 00010100 10000000 10010000 @@ -1920,13 +1919,13 @@ 01101101 10000000 01101100 +00100000 01000100 00001000 10000000 01000100 00001000 10000111 -00100000 01110000 00110000 01110001 @@ -1934,15 +1933,16 @@ 01101100 10010000 01101101 +00101000 01000100 00001000 10001000 01000100 00001000 10001111 -00101000 00111001 -11001001 +00001001 +01110010 00100000 01110000 00110000 @@ -1960,7 +1960,7 @@ 00101000 00111001 00001100 -11010001 +01011000 10000000 01000101 00001000 @@ -1985,35 +1985,35 @@ 10010011 10000000 10010010 -01101100 -01001111 -01011111 -01101111 -01111111 +00001100 +01011000 +00111011 +01011001 +00111011 01000110 00001000 10001000 01000110 00001000 10001111 -01000000 -01100010 -01010000 -01100011 -01100000 -01100100 -01110000 -01100101 +11111111 +11100111 +11110000 +11100001 +00001001 +01011001 +11100010 +00001001 01000111 00001000 10000000 01000111 00001000 10000111 -00111111 -00111011 -10110011 -00111011 +00111100 +11101110 +00001001 +10101001 00100000 01101110 00110000 @@ -2087,7 +2087,7 @@ 10000000 01101110 00111010 -10010111 +01001000 01001010 00001000 10000000 @@ -2169,7 +2169,7 @@ 10000000 01101110 00111010 -01101011 +00011000 00111010 01010101 01001101 @@ -2251,7 +2251,7 @@ 10000000 01101110 00111010 -00111111 +11101000 00111010 01010101 00100000 @@ -2333,7 +2333,7 @@ 00001000 10001111 00111010 -00010011 +10111000 00111010 01010101 00100000 @@ -2409,7 +2409,7 @@ 10000000 01101110 00111010 -11100111 +10001000 01010101 00001000 10001000 @@ -2477,8 +2477,8 @@ 00100100 10000000 10010010 -00001100 -10000001 +00010100 +10000000 10010000 01011000 00001000 @@ -2486,68 +2486,68 @@ 01011000 00001000 10000111 +10010000 01101111 10000000 01101110 00111010 -10000011 +01010110 00111010 01010101 -00100000 01011000 00001000 10001000 01011000 00001000 10001111 +00111011 +00100000 01101100 00110000 01101101 10000000 01110000 10010000 -01110001 -10000010 01011001 00001000 10000000 01011001 00001000 10000111 +01110001 +10000010 10010011 00001001 -10101110 -11100000 +10010100 +00111111 +00100000 01101100 -11110000 -01101101 -11101110 01011001 00001000 10001000 01011001 00001000 10001111 -11111011 -11100000 +00110000 +01101101 10000000 -01101100 +01110000 10010000 -01101101 -00000001 -00100100 +01110001 +10000010 +10010011 01011010 00001000 10000000 01011010 00001000 10000111 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 +00001001 +10000111 +00111111 +00100000 +01101100 +00110000 01101101 10000000 01011010 @@ -2556,714 +2556,714 @@ 01011010 00001000 10001111 -01101100 -00100000 01110000 -00110000 +10010000 01110001 +10000010 +10010011 +00111001 +01111010 10000000 -01101100 -10010000 01011011 00001000 10000000 01011011 00001000 10000111 -01101101 -00101000 -00111001 -00001001 -10110100 -00100000 01110000 +10010000 +01110001 +00100000 +01101100 00110000 +01101101 +10000010 01011011 00001000 10001000 01011011 00001000 10001111 -01110001 -10000000 -01101100 -10010000 -01101101 -00101000 -00111001 +10010011 +10000001 +10010010 00001100 +10101000 +00111111 +00111011 +10100111 01011100 00001000 10000000 01011100 00001000 10000111 -10011010 -10000000 -01101100 -10010000 -01101101 +00111011 +11111111 +11100111 +11110000 +11100001 +00001001 +10100111 00100000 -01110000 -00110000 01011100 00001000 10001000 01011100 00001000 10001111 -01110001 -10000010 -10010011 +01101100 +00110000 +01101101 10000000 -10010010 -00001100 -10011010 -00111011 +01110000 +10010000 +01110001 +00101000 01011101 00001000 10000000 01011101 00001000 10000111 -10011011 -00111011 -11111111 -11100111 -11110000 -11100001 +00111001 00001001 -10011011 +01000000 +11100000 +01101100 +11110000 +01101101 +11101110 01011101 00001000 10001000 01011101 00001000 10001111 -11100010 -00001001 -10101100 -01000100 -00100000 -01101110 -00110000 -01101111 -01011110 -00001000 +11111011 +11100000 10000000 -01011110 -00001000 +01101100 +10010000 +01101101 +00000001 +00100100 +01011110 +00001000 +10000000 +01011110 +00001000 10000111 -00101111 -00111111 -10000100 -00100000 -00111000 +10000000 +10010010 00010100 -00100000 -00110000 +10000000 +10010000 +10010000 +01101101 +10000000 01011110 00001000 10001000 01011110 00001000 10001111 +01101100 +00100000 +01110000 +00110000 +01110001 10000000 -01110010 +01101100 10010000 -01110011 -00101000 -00111001 -01101001 -11100000 01011111 00001000 10000000 01011111 00001000 10000111 -01101110 -11110000 -01101111 -11100000 -11111111 -01000000 -10000000 -01101110 +01101101 +00101000 +00111001 +00001001 +10110011 +00100000 +01110000 +00110000 01011111 00001000 10001000 01011111 00001000 10001111 -10010000 -01101111 -00000001 -00100100 +01110001 10000000 -10010010 +01101100 +10010000 +01101101 +00101000 +00111001 00001100 -01111111 01100000 00001000 10000000 01100000 00001000 10000111 +10011001 10000000 +01101100 10010000 -10010000 -01101111 -10000000 -01101110 -00111010 -01111101 +01101101 +00100000 +01110000 +00110000 01100000 00001000 10001000 01100000 00001000 10001111 -00111010 -01111100 -00001000 -11100001 -11110001 -00000001 -00010001 -00111111 +01110001 +10000010 +10010011 +10000000 +10010010 +00001100 +10011001 +00111011 01100001 00001000 10000000 01100001 00001000 10000111 +10011010 +00111011 +10101110 +10111011 +10100111 +10110000 00100000 01101100 -00110000 -01101101 -10000000 -01110000 -10010000 -01110001 01100001 00001000 10001000 01100001 00001000 10001111 -10000010 -10010011 -01111001 -10111000 -10100111 -11100000 -01100010 -11110000 +00110000 +01101101 +10000000 +01110000 +10010000 +01110001 +00101000 +00111001 01100010 00001000 10000000 01100010 00001000 10000111 -01100011 -00000000 -01100100 -00010000 -01100101 -00000111 -00111011 -01001100 +00001001 +00001011 +11100000 +01101100 +11110000 +01101101 +11101110 +11111011 01100010 00001000 10001000 01100010 00001000 10001111 +11100000 10000000 -01110000 -10010000 -01110001 -00100000 01101100 -00110000 +10010000 01101101 +00000001 +00100100 +10000000 01100011 00001000 10000000 01100011 00001000 10000111 -10000010 -10010011 -10000001 10010010 -00001100 -00101110 -00111010 -00111010 +00010100 +10000000 +10010000 +10010000 +01101101 +10000000 +01101100 01100011 00001000 10001000 01100011 00001000 10001111 -01101000 -00111010 -10010100 -00111010 -11000000 -00111010 -11101100 -00111010 +00100000 +01110000 +00110000 +01110001 +10000000 +01101100 +10010000 +01101101 01100100 00001000 10000000 01100100 00001000 10000111 -00011000 -00111111 +00101000 +00111001 +00001001 +01010001 00100000 -01101100 -00110000 -01101101 -10000000 01110000 +00110000 +01110001 01100100 00001000 10001000 01100100 00001000 10001111 +10000000 +01101100 10010000 -01110001 +01101101 00101000 00111001 -00001001 -01000101 -00111111 -00100000 +00001100 +00110111 01100101 00001000 10000000 01100101 00001000 10000111 +10000000 01101100 -00110000 +10010000 01101101 -10000000 +00100000 01110000 -10010000 +00110000 01110001 -00101000 01100101 00001000 10001000 01100101 00001000 10001111 -00111001 -00111001 -00111000 +10000010 +10010011 10000000 -01110000 -10010000 -01110001 -00100000 +10010010 +00001100 +00110111 +00111011 +00111000 01100110 00001000 10000000 01100110 00001000 10000111 +00111011 +01101110 +01110111 +01100111 +01110000 +00100000 01101100 00110000 -01101101 -10000010 -10010011 -10000001 -10010010 -00001100 01100110 00001000 10001000 01100110 00001000 10001111 -01100110 -00111111 -00111011 -01100101 -00111011 -11111111 -11100111 -11110000 +01101101 +10000000 +01110000 +10010000 +01110001 +10000010 +10010011 +00001001 01100111 00001000 10000000 01100111 00001000 10000111 -11100001 -00001001 -01100101 -00100000 +11010110 +11100000 01101100 -00110000 +11110000 01101101 -10000000 +11101110 +11111011 +11100000 01100111 00001000 10001000 01100111 00001000 10001111 -01110000 +10000000 +01101100 10010000 -01110001 -10000010 -10010011 -00001001 -01000000 -11100000 +01101101 +00000001 +00100100 +10000000 +10010010 01101000 00001000 10000000 01101000 00001000 10000111 -01101100 -11110000 +00010100 +10000000 +10010000 +10010000 01101101 -11101110 -11111011 -11100000 10000000 01101100 +00100000 01101000 00001000 10001000 01101000 00001000 10001111 +01110000 +00110000 +01110001 +10000000 +01101100 10010000 01101101 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 +00101000 01101001 00001000 10000000 01101001 00001000 10000111 -10010000 -10010000 -01101101 -10000000 -01101100 +00111001 +00001001 +11101111 00100000 01110000 00110000 +01110001 +10000000 01101001 00001000 10001000 01101001 00001000 10001111 -01110001 -10000000 01101100 10010000 01101101 00101000 00111001 -00001001 +00001100 +11010101 +10000000 01101010 00001000 10000000 01101010 00001000 10000111 -10110011 +01101100 +10010000 +01101101 00100000 01110000 00110000 01110001 -10000000 -01101100 -10010000 +10000010 01101010 00001000 10001000 01101010 00001000 10001111 -01101101 -00101000 -00111001 -00001100 -10011001 +10010011 10000000 -01101100 -10010000 +10010010 +00001100 +11010101 +00111011 +11010110 +00111011 01101011 00001000 10000000 01101011 00001000 10000111 -01101101 +01001110 +01010101 +01000111 +01010000 00100000 -01110000 +01101100 00110000 -01110001 -10000010 -10010011 -10000000 +01101101 01101011 00001000 10001000 01101011 00001000 10001111 -10010010 -00001100 -10011001 -00111011 -10011010 -00111011 -10101110 -10111011 +10000000 +01110000 +10010000 +01110001 +10000010 +10010011 +01100001 +00111111 01101100 00001000 10000000 01101100 00001000 10000111 -10100111 -10110000 00100000 01101100 00110000 01101101 10000000 01110000 +10010000 +01110001 01101100 00001000 10001000 01101100 00001000 10001111 -10010000 -01110001 10000010 10010011 -00001001 -00001011 +10100001 11100000 01101100 +11110000 +01101101 +11101110 01101101 00001000 10000000 01101101 00001000 10000111 -11110000 -01101101 -11101110 11111011 11100000 10000000 01101100 10010000 01101101 +00000001 +00100100 +01101101 00001000 10001000 01101101 00001000 10001111 -01101101 -00000001 -00100100 10000000 10010010 00010100 10000000 10010000 +10010000 +01101101 +10000000 01101110 00001000 10000000 01101110 00001000 10000111 -10010000 -01101101 -10000000 01101100 00100000 01110000 00110000 01110001 +10000000 +01101100 +10010000 01101110 00001000 10001000 01101110 00001000 10001111 -10000000 -01101100 -10010000 01101101 00101000 00111001 00001001 -00111110 +10000010 +00100000 +01110000 +00110000 01101111 00001000 10000000 01101111 00001000 10000111 -00100000 -01110000 -00110000 01110001 10000000 01101100 10010000 01101101 +00101000 +00111001 +00001100 01101111 00001000 10001000 01101111 00001000 10001111 -00101000 -00111001 -00001100 -00100100 +01101000 10000000 01101100 10010000 01101101 +00100000 +01110000 +00110000 01110000 00001000 10000000 01110000 00001000 10000111 -00100000 -01110000 -00110000 01110001 10000010 10010011 10000000 10010010 +00001100 +01101000 +00111011 01110000 00001000 10001000 01110000 00001000 10001111 -00001100 -00100100 -00111011 -00100101 +01101001 00111011 -01101110 -01110111 -01100111 +01001000 +01101001 +10101010 +10001110 +10011001 +10000111 01110001 00001000 10000000 01110001 00001000 10000111 -01110000 +10010000 +10001011 +00001010 +00111111 00100000 01101100 00110000 01101101 -10000000 -01110000 -10010000 01110001 00001000 10001000 01110001 00001000 10001111 +10000000 +01110000 +10010000 01110001 00101000 00111001 00001001 -11010110 -11100000 -01101100 -11110000 +10110011 01110010 00001000 10000000 01110010 00001000 10000111 +00111111 +00100000 +01101100 +00110000 01101101 -11101110 -11111011 -11100000 10000000 -01101100 +01110000 10010000 -01101101 01110010 00001000 10001000 01110010 00001000 10001111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 +01110001 +00101000 +00111001 +00111001 +10100110 +00111111 +00100000 +01101100 01110011 00001000 10000000 01110011 00001000 10000111 +00110000 01101101 10000000 -01101100 -00100000 01110000 -00110000 +10010000 01110001 -10000000 +00101000 +00111001 01110011 00001000 10001000 01110011 00001000 10001111 -01101100 -10010000 -01101101 -00101000 -00111001 00001001 -00000010 +11101000 +00111111 00100000 +01101100 +00110000 +01101101 +10000000 01110100 00001000 10000000 @@ -3271,124 +3271,124 @@ 00001000 10000111 01110000 -00110000 -01110001 -10000000 -01101100 10010000 -01101101 +01110001 00101000 +00111001 +00111001 +11011011 +00111111 01110100 00001000 10001000 01110100 00001000 10001111 -00111001 -00001100 -11101000 -10000000 +00100000 01101100 -10010000 +00110000 01101101 -00100000 +10000000 +01110000 +10010000 +01110001 01110101 00001000 10000000 01110101 00001000 10000111 -01110000 -00110000 -01110001 10000010 10010011 -10000000 -10010010 -00001100 +00001001 +00011101 +00111111 +00100000 +01101100 +00110000 01110101 00001000 10001000 01110101 00001000 10001111 -11101000 -00111011 -11101001 -00111011 -01001110 -01010101 -01000111 -01010000 +01101101 +10000000 +01110000 +10010000 +01110001 +10000010 +10010011 +00111001 01110110 00001000 10000000 01110110 00001000 10000111 -00100000 -01101100 -00110000 -01101101 +00010000 10000000 01110000 10010000 01110001 +00100000 +01101100 +00110000 01110110 00001000 10001000 01110110 00001000 10001111 -00101000 -00111001 -01100001 -00111111 -00100000 -01101100 -00110000 01101101 +10000010 +10010011 +10000001 +10010010 +00001100 +10011000 +00111111 01110111 00001000 10000000 01110111 00001000 10000111 +00111011 +10010111 +00111011 +10010110 10000000 01110000 10010000 01110001 -10000010 -10010011 -10100001 -11100000 01110111 00001000 10001000 01110111 00001000 10001111 +00100000 01101100 -11110000 +00110000 01101101 -11101110 -11111011 -11100000 -10000000 -01101100 +10000010 +10010011 +10000001 +10010010 01111000 00001000 10000000 01111000 00001000 10000111 -10010000 -01101101 -00000001 -00100100 -10000000 -10010010 -00010100 +00001100 +00101011 +00111111 +00111011 +00101010 +00111011 +00101001 10000000 01111000 00001000 @@ -3396,371 +3396,179 @@ 01111000 00001000 10001111 +01110000 10010000 -10010000 -01101101 -10000000 -01101100 +01110001 00100000 -01110000 +01101100 00110000 +01101101 +10000010 01111001 00001000 10000000 01111001 00001000 10000111 -01110001 -10000000 -01101100 -10010000 -01101101 -00101000 -00111001 -00001001 +10010011 +10000001 +10010010 +00001100 +11001001 +00111111 +00111011 +11001000 01111001 00001000 10001000 01111001 00001000 10001111 -10000010 -00100000 +00111011 +11000111 +10000000 01110000 -00110000 +10010000 01110001 -10000000 +00100000 01101100 -10010000 01111010 00001000 10000000 01111010 00001000 10000111 +00110000 01101101 -00101000 -00111001 +10000010 +10010011 +10000001 +10010010 00001100 -01101000 -10000000 -01101100 -10010000 +01100111 01111010 00001000 10001000 01111010 00001000 10001111 -01101101 +00111111 +00111011 +01100110 +00111011 +01100101 +01000100 00100000 -01110000 -00110000 -01110001 -10000010 -10010011 -10000000 +01101110 01111011 00001000 10000000 01111011 00001000 10000111 -10010010 -00001100 -01101000 -00111011 -01101001 -00111011 -01001000 -01101001 +00110000 +01101111 +00101111 +00111111 +10000100 +00100000 +00111000 +00010100 01111011 00001000 10001000 01111011 00001000 10001111 -10101010 -10001110 -10011001 -10000111 +00100000 +00110000 +10000000 +01110010 10010000 -10001011 -11001000 -00111111 +01110011 +00101000 +00111001 01111100 00001000 10000000 01111100 00001000 10000111 -00100000 -01101100 -00110000 -01101101 -10000000 -01110000 -10010000 -01110001 +01101001 +11100000 +01101110 +11110000 +01101111 +11100000 +11111111 +01000000 01111100 00001000 10001000 01111100 00001000 10001111 -00101000 -00111001 -00001001 -10110011 -00111111 -00100000 -01101100 -00110000 +10000000 +01101110 +10010000 +01101111 +00000001 +00100100 +10000000 +10010010 01111101 00001000 10000000 01111101 00001000 10000111 -01101101 +00010100 10000000 -01110000 10010000 -01110001 -00101000 -00111001 -00111001 +10010000 +01101111 +10000000 +01101110 +00111010 01111101 00001000 10001000 01111101 00001000 10001111 -10100110 -00111111 -00100000 -01101100 -00110000 -01101101 -10000000 -01110000 +00010001 +00111010 +01010101 +01000001 +00111010 +01010101 +00111011 +10101001 01111110 00001000 10000000 01111110 00001000 10000111 -10010000 -01110001 -10000010 -10010011 -00001001 -11101000 -00111111 -00100000 -01111110 -00001000 -10001000 -01111110 -00001000 -10001111 -01101100 -00110000 -01101101 -10000000 -01110000 -10010000 -01110001 -10000010 -01111111 -00001000 -10000000 -01111111 -00001000 -10000111 -10010011 -00111001 -11011011 -00111111 -00100000 -01101100 -00110000 -01101101 -01111111 +00111010 +01110111 +00111010 +01000111 +00111010 +00010111 +00111010 +11100111 +01111110 00001000 10001000 -01111111 +01111110 00001000 -10001111 -10000000 -01110000 -10010000 -01110001 -10000010 -10010011 -00001001 -00011101 -00000000 -00000111 -10000000 -00000000 -00000111 -10000111 -00111111 -00100000 -01101100 -00110000 -01101101 -10000000 -01110000 -10010000 -00000000 -00000111 -10001000 -00000000 -00000111 -10001111 -01110001 -10000010 -10010011 -00111001 -00010000 -10000000 -01110000 -10010000 -00000001 -00000111 -10000000 -00000001 -00000111 -10000111 -01110001 -00100000 -01101100 -00110000 -01101101 -10000010 -10010011 -10000001 -00000001 -00000111 -10001000 -00000001 -00000111 -10001111 -10010010 -00001100 -10011000 -00111111 -00111011 -10010111 -00111011 -10010110 -00000010 -00000111 -10000000 -00000010 -00000111 -10000111 -10000000 -01110000 -10010000 -01110001 -00100000 -01101100 -00110000 -01101101 -00000010 -00000111 -10001000 -00000010 -00000111 -10001111 -10000010 -10010011 -10000001 -10010010 -00001100 -11011100 -00111111 -00111011 -00000011 -00000111 -10000000 -00000011 -00000111 -10000111 -11011011 -00111011 -11011010 -10000000 -01110000 -10010000 -01110001 -00100000 -00000011 -00000111 -10001000 -00000011 -00000111 -10001111 -01101100 -00110000 -01101101 -10000010 -10010011 -10000001 -10010010 -00001100 -00000100 -00000111 -10000000 -00000100 -00000111 -10000111 -00011000 -00111111 -00111011 -00010111 -00111011 -00010110 -10000000 -01110000 -00000100 -00000111 -10001000 -00000100 -00000111 -10001111 -10010000 -01110001 -00100000 -01101100 +10001100 +00111010 +10110111 +00111010 +01010101 00110000 -01101101 -10000010 -10010011 -00000101 -00000111 -10000000 -00000101 -00000111 -10000111 -10000001 -10010010 -00001100 -01100111 -00111111 -00111011 -01100110 -00111011 -00000101 -00000111 -10001000 -00000101 -00000111 -10001000 -01100101 10000000 00001000 10000000 @@ -3908,8 +3716,8 @@ 00001000 10000111 11100000 -11101011 -11100001 +11101101 +11100000 11000000 10010000 10010010 @@ -5588,62 +5396,61 @@ 00001000 10000111 10011010 -01011111 -01001111 -01001111 -01001111 -00000001 -00000001 +10010110 +00011101 +00011101 +10010011 +00000000 10010011 +00000000 11000001 00001000 10001000 11000001 00001000 10001111 -00000000 -10010011 -00000000 10010011 00000000 10010011 00000000 10011000 +10010001 +00000000 +10010001 11000010 00001000 10000000 11000010 00001000 10000111 -10011010 -10010001 -00000000 -10010001 00000000 10010001 00000100 10010001 +00000100 +00010111 +00000111 +11110100 11000010 00001000 10001000 11000010 00001000 10001111 -00000100 -00010111 -00000111 -11110100 11000001 10010001 00000000 10010001 +00000000 +01011000 +01001111 +10000001 11000011 00001000 10000000 11000011 00001000 10000111 -00000000 10010001 00000000 10010001 @@ -5651,13 +5458,13 @@ 10010110 11100000 00110000 +00000111 11000011 00001000 10001000 11000011 00001000 10001111 -00000111 11110000 11100000 11100000 @@ -5665,13 +5472,13 @@ 00000000 10010011 00000000 +10010001 11000100 00001000 10000000 11000100 00001000 10000111 -10010001 00000100 10010001 00000100 @@ -5679,15 +5486,16 @@ 00000000 10010001 00000000 +00010111 11000100 00001000 10001000 11000100 00001000 10001111 -00010111 00000111 -11110000 +11110100 +11000001 10010001 00000100 10010001 @@ -5731,34 +5539,34 @@ 01010000 01000000 11110000 -01011111 -01001111 -01001111 -01001111 +11000001 +10011011 +11000001 +10011000 11000110 00001000 10001000 11000110 00001000 10001111 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 +00100111 +11111101 +10010101 +00110000 +11110100 +11000001 +00110000 +11110100 11000111 00001000 10000000 11000111 00001000 10000111 -10011000 -10011011 -11000001 -10011000 +11000011 +00100011 +11110000 +11001111 10010001 00000000 10010001 @@ -5832,7 +5640,7 @@ 10010011 00000000 10011011 -11000001 +11000011 11001010 00001000 10000000 @@ -5914,7 +5722,7 @@ 10010011 00000000 10011011 -11000001 +11000011 10011000 10011010 11001101 @@ -5996,7 +5804,7 @@ 10010011 00000000 10011011 -11000001 +11000010 10011000 10011010 10010001 @@ -6078,7 +5886,7 @@ 00001000 10001111 10011011 -11000001 +11000010 10011000 10011010 10010001 @@ -6154,7 +5962,7 @@ 10010011 00000000 10011011 -11000000 +11000010 11010101 00001000 10001000 @@ -6223,91 +6031,91 @@ 00110000 00000111 11110000 -11000000 -10010011 +11100000 +11100000 11011000 00001000 10000000 11011000 00001000 10000111 +10010011 00000000 10010011 00000000 10011011 -11000000 +11000010 10011000 10011010 -10010001 11011000 00001000 10001000 11011000 00001000 10001111 +10011010 +10010001 00000000 10010001 00000000 10010001 00000100 10010001 -00000100 -00010111 11011001 00001000 10000000 11011001 00001000 10000111 +00000100 +00010111 00000111 -11110100 -11000000 -10010001 -00000000 +11110000 +11001110 +10011000 10010001 00000000 -01011000 11011001 00001000 10001000 11011001 00001000 10001111 -01001111 -10000001 10010001 00000000 10010001 -00000000 -10010110 -11100000 +00000100 +10010001 +00000100 +00010111 +00000111 11011010 00001000 10000000 11011010 00001000 10000111 -00110000 -00000111 11110000 -11100000 -11100000 -10010011 +11001110 +10011000 +10010001 00000000 -10010011 +10010001 +00000000 +10010001 11011010 00001000 10001000 11011010 00001000 10001111 -00000000 -10010001 00000100 10010001 00000100 -10010001 -00000000 +00010111 +00000111 +11110011 +11001110 10010001 11011011 00001000 @@ -6315,41 +6123,41 @@ 11011011 00001000 10000111 -00000000 -00010111 -00000111 -11110100 -11000000 +00000100 10010001 00000100 10010001 +00000000 +10010001 +00000000 +00011011 11011011 00001000 10001000 11011011 00001000 10001111 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 +00001011 +01010000 +01000000 11110000 +11001110 +10011000 +10011001 +11001110 11011100 00001000 10000000 11011100 00001000 10000111 -11000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 +10011010 +00100111 +11111101 +10010101 +00110000 +11110000 +11001110 10010001 11011100 00001000 @@ -6357,69 +6165,69 @@ 11011100 00001000 10001111 +00000000 +10010001 +00000000 +10010001 00000100 -00011011 -00001011 -01010000 -01000000 -11110000 -11000000 -10011011 +10010001 +00000100 +00010111 11011101 00001000 10000000 11011101 00001000 10000111 -11000000 -10011000 -00100111 -11111101 -10010101 -00110000 +00000111 11110100 -11000000 +11000001 +10010001 +00000000 +10010001 +00000000 +01011000 11011101 00001000 10001000 11011101 00001000 10001111 -00110000 -11110000 -11001111 -10110011 +01001111 +10000001 10010001 00000000 10010001 00000000 +10010110 +11100000 11011110 00001000 10000000 11011110 00001000 10000111 -01011111 -01001111 -11100000 00110000 00000111 11110000 11100000 11100000 +10010011 +00000000 +10010011 11011110 00001000 10001000 11011110 00001000 10001111 +00000000 10010001 -00001000 +00000100 10010001 -00001000 -00010111 -00000111 -11110011 +00000100 +10010001 +00000000 10010001 11011111 00001000 @@ -6428,151 +6236,151 @@ 00001000 10000111 00000000 +00010111 +00000111 +11110100 +11000001 10010001 -00000000 -01011001 -01001111 -10000011 +00000100 10010001 -00000000 11011111 00001000 10001000 11011111 00001000 10001111 +00000100 10010001 00000000 -10010110 -11100000 -00110000 +10010001 +00000000 +00010111 00000111 -11110100 -11001111 +11110000 11100000 00001000 10000000 11100000 00001000 10000111 -11100000 -11100000 -10010011 +11000001 +10010001 00000000 -10010011 +10010001 00000000 -10011001 -11001111 +10010001 +00000100 +10010001 11100000 00001000 10001000 11100000 00001000 10001111 -10011010 -11001111 -10010100 -00011100 -00011100 -00011101 -00011101 -10011000 +00000100 +00011011 +00001011 +01010000 +01000000 +11110000 +11000001 +10011011 11100001 00001000 10000000 11100001 00001000 10000111 +11000001 +10011000 +00101111 +00100111 +11111101 +10010101 10010001 00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 11100001 00001000 10001000 11100001 00001000 10001111 +10010001 +00000000 +10010001 +00000100 +10010001 +00000100 00010111 00000111 -11110011 -00000001 -00000001 -10010010 -00000000 -10010010 11100010 00001000 10000000 11100010 00001000 10000111 +11110100 +11000001 +10010001 00000000 -10010011 -00000000 -10010011 +10010001 00000000 -11001110 -10011010 -11001110 +01011000 +01001111 11100010 00001000 10001000 11100010 00001000 10001111 -10010001 -00000100 -10010001 -00000100 +10000001 10010001 00000000 10010001 00000000 +10010110 +11100000 +00110000 11100011 00001000 10000000 11100011 00001000 10000111 -00011011 -00001011 -01010000 -01000000 -11110100 -11001110 -11001110 -10011010 +00000111 +11110000 +11100000 +11100000 +10010011 +00000000 +10010011 +00000000 11100011 00001000 10001000 11100011 00001000 10001111 -11001110 -10011010 -11001110 -10011010 -11001110 -10011010 -11001110 -10011010 +10010001 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 +00000000 11100100 00001000 10000000 11100100 00001000 10000111 -11001111 -10011000 -10010001 -00000000 +00010111 +00000111 +11110100 +11000001 10010001 -00000000 +00000100 10010001 00000100 11100100 @@ -6582,19 +6390,20 @@ 00001000 10001111 10010001 -00000100 +00000000 +10010001 +00000000 00010111 00000111 11110000 -11001111 -10011000 -10010001 +11000001 11100101 00001000 10000000 11100101 00001000 10000111 +10010001 00000000 10010001 00000000 @@ -6602,326 +6411,325 @@ 00000100 10010001 00000100 -00010111 11100101 00001000 10001000 11100101 00001000 10001111 -00000111 -11110011 -11001111 -10010001 -00000100 -10010001 -00000100 -10010001 -11100110 -00001000 -10000000 -11100110 -00001000 -10000111 -00000000 -10010001 -00000000 00011011 00001011 01010000 01000000 11110000 +11000001 +10011011 +11000001 11100110 00001000 -10001000 +10000000 11100110 00001000 -10001111 -11001111 +10000111 10011000 -10011001 -11001111 -10011010 +00101111 00100111 11111101 10010101 -11100111 -00001000 -10000000 -11100111 -00001000 -10000111 -00110000 -11110000 -11001111 -10010001 -00000000 10010001 00000000 10010001 -11100111 +11100110 00001000 10001000 -11100111 +11100110 00001000 10001111 +00000000 +10010001 00000100 10010001 00000100 00010111 00000111 11110100 -11000001 -10010001 -11101000 +11100111 00001000 10000000 -11101000 +11100111 00001000 10000111 +11000000 +10010001 00000000 10010001 00000000 01011000 01001111 10000001 -10010001 -00000000 -11101000 +11100111 00001000 10001000 -11101000 +11100111 00001000 10001111 10010001 00000000 +10010001 +00000000 10010110 11100000 00110000 00000111 -11110000 -11100000 -11101001 +11101000 00001000 10000000 -11101001 +11101000 00001000 10000111 +11110000 +11100000 11100000 10010011 00000000 10010011 00000000 10010001 -00000100 -10010001 -11101001 +11101000 00001000 10001000 -11101001 +11101000 00001000 10001111 00000100 10010001 +00000100 +10010001 00000000 10010001 00000000 00010111 -00000111 -11110100 -11101010 +11101001 00001000 10000000 -11101010 +11101001 00001000 10000111 -11000001 +00000111 +11110100 +11000000 10010001 00000100 10010001 00000100 10010001 -00000000 -10010001 -11101010 +11101001 00001000 10001000 -11101010 +11101001 00001000 10001111 00000000 +10010001 +00000000 00010111 00000111 11110000 -11000001 -10010001 -00000000 +11000000 10010001 -11101011 +11101010 00001000 10000000 -11101011 +11101010 00001000 10000111 00000000 10010001 +00000000 +10010001 00000100 10010001 00000100 00011011 -00001011 -01010000 -11101011 +11101010 00001000 10001000 -11101011 +11101010 00001000 10001111 +00001011 +01010000 01000000 11110000 -11000001 +11000000 10011011 -11000001 +11000000 10011000 +11101011 +00001000 +10000000 +11101011 +00001000 +10000111 00101111 00100111 +11111101 +10010101 +10010001 +00000000 +10010001 +00000000 +11101011 +00001000 +10001000 +11101011 +00001000 +10001111 +10010001 +00000100 +10010001 +00000100 +00010111 +00000111 +11110100 +10011000 11101100 00001000 10000000 11101100 00001000 10000111 -11111101 -10010101 10010001 00000000 10010001 00000000 10010001 00000100 +10010001 +00000100 11101100 00001000 10001000 11101100 00001000 10001111 -10010001 -00000100 00010111 00000111 -11110100 -11000001 +11110011 +10010001 +00000000 10010001 00000000 +01011000 11101101 00001000 10000000 11101101 00001000 10000111 -10010001 -00000000 -01011000 01001111 10000001 10010001 00000000 10010001 +00000000 +10010110 +11100000 11101101 00001000 10001000 11101101 00001000 10001111 -00000000 -10010110 -11100000 00110000 00000111 11110000 11100000 11100000 +10010011 +00000000 +10010011 11101110 00001000 10000000 11101110 00001000 10000111 -10010011 -00000000 -10010011 00000000 10010001 00000100 10010001 00000100 +10010001 +00000000 +10010001 11101110 00001000 10001000 11101110 00001000 10001111 -10010001 -00000000 -10010001 00000000 00010111 00000111 11110100 -11000001 +11000000 +10010001 +00000100 +10010001 11101111 00001000 10000000 11101111 00001000 10000111 -10010001 -00000100 -10010001 00000100 10010001 00000000 10010001 00000000 +00010111 +00000111 +11110000 11101111 00001000 10001000 11101111 00001000 10001111 -00010111 -00000111 -11110000 -11000001 +11000000 10010001 00000000 10010001 00000000 +10010001 +00000100 +10010001 11110000 00001000 10000000 11110000 00001000 10000111 -10010001 -00000100 -10010001 00000100 00011011 00001011 01010000 01000000 11110000 +11000000 +10011011 +11110000 00001000 10001000 11110000 00001000 10001111 -11110000 -11000001 -10011011 -11000001 +11000000 10011000 +10111011 +10111011 +10111011 00101111 00100111 11111101 @@ -6932,82 +6740,82 @@ 00001000 10000111 10010101 +10111011 +11001101 +10011000 10010001 00000000 10010001 00000000 -10010001 -00000100 -10010001 11110001 00001000 10001000 11110001 00001000 10001111 +10010001 +00000100 +10010001 00000100 00010111 00000111 -11110100 -11000000 -10010001 -00000000 -10010001 +11110000 +11001110 11110010 00001000 10000000 11110010 00001000 10000111 -00000000 -01011000 -01001111 -10000001 +10011000 10010001 00000000 10010001 00000000 +10010001 +00000100 +10010001 11110010 00001000 10001000 11110010 00001000 10001111 -10010110 -11100000 -00110000 +00000100 +00010111 00000111 -11110000 -11100000 -11100000 -10010011 +11110011 +11001110 +10011000 +10010001 +00000000 11110011 00001000 10000000 11110011 00001000 10000111 -00000000 -10010011 +10010001 00000000 10010001 00000100 10010001 00000100 -10010001 +00010111 +00000111 11110011 00001000 10001000 11110011 00001000 10001111 +11110000 +11001110 +10011000 +10010001 00000000 10010001 00000000 -00010111 -00000111 -11110100 -11000001 10010001 11110100 00001000 @@ -7018,492 +6826,300 @@ 00000100 10010001 00000100 -10010001 -00000000 -10010001 -00000000 00010111 +00000111 +11110011 +11001110 +10011000 11110100 00001000 10001000 11110100 00001000 10001111 -00000111 -11110000 -11000000 10010001 00000000 10010001 00000000 10010001 +00000100 +10010001 +00000100 11110101 00001000 10000000 11110101 00001000 10000111 -00000100 -10010001 -00000100 -00011011 -00001011 -01010000 -01000000 +00010111 +00000111 11110000 +11001111 +10011000 +10010001 +00000000 +10010001 11110101 00001000 10001000 11110101 00001000 10001111 -11000000 -10011011 -11000000 -10011000 -00101111 -00100111 -11111101 -10010101 +00000000 +10010001 +00000100 +10010001 +00000100 +00010111 +00000111 +11110011 11110110 00001000 10000000 11110110 00001000 10000111 -10010001 -00000000 -10010001 -00000000 +11001111 10010001 00000100 10010001 00000100 +10010001 +00000000 +10010001 11110110 00001000 10001000 11110110 00001000 10001111 -00010111 -00000111 -11110100 -10011000 -10010001 -00000000 -10010001 00000000 +00011011 +00001011 +01010000 +01000000 +11110000 +11001111 +10011000 11110111 00001000 10000000 11110111 00001000 10000111 +10011001 +11001111 +10011010 +11001111 10010001 00000100 10010001 00000100 -00010111 -00000111 -11110011 -10010001 11110111 00001000 10001000 11110111 00001000 10001111 -00000000 10010001 00000000 -01011000 -01001111 -10000001 10010001 00000000 +00011011 +00001011 +01010000 +01000000 11111000 00001000 10000000 11111000 00001000 10000111 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 11110000 -11100000 +11001111 +10011000 +10011001 +11001111 +10011010 +11001111 +10010001 11111000 00001000 10001000 11111000 00001000 10001111 -11100000 -10010011 -00000000 -10010011 -00000000 +00000100 10010001 00000100 10010001 +00000000 +10010001 +00000000 +00011011 11111001 00001000 10000000 11111001 00001000 10000111 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110100 +00001011 +01010000 +01000000 +11110000 +11001110 +10011000 +10011001 +11001110 11111001 00001000 10001000 11111001 00001000 10001111 -11000000 +10011010 +11001110 10010001 00000100 10010001 00000100 10010001 00000000 -10010001 11111010 00001000 10000000 11111010 00001000 10000111 -00000000 -00010111 -00000111 -11110000 -11000000 10010001 00000000 -10010001 +00011011 +00001011 +01010000 +01000000 +11110000 +11001110 11111010 00001000 10001000 11111010 00001000 10001111 -00000000 -10010001 -00000100 +10011000 +10011001 +11001110 +10011010 +11001110 +10110011 10010001 -00000100 -00011011 -00001011 -01010000 +00000000 11111011 00001000 10000000 11111011 00001000 10000111 -01000000 +10010001 +00000000 +01011111 +01001111 +11100000 +00110000 +00000111 11110000 -11000000 -10011011 -11000000 -10011000 -10111011 -10111011 11111011 00001000 10001000 11111011 00001000 10001111 -10111011 -00101111 -00100111 -11111101 -10010101 -10111011 -11001101 -10011000 -11111100 +11100000 +11100000 +10010001 +00001000 +10010001 +00001000 +00010111 +00000111 +11111100 00001000 10000000 11111100 00001000 10000111 +11110011 10010001 00000000 10010001 00000000 -10010001 -00000100 -10010001 -00000100 +01011001 +01001111 +10000011 11111100 00001000 10001000 11111100 00001000 10001111 -00010111 -00000111 -11110000 -11001110 -10011000 10010001 00000000 10010001 +00000000 +10010110 +11100000 +00110000 +00000111 11111101 00001000 10000000 11111101 00001000 10000111 +11110000 +11100000 +11100000 +10010011 00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 +10010011 +00000000 +10011011 11111101 00001000 10001000 11111101 00001000 10001111 -11001110 +11000000 10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 +10011010 +11001100 +10011010 +10011010 +10011010 +11001101 11111110 00001000 10000000 11111110 00001000 10000111 -10010001 -00000100 -00010111 -00000111 -11110000 -11001110 -10011000 -10010001 +10011010 +11001101 +10011010 +11001101 +10011010 +11001101 +10011010 +11001100 11111110 00001000 10001000 11111110 00001000 -10001111 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -11111111 -00001000 -10000000 -11111111 -00001000 -10000111 -00000111 -11110011 -11001110 -10011000 -10010001 -00000000 -10010001 -00000000 -11111111 -00001000 -10001000 -11111111 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110000 -11001111 -10000000 -00000111 -10000000 -10000000 -00000111 -10000111 -10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -10000000 -00000111 -10001000 -10000000 -00000111 -10001111 -00000100 -00010111 -00000111 -11110011 -11001111 -10010001 -00000100 -10010001 -10000001 -00000111 -10000000 -10000001 -00000111 -10000111 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -00001011 -01010000 -10000001 -00000111 -10001000 -10000001 -00000111 -10001111 -01000000 -11110000 -11001111 -10011000 -10011001 -11001111 -10011010 -11001111 -10000010 -00000111 -10000000 -10000010 -00000111 -10000111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -10000010 -00000111 -10001000 -10000010 -00000111 -10001111 -00011011 -00001011 -01010000 -01000000 -11110000 -11001110 -10011000 -10011001 -10000011 -00000111 -10000000 -10000011 -00000111 -10000111 -11001110 +10001100 10011010 -11001110 -10010001 -00000100 -10010001 -00000100 -10010001 -10000011 -00000111 -10001000 -10000011 -00000111 -10001111 -00000000 -10010001 -00000000 -00011011 -00001011 -01010000 -01000000 -11110000 -10000100 -00000111 -10000000 -10000100 -00000111 -10000111 -11001111 -10011000 -10011001 -11001111 +11001100 10011010 -11001111 -10010001 -00000100 -10000100 -00000111 -10001000 -10000100 -00000111 -10001111 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -00001011 -10000101 -00000111 -10000000 -10000101 -00000111 -10000111 -01010000 -01000000 -11110000 -11001110 -10011000 -10011001 -11001110 10011010 -10000101 -00000111 -10001000 -10000101 -00000111 -10001000 -11001110 +11001100 11100111 diff --git a/src/com/ftdi/usb/FtdiUart.java b/src/com/ftdi/usb/FtdiUart.java index 0990079..289f92e 100644 --- a/src/com/ftdi/usb/FtdiUart.java +++ b/src/com/ftdi/usb/FtdiUart.java @@ -7,8 +7,6 @@ import java.io.*; * Note: blocking reads are currently implemented by busy-waiting. * This is really ugly. Check the linux kernel source to see how to * get libftdi to do it properly. - * - * Flow control is also not properly supported. */ public class FtdiUart { diff --git a/src/edu/berkeley/obits/device/atmel/AvrDrone.java b/src/edu/berkeley/obits/device/atmel/AvrDrone.java index 5e5489b..47aa49d 100644 --- a/src/edu/berkeley/obits/device/atmel/AvrDrone.java +++ b/src/edu/berkeley/obits/device/atmel/AvrDrone.java @@ -39,12 +39,23 @@ public class AvrDrone extends AtmelDevice { if (in.readByte() != (byte)'S') throw new RuntimeException("didn't get the proper signature"); if (in.readByte() != (byte)'\n') throw new RuntimeException("didn't get the proper signature"); */ - out.write(0); byte[] bytes = new byte[6]; - for(int i=0; i<6; i++) { - bytes[i] = in.readByte(); - //System.out.println("got " + (i+1) + " header bytes: " + (bytes[i] & 0xff) + " '" + ((char)bytes[i]) + "'"); - // FIXME + int i=0; + out.write(0); + while(true) { + System.arraycopy(bytes, 1, bytes, 0, 5); + bytes[5] = in.readByte(); + i++; + System.out.println("got " + new String(bytes)); + if (bytes[0] == (byte)'O' && + bytes[1] == (byte)'B' && + bytes[2] == (byte)'I' && + bytes[3] == (byte)'T' && + bytes[4] == (byte)'S' && + bytes[5] == (byte)'\n') { + System.out.println("got proper signature"); + break; + } } //Log.info(this, "device correctly identified itself; ready for operation"); } diff --git a/src/edu/berkeley/slipway/Board.java b/src/edu/berkeley/slipway/Board.java index f1c51f9..286c8b4 100644 --- a/src/edu/berkeley/slipway/Board.java +++ b/src/edu/berkeley/slipway/Board.java @@ -8,9 +8,16 @@ import gnu.io.*; public abstract class Board { - public abstract void reset() throws IOException; + /** boot the board using an md4 configuration stream */ public abstract void boot(Reader r) throws Exception; + + /** reset the board */ + public abstract void reset() throws IOException; + + /** the UART inputstream (after loading initial config) */ public abstract InputStream getInputStream(); + + /** the UART inputstream (after loading initial config) */ public abstract OutputStream getOutputStream(); } diff --git a/src/edu/berkeley/slipway/FakeBoard.java b/src/edu/berkeley/slipway/FakeBoard.java index d2d3594..26c8e29 100644 --- a/src/edu/berkeley/slipway/FakeBoard.java +++ b/src/edu/berkeley/slipway/FakeBoard.java @@ -8,10 +8,16 @@ import gnu.io.*; public class FakeBoard extends Board { - public FakeBoard() { } + public FakeBoard() { + + } - public void reset() { } - public void boot(Reader r) throws Exception { throw new Error(); } + public void reset() { + System.err.println("FakeBoard: reset()"); + } + public void boot(Reader r) throws Exception { + + } public InputStream getInputStream() { throw new Error(); } public OutputStream getOutputStream() { throw new Error(); } diff --git a/src/edu/berkeley/slipway/slipway_drone.c b/src/edu/berkeley/slipway/slipway_drone.c index 4e09ca2..44e1c85 100644 --- a/src/edu/berkeley/slipway/slipway_drone.c +++ b/src/edu/berkeley/slipway/slipway_drone.c @@ -250,15 +250,6 @@ int main() { } */ - PORTE |= (1<<3); - recv(); - send('O'); - send('B'); - send('I'); - send('T'); - send('S'); - send('\n'); - int x=0, y=0, z=0; //while(1) send(/*FISUA*/2); for(;;) { @@ -269,6 +260,15 @@ int main() { int i, d=0; int r = recv(); switch(r) { + case 0: + send('O'); + send('B'); + send('I'); + send('T'); + send('S'); + send('\n'); + PORTE |= (1<<3); + break; case 1: z = recv(); y = recv();