From: adam Date: Fri, 27 Jul 2007 15:07:32 +0000 (+0100) Subject: added mpardemo X-Git-Tag: mpar_demo_release~1 X-Git-Url: http://git.megacz.com/?p=slipway.git;a=commitdiff_plain;h=f6f476bd63c268a2dbcc09c85f79dbc807a74ba3 added mpardemo --- diff --git a/Makefile b/Makefile index 07d824e..dbb153c 100644 --- a/Makefile +++ b/Makefile @@ -28,10 +28,10 @@ build/$(jnilib): build/src/com/ftdi/usb/FtdiUart.c upstream/libusb/.built $(linkerflags) \ -o $@ -dynamiclib -framework JavaVM -slipway.jar: build/$(jnilib) $(shell find src build/src -name \*.java) bitstreams/slipway_drone_complete.bst +slipway.jar: build/$(jnilib) $(shell find src build/src -name \*.java) misc/slipway_drone.bst mkdir -p build - javac -d build $(shell find src build/src -name \*.java) - cp bitstreams/slipway_drone_complete.bst build/edu/berkeley/slipway/ + $(javac) -d build $(shell find src build/src -name \*.java) + cp misc/slipway_drone.bst build/edu/berkeley/slipway/ cd build; jar cvf ../$@ . @@ -49,6 +49,7 @@ upstream/libusb/.built: upstream/libusb make touch $@ +javac = javac -cp upstream/jhdl-edifparser.jar #java = java -Djava.library.path=$(shell pwd)/lib/ -cp lib/RXTXcomm.jar:slipway.jar @@ -60,7 +61,7 @@ build/slipway_drone.hex: src/edu/berkeley/slipway/FtdiBoardSlave.c upstream/avr upstream/prefix/bin/avr-objcopy -O ihex $@.o $@ # this only works on my personal setup [adam] -bitstreams/slipway_drone_complete.bst: build/slipway_drone.hex +misc/slipway_drone.bst: build/slipway_drone.hex cp $< /afs/research.cs.berkeley.edu/user/megacz/slipway/$< fs flush /afs/research.cs.berkeley.edu/user/megacz/slipway/$< echo okay... @@ -112,4 +113,33 @@ upstream/avr-libc/.built: upstream/avr-libc upstream/gcc/.built ./configure --prefix=$(shell pwd)/upstream/prefix --host=avr && \ PATH=$$PATH:$(shell pwd)/upstream/prefix/bin make && \ PATH=$$PATH:$(shell pwd)/upstream/prefix/bin make install - touch $@ \ No newline at end of file + touch $@ + +mpardemo: upstream/jhdl-edifparser.jar slipway.jar + iverilog -t fpga -s main -o out.edf misc/mpardemo.v + java -cp slipway.jar:upstream/jhdl-edifparser.jar MPARDemo out.edf + + +## edif parser ########################################################################## + +upstream/jhdl-edifparser.jar: + mkdir -p upstream + curl -o $@- http://reliability.ee.byu.edu/edif/jars/release_0.3.0/edif-0.3.0.jar + mv $@- $@ + +## javadoc ############################################################################## + +javadoc: + rm -rf doc/api + mkdir -p doc/api + javadoc \ + -linksource \ + -windowtitle "abits" \ + -sourcepath src \ + -public \ + -notree \ + -noindex \ + -nonavbar \ + -noqualifier all \ + -d doc/api \ + `find src -name \*.java` diff --git a/bitstreams/led0.md4 b/bitstreams/led0.md4 deleted file mode 100644 index 26e188c..0000000 --- a/bitstreams/led0.md4 +++ /dev/null @@ -1,11174 +0,0 @@ -D00000C0 -D1000000 -D2000000 -D300000D -00170001 -01170000 -02170000 -03170000 -04170000 -05170000 -06170000 -07170000 -08170000 -09170000 -100000FF -110000F7 -100001FF -110001F7 -100002FF -110002F7 -100003FF -110003F7 -100004FF -110004F7 -100005FF -110005F7 -100006FF -110006F7 -100007FF -110007F7 -100008FF -110008F7 -100009FF -110009F7 -10000AFF -11000AF7 -10000BFF -11000BF7 -10000CFF -11000CF7 -10000DFF -11000DF7 -10000EFF -11000EF7 -10000FFF -11000FF7 -100010FF -110010F7 -100011FF -110011F7 -100012FF -110012F7 -100013FF -110013F7 -100014FF -110014F7 -100015FF -110015F7 -100016FF -110016F7 -100017FF -110017F7 -100100FF -110100F7 -100101FF -110101F7 -100102FF -110102F7 -100103FF -110103F7 -100104FF -110104F7 -100105FF -110105F7 -100106FF -110106F7 -100107FF -110107F7 -100108FF -110108F7 -100109FF -110109F7 -10010AFF -11010AF7 -10010BFF -11010BF7 -10010CFF -11010CF7 -10010DFF -11010DF7 -10010EFF -11010EF7 -10010FFF -11010FF7 -100110FF -110110F7 -100111FF -110111F7 -100112FF -110112F7 -100113FF -110113F7 -100114FF -110114F7 -100115FF -110115F7 -100116FF -110116F7 -100117FF -110117F7 -100200FF -110200F7 -100201FF -110201F7 -100202FF -110202F7 -100203FF -110203F7 -100204FF -110204F7 -100205FF -110205F7 -100206FF -110206F7 -100207FF -110207F7 -100208FF -110208F7 -100209FF -110209F7 -10020AFF -11020AF7 -10020BFF -11020BF7 -10020CFF -11020CF7 -10020DFF -11020DF7 -10020EFF -11020EF7 -10020FFF -11020FF7 -100210FF -110210F7 -100211FF -110211F7 -100212FF -110212F7 -100213FF -110213F7 -100214FF -110214F7 -100215FF -110215F7 -100216FF -110216F7 -100217FF -110217F7 -100300FF -110300F7 -100301FF -110301F7 -100302FF -110302F7 -100303FF -110303F7 -100304FF -110304F7 -100305FF -110305F7 -100306FF -110306F7 -100307FF -110307F7 -100308FF -110308F7 -100309FF -110309F7 -10030AFF -11030AF7 -10030BFF -11030BF7 -10030CFF -11030CF7 -10030DFF -11030DF7 -10030EFF -11030EF7 -10030FFF -11030FF7 -100310FF -110310F7 -100311FF -110311F7 -100312FF -110312F7 -100313FF -110313F7 -100314FF -110314F7 -100315FF -110315F7 -100316FF -110316F7 -100317FF -110317F7 -100400FF -110400F7 -100401FF -110401F7 -100402FF -110402F7 -100403FF -110403F7 -100404FF -110404F7 -100405FF -110405F7 -100406FF -110406F7 -100407FF -110407F7 -100408FF -110408F7 -100409FF -110409F7 -10040AFF -11040AF7 -10040BFF -11040BF7 -10040CFF -11040CF7 -10040DFF -11040DF7 -10040EFF -11040EF7 -10040FFF -11040FF7 -100410FF -110410F7 -100411FF -110411F7 -100412FF -110412F7 -100413FF -110413F7 -100414FF -110414F7 -100415FF -110415F7 -100416FF -110416F7 -100417FF -110417F7 -100500FF -110500F7 -100501FF -110501F7 -100502FF -110502F7 -100503FF -110503F7 -100504FF -110504F7 -100505FF -110505F7 -100506FF -110506F7 -100507FF -110507F7 -100508FF -110508F7 -100509FF -110509F7 -10050AFF -11050AF7 -10050BFF -11050BF7 -10050CFF -11050CF7 -10050DFF -11050DF7 -10050EFF -11050EF7 -10050FFF -11050FF7 -100510FF -110510F7 -100511FF -110511F7 -100512FF -110512F7 -100513FF -110513F7 -100514FF -110514F7 -100515FF -110515F7 -100516FF -110516F7 -100517FF -110517F7 -100600FF -110600F7 -100601FF -110601F7 -100602FF -110602F7 -100603FF -110603F7 -100604FF -110604F7 -100605FF -110605F7 -100606FF -110606F7 -100607FF -110607F7 -100608FF -110608F7 -100609FF -110609F7 -10060AFF -11060AF7 -10060BFF -11060BF7 -10060CFF -11060CF7 -10060DFF -11060DF7 -10060EFF -11060EF7 -10060FFF -11060FF7 -100610FF -110610F7 -100611FF -110611F7 -100612FF -110612F7 -100613FF -110613F7 -100614FF -110614F7 -100615FF -110615F7 -100616FF -110616F7 -100617FF -110617F7 -100700FF -110700F7 -100701FF -110701F7 -100702FF -110702F7 -100703FF -110703F7 -100704FF -110704F7 -100705FF -110705F7 -100706FF -110706F7 -100707FF -110707F7 -100708FF -110708F7 -100709FF -110709F7 -10070AFF -11070AF7 -10070BFF -11070BF7 -10070CFF -11070CF7 -10070DFF -11070DF7 -10070EFF -11070EF7 -10070FFF -11070FF7 -100710FF -110710F7 -100711FF -110711F7 -100712FF -110712F7 -100713FF -110713F7 -100714FF -110714F7 -100715FF -110715F7 -100716FF -110716F7 -100717FF -110717F7 -100800FF -110800F7 -100801FF -110801F7 -100802FF -110802F7 -100803FF -110803F7 -100804FF -110804F7 -100805FF -110805F7 -100806FF -110806F7 -100807FF -110807F7 -100808FF -110808F7 -100809FF -110809F7 -10080AFF -11080AF7 -10080BFF -11080BF7 -10080CFF -11080CF7 -10080DFF -11080DF7 -10080EFF -11080EF7 -10080FFF -11080FF7 -100810FF -110810F7 -100811FF -110811F7 -100812FF -110812F7 -100813FF -110813F7 -100814FF -110814F7 -100815FF -110815F7 -100816FF -110816F7 -100817FF -110817F7 -100900FF -110900F7 -100901FF -110901F7 -100902FF -110902F7 -100903FF -110903F7 -100904FF -110904F7 -100905FF -110905F7 -100906FF -110906F7 -100907FF -110907F7 -100908FF -110908F7 -100909FF -110909F7 -10090AFF -11090AF7 -10090BFF -11090BF7 -10090CFF -11090CF7 -10090DFF -11090DF7 -10090EFF -11090EF7 -10090FFF -11090FF7 -100910FF -110910F7 -100911FF -110911F7 -100912FF -110912F7 -100913FF -110913F7 -100914FF -110914F7 -100915FF -110915F7 -100916FF -110916F7 -100917FF -110917F7 -100A00FF -110A00F7 -100A01FF -110A01F7 -100A02FF -110A02F7 -100A03FF -110A03F7 -100A04FF -110A04F7 -100A05FF -110A05F7 -100A06FF -110A06F7 -100A07FF -110A07F7 -100A08FF -110A08F7 -100A09FF -110A09F7 -100A0AFF -110A0AF7 -100A0BFF -110A0BF7 -100A0CFF -110A0CF7 -100A0DFF -110A0DF7 -100A0EFF -110A0EF7 -100A0FFF -110A0FF7 -100A10FF -110A10F7 -100A11FF -110A11F7 -100A12FF -110A12F7 -100A13FF -110A13F7 -100A14FF -110A14F7 -100A15FF -110A15F7 -100A16FF -110A16F7 -100A17FF -110A17F7 -100B00FF -110B00F7 -100B01FF -110B01F7 -100B02FF -110B02F7 -100B03FF -110B03F7 -100B04FF -110B04F7 -100B05FF -110B05F7 -100B06FF -110B06F7 -100B07FF -110B07F7 -100B08FF -110B08F7 -100B09FF -110B09F7 -100B0AFF -110B0AF7 -100B0BFF -110B0BF7 -100B0CFF -110B0CF7 -100B0DFF -110B0DF7 -100B0EFF -110B0EF7 -100B0FFF -110B0FF7 -100B10FF -110B10F7 -100B11FF -110B11F7 -100B12FF -110B12F7 -100B13FF -110B13F7 -100B14FF -110B14F7 -100B15FF -110B15F7 -100B16FF -110B16F7 -100B17FF -110B17F7 -100C00FF -110C00F7 -100C01FF -110C01F7 -100C02FF -110C02F7 -100C03FF -110C03F7 -100C04FF -110C04F7 -100C05FF -110C05F7 -100C06FF -110C06F7 -100C07FF -110C07F7 -100C08FF -110C08F7 -100C09FF -110C09F7 -100C0AFF -110C0AF7 -100C0BFF -110C0BF7 -100C0CFF -110C0CF7 -100C0DFF -110C0DF7 -100C0EFF -110C0EF7 -100C0FFF -110C0FF7 -100C10FF -110C10F7 -100C11FF -110C11F7 -100C12FF -110C12F7 -100C13FF -110C13F7 -100C14FF -110C14F7 -100C15FF -110C15F7 -100C16FF -110C16F7 -100C17FF -110C17F7 -100D00FF -110D00F7 -100D01FF -110D01F7 -100D02FF -110D02F7 -100D03FF -110D03F7 -100D04FF -110D04F7 -100D05FF -110D05F7 -100D06FF -110D06F7 -100D07FF -110D07F7 -100D08FF -110D08F7 -100D09FF -110D09F7 -100D0AFF -110D0AF7 -100D0BFF -110D0BF7 -100D0CFF -110D0CF7 -100D0DFF -110D0DF7 -100D0EFF -110D0EF7 -100D0FFF -110D0FF7 -100D10FF -110D10F7 -100D11FF -110D11F7 -100D12FF -110D12F7 -100D13FF -110D13F7 -100D14FF -110D14F7 -100D15FF -110D15F7 -100D16FF -110D16F7 -100D17FF -110D17F7 -100E00FF -110E00F7 -100E01FF -110E01F7 -100E02FF -110E02F7 -100E03FF -110E03F7 -100E04FF -110E04F7 -100E05FF -110E05F7 -100E06FF -110E06F7 -100E07FF -110E07F7 -100E08FF -110E08F7 -100E09FF -110E09F7 -100E0AFF -110E0AF7 -100E0BFF -110E0BF7 -100E0CFF -110E0CF7 -100E0DFF -110E0DF7 -100E0EFF -110E0EF7 -100E0FFF -110E0FF7 -100E10FF -110E10F7 -100E11FF -110E11F7 -100E12FF -110E12F7 -100E13FF -110E13F7 -100E14FF -110E14F7 -100E15FF -110E15F7 -100E16FF -110E16F7 -100E17FF -110E17F7 -100F00FF -110F00F7 -100F01FF -110F01F7 -100F02FF -110F02F7 -100F03FF -110F03F7 -100F04FF -110F04F7 -100F05FF -110F05F7 -100F06FF -110F06F7 -100F07FF -110F07F7 -100F08FF -110F08F7 -100F09FF -110F09F7 -100F0AFF -110F0AF7 -100F0BFF -110F0BF7 -100F0CFF -110F0CF7 -100F0DFF -110F0DF7 -100F0EFF -110F0EF7 -100F0FFF -110F0FF7 -100F10FF -110F10F7 -100F11FF -110F11F7 -100F12FF -110F12F7 -100F13FF -110F13F7 -100F14FF -110F14F7 -100F15FF -110F15F7 -100F16FF -110F16F7 -100F17FF -110F17F7 -101000FF -111000F7 -101001FF -111001F7 -101002FF -111002F7 -101003FF -111003F7 -101004FF -111004F7 -101005FF -111005F7 -101006FF -111006F7 -101007FF -111007F7 -101008FF -111008F7 -101009FF -111009F7 -10100AFF -11100AF7 -10100BFF -11100BF7 -10100CFF -11100CF7 -10100DFF -11100DF7 -10100EFF -11100EF7 -10100FFF -11100FF7 -101010FF -111010F7 -101011FF -111011F7 -101012FF -111012F7 -101013FF -111013F7 -101014FF -111014F7 -101015FF -111015F7 -101016FF -111016F7 -101017FF -111017F7 -101100FF -111100F7 -101101FF -111101F7 -101102FF -111102F7 -101103FF -111103F7 -101104FF -111104F7 -101105FF -111105F7 -101106FF -111106F7 -101107FF -111107F7 -101108FF -111108F7 -101109FF -111109F7 -10110AFF -11110AF7 -10110BFF -11110BF7 -10110CFF -11110CF7 -10110DFF -11110DF7 -10110EFF -11110EF7 -10110FFF -11110FF7 -101110FF -111110F7 -101111FF -111111F7 -101112FF -111112F7 -101113FF -111113F7 -101114FF -111114F7 -101115FF -111115F7 -101116FF -111116F7 -101117FF -111117F7 -101200FF -111200F7 -101201FF -111201F7 -101202FF -111202F7 -101203FF -111203F7 -101204FF -111204F7 -101205FF -111205F7 -101206FF -111206F7 -101207FF -111207F7 -101208FF -111208F7 -101209FF -111209F7 -10120AFF -11120AF7 -10120BFF -11120BF7 -10120CFF -11120CF7 -10120DFF -11120DF7 -10120EFF -11120EF7 -10120FFF -11120FF7 -101210FF -111210F7 -101211FF -111211F7 -101212FF -111212F7 -101213FF -111213F7 -101214FF -111214F7 -101215FF -111215F7 -101216FF -111216F7 -101217FF -111217F7 -101300FF -111300F7 -101301FF -111301F7 -101302FF -111302F7 -101303FF -111303F7 -101304FF -111304F7 -101305FF -111305F7 -101306FF -111306F7 -101307FF -111307F7 -101308FF -111308F7 -101309FF -111309F7 -10130AFF -11130AF7 -10130BFF -11130BF7 -10130CFF -11130CF7 -10130DFF -11130DF7 -10130EFF -11130EF7 -10130FFF -11130FF7 -101310FF -111310F7 -101311FF -111311F7 -101312FF -111312F7 -101313FF -111313F7 -101314FF -111314F7 -101315FF -111315F7 -101316FF -111316F7 -101317FF -111317F7 -101400FF -111400F7 -101401FF -111401F7 -101402FF -111402F7 -101403FF -111403F7 -101404FF -111404F7 -101405FF -111405F7 -101406FF -111406F7 -101407FF -111407F7 -101408FF -111408F7 -101409FF -111409F7 -10140AFF -11140AF7 -10140BFF -11140BF7 -10140CFF -11140CF7 -10140DFF -11140DF7 -10140EFF -11140EF7 -10140FFF -11140FF7 -101410FF -111410F7 -101411FF -111411F7 -101412FF -111412F7 -101413FF -111413F7 -101414FF -111414F7 -101415FF -111415F7 -101416FF -111416F7 -101417FF -111417F7 -101500FF -111500F7 -101501FF -111501F7 -101502FF -111502F7 -101503FF -111503F7 -101504FF -111504F7 -101505FF -111505F7 -101506FF -111506F7 -101507FF -111507F7 -101508FF -111508F7 -101509FF -111509F7 -10150AFF -11150AF7 -10150BFF -11150BF7 -10150CFF -11150CF7 -10150DFF -11150DF7 -10150EFF -11150EF7 -10150FFF -11150FF7 -101510FF -111510F7 -101511FF -111511F7 -101512FF -111512F7 -101513FF -111513F7 -101514FF -111514F7 -101515FF -111515F7 -101516FF -111516F7 -101517FF -111517F7 -101600FF -111600F7 -101601FF -111601F7 -101602FF -111602F7 -101603FF -111603F7 -101604FF -111604F7 -101605FF -111605F7 -101606FF -111606F7 -101607FF -111607F7 -101608FF -111608F7 -101609FF -111609F7 -10160AFF -11160AF7 -10160BFF -11160BF7 -10160CFF -11160CF7 -10160DFF -11160DF7 -10160EFF -11160EF7 -10160FFF -11160FF7 -101610FF -111610F7 -101611FF -111611F7 -101612FF -111612F7 -101613FF -111613F7 -101614FF -111614F7 -101615FF -111615F7 -101616FF -111616F7 -101617FF -111617F7 -101700FF -111700F7 -101701FF -111701F7 -101702FF -111702F7 -101703FF -111703F7 -101704FF -111704F7 -101705FF -111705F7 -101706FF -111706F7 -101707FF -111707F7 -101708FF -111708F7 -101709FF -111709F7 -10170AFF -11170AF7 -10170BFF -11170BF7 -10170CFF -11170CF7 -10170DFF -11170DF7 -10170EFF -11170EF7 -10170FFF -11170FF7 -101710FF -111710F7 -101711FF -111711F7 -101712FF -111712F7 -101713FF -111713F7 -101714FF -111714F7 -101715FF -111715F7 -101716FF -111716F7 -101717FF -111717F7 -20000080 -21000000 -22000080 -230000C0 -24000080 -25000000 -26000080 -270000C0 -28000080 -29000000 -20000180 -21000100 -22000180 -230001C0 -24000180 -25000100 -26000180 -270001C0 -28000180 -29000100 -20000280 -21000200 -22000280 -230002C0 -24000280 -25000200 -26000280 -270002C0 -28000280 -29000200 -20000380 -21000300 -22000380 -230003C0 -24000380 -25000300 -26000380 -270003C0 -28000380 -29000300 -20000480 -21000400 -22000480 -230004C0 -24000480 -25000400 -26000480 -270004C0 -28000480 -29000400 -20000580 -21000500 -22000580 -230005C0 -24000580 -25000500 -26000580 -270005C0 -28000580 -29000500 -20000680 -21000600 -22000680 -230006C0 -24000680 -25000600 -26000680 -270006C0 -28000680 -29000600 -20000780 -21000700 -22000780 -230007C0 -24000780 -25000700 -26000780 -270007C0 -28000780 -29000700 -20000880 -21000800 -22000880 -230008C0 -24000880 -25000800 -26000880 -270008C0 -28000880 -29000800 -20000980 -21000900 -22000980 -230009C0 -24000980 -25000900 -26000980 -270009C0 -28000980 -29000900 -20000A80 -21000A00 -22000A80 -23000AC0 -24000A80 -25000A00 -26000A80 -27000AC0 -28000A80 -29000A00 -20000B80 -21000B00 -22000B80 -23000BC0 -24000B80 -25000B00 -26000B80 -27000BC0 -28000B80 -29000B00 -20000C80 -21000C00 -22000C80 -23000CC0 -24000C80 -25000C00 -26000C80 -27000CC0 -28000C80 -29000C00 -20000D80 -21000D00 -22000D80 -23000DC0 -24000D80 -25000D00 -26000D80 -27000DC0 -28000D80 -29000D00 -20000E80 -21000E00 -22000E80 -23000EC0 -24000E80 -25000E00 -26000E80 -27000EC0 -28000E80 -29000E00 -20000F80 -21000F00 -22000F80 -23000FC0 -24000F80 -25000F00 -26000F80 -27000FC0 -28000F80 -29000F00 -20001080 -21001000 -22001080 -230010C0 -24001080 -25001000 -26001080 -270010C0 -28001080 -29001000 -20001180 -21001100 -22001180 -230011C0 -24001180 -25001100 -26001180 -270011C0 -28001180 -29001100 -20001280 -21001200 -22001280 -230012C0 -24001280 -25001200 -26001280 -270012C0 -28001280 -29001200 -20001380 -21001300 -22001380 -230013C0 -24001380 -25001300 -26001380 -270013C0 -28001380 -29001300 -20001480 -21001400 -22001480 -230014C0 -24001480 -25001400 -26001480 -270014C0 -28001480 -29001400 -20001580 -21001500 -22001580 -230015C0 -24001580 -25001500 -26001580 -270015C0 -28001580 -29001500 -20001680 -21001600 -22001680 -230016C0 -24001680 -25001600 -26001680 -270016C0 -28001680 -29001600 -20001780 -21001700 -22001780 -230017C0 -24001780 -25001700 -26001780 -270017C0 -28001780 -29001700 -20010080 -21010000 -22010080 -230100C0 -24010080 -25010000 -26010080 -270100C0 -28010080 -29010000 -20010180 -21010100 -22010180 -230101C0 -24010180 -25010100 -26010180 -270101C0 -28010180 -29010100 -20010280 -21010200 -22010280 -230102C0 -24010280 -25010200 -26010280 -270102C0 -28010280 -29010200 -20010380 -21010300 -22010380 -230103C0 -24010380 -25010300 -26010380 -270103C0 -28010380 -29010300 -20010480 -21010400 -22010480 -230104C0 -24010480 -25010400 -26010480 -270104C0 -28010480 -29010400 -20010580 -21010500 -22010580 -230105C0 -24010580 -25010500 -26010580 -270105C0 -28010580 -29010500 -20010680 -21010600 -22010680 -230106C0 -24010680 -25010600 -26010680 -270106C0 -28010680 -29010600 -20010780 -21010700 -22010780 -230107C0 -24010780 -25010700 -26010780 -270107C0 -28010780 -29010700 -20010880 -21010800 -22010880 -230108C0 -24010880 -25010800 -26010880 -270108C0 -28010880 -29010800 -20010980 -21010900 -22010980 -230109C0 -24010980 -25010900 -26010980 -270109C0 -28010980 -29010900 -20010A80 -21010A00 -22010A80 -23010AC0 -24010A80 -25010A00 -26010A80 -27010AC0 -28010A80 -29010A00 -20010B80 -21010B00 -22010B80 -23010BC0 -24010B80 -25010B00 -26010B80 -27010BC0 -28010B80 -29010B00 -20010C80 -21010C00 -22010C80 -23010CC0 -24010C80 -25010C00 -26010C80 -27010CC0 -28010C80 -29010C00 -20010D80 -21010D00 -22010D80 -23010DC0 -24010D80 -25010D00 -26010D80 -27010DC0 -28010D80 -29010D00 -20010E80 -21010E00 -22010E80 -23010EC0 -24010E80 -25010E00 -26010E80 -27010EC0 -28010E80 -29010E00 -20010F80 -21010F00 -22010F80 -23010FC0 -24010F80 -25010F00 -26010F80 -27010FC0 -28010F80 -29010F00 -20011080 -21011000 -22011080 -230110C0 -24011080 -25011000 -26011080 -270110C0 -28011080 -29011000 -20011180 -21011100 -22011180 -230111C0 -24011180 -25011100 -26011180 -270111C0 -28011180 -29011100 -20011280 -21011200 -22011280 -230112C0 -24011280 -25011200 -26011280 -270112C0 -28011280 -29011200 -20011380 -21011300 -22011380 -230113C0 -24011380 -25011300 -26011380 -270113C0 -28011380 -29011300 -20011480 -21011400 -22011480 -230114C0 -24011480 -25011400 -26011480 -270114C0 -28011480 -29011400 -20011580 -21011500 -22011580 -230115C0 -24011580 -25011500 -26011580 -270115C0 -28011580 -29011500 -20011680 -21011600 -22011680 -230116C0 -24011680 -25011600 -26011680 -270116C0 -28011680 -29011600 -20011780 -21011700 -22011780 -230117C0 -24011780 -25011700 -26011780 -270117C0 -28011780 -29011700 -20020080 -21020000 -22020080 -230200C0 -24020080 -25020000 -26020080 -270200C0 -28020080 -29020000 -20020180 -21020100 -22020180 -230201C0 -24020180 -25020100 -26020180 -270201C0 -28020180 -29020100 -20020280 -21020200 -22020280 -230202C0 -24020280 -25020200 -26020280 -270202C0 -28020280 -29020200 -20020380 -21020300 -22020380 -230203C0 -24020380 -25020300 -26020380 -270203C0 -28020380 -29020300 -20020480 -21020400 -22020480 -230204C0 -24020480 -25020400 -26020480 -270204C0 -28020480 -29020400 -20020580 -21020500 -22020580 -230205C0 -24020580 -25020500 -26020580 -270205C0 -28020580 -29020500 -20020680 -21020600 -22020680 -230206C0 -24020680 -25020600 -26020680 -270206C0 -28020680 -29020600 -20020780 -21020700 -22020780 -230207C0 -24020780 -25020700 -26020780 -270207C0 -28020780 -29020700 -20020880 -21020800 -22020880 -230208C0 -24020880 -25020800 -26020880 -270208C0 -28020880 -29020800 -20020980 -21020900 -22020980 -230209C0 -24020980 -25020900 -26020980 -270209C0 -28020980 -29020900 -20020A80 -21020A00 -22020A80 -23020AC0 -24020A80 -25020A00 -26020A80 -27020AC0 -28020A80 -29020A00 -20020B80 -21020B00 -22020B80 -23020BC0 -24020B80 -25020B00 -26020B80 -27020BC0 -28020B80 -29020B00 -20020C80 -21020C00 -22020C80 -23020CC0 -24020C80 -25020C00 -26020C80 -27020CC0 -28020C80 -29020C00 -20020D80 -21020D00 -22020D80 -23020DC0 -24020D80 -25020D00 -26020D80 -27020DC0 -28020D80 -29020D00 -20020E80 -21020E00 -22020E80 -23020EC0 -24020E80 -25020E00 -26020E80 -27020EC0 -28020E80 -29020E00 -20020F80 -21020F00 -22020F80 -23020FC0 -24020F80 -25020F00 -26020F80 -27020FC0 -28020F80 -29020F00 -20021080 -21021000 -22021080 -230210C0 -24021080 -25021000 -26021080 -270210C0 -28021080 -29021000 -20021180 -21021100 -22021180 -230211C0 -24021180 -25021100 -26021180 -270211C0 -28021180 -29021100 -20021280 -21021200 -22021280 -230212C0 -24021280 -25021200 -26021280 -270212C0 -28021280 -29021200 -20021380 -21021300 -22021380 -230213C0 -24021380 -25021300 -26021380 -270213C0 -28021380 -29021300 -20021480 -21021400 -22021480 -230214C0 -24021480 -25021400 -26021480 -270214C0 -28021480 -29021400 -20021580 -21021500 -22021580 -230215C0 -24021580 -25021500 -26021580 -270215C0 -28021580 -29021500 -20021680 -21021600 -22021680 -230216C0 -24021680 -25021600 -26021680 -270216C0 -28021680 -29021600 -20021780 -21021700 -22021780 -230217C0 -24021780 -25021700 -26021780 -270217C0 -28021780 -29021700 -20030080 -21030000 -22030080 -230300C0 -24030080 -25030000 -26030080 -270300C0 -28030080 -29030000 -20030180 -21030100 -22030180 -230301C0 -24030180 -25030100 -26030180 -270301C0 -28030180 -29030100 -20030280 -21030200 -22030280 -230302C0 -24030280 -25030200 -26030280 -270302C0 -28030280 -29030200 -20030380 -21030300 -22030380 -230303C0 -24030380 -25030300 -26030380 -270303C0 -28030380 -29030300 -20030480 -21030400 -22030480 -230304C0 -24030480 -25030400 -26030480 -270304C0 -28030480 -29030400 -20030580 -21030500 -22030580 -230305C0 -24030580 -25030500 -26030580 -270305C0 -28030580 -29030500 -20030680 -21030600 -22030680 -230306C0 -24030680 -25030600 -26030680 -270306C0 -28030680 -29030600 -20030780 -21030700 -22030780 -230307C0 -24030780 -25030700 -26030780 -270307C0 -28030780 -29030700 -20030880 -21030800 -22030880 -230308C0 -24030880 -25030800 -26030880 -270308C0 -28030880 -29030800 -20030980 -21030900 -22030980 -230309C0 -24030980 -25030900 -26030980 -270309C0 -28030980 -29030900 -20030A80 -21030A00 -22030A80 -23030AC0 -24030A80 -25030A00 -26030A80 -27030AC0 -28030A80 -29030A00 -20030B80 -21030B00 -22030B80 -23030BC0 -24030B80 -25030B00 -26030B80 -27030BC0 -28030B80 -29030B00 -20030C80 -21030C00 -22030C80 -23030CC0 -24030C80 -25030C00 -26030C80 -27030CC0 -28030C80 -29030C00 -20030D80 -21030D00 -22030D80 -23030DC0 -24030D80 -25030D00 -26030D80 -27030DC0 -28030D80 -29030D00 -20030E80 -21030E00 -22030E80 -23030EC0 -24030E80 -25030E00 -26030E80 -27030EC0 -28030E80 -29030E00 -20030F80 -21030F00 -22030F80 -23030FC0 -24030F80 -25030F00 -26030F80 -27030FC0 -28030F80 -29030F00 -20031080 -21031000 -22031080 -230310C0 -24031080 -25031000 -26031080 -270310C0 -28031080 -29031000 -20031180 -21031100 -22031180 -230311C0 -24031180 -25031100 -26031180 -270311C0 -28031180 -29031100 -20031280 -21031200 -22031280 -230312C0 -24031280 -25031200 -26031280 -270312C0 -28031280 -29031200 -20031380 -21031300 -22031380 -230313C0 -24031380 -25031300 -26031380 -270313C0 -28031380 -29031300 -20031480 -21031400 -22031480 -230314C0 -24031480 -25031400 -26031480 -270314C0 -28031480 -29031400 -20031580 -21031500 -22031580 -230315C0 -24031580 -25031500 -26031580 -270315C0 -28031580 -29031500 -20031680 -21031600 -22031680 -230316C0 -24031680 -25031600 -26031680 -270316C0 -28031680 -29031600 -20031780 -21031700 -22031780 -230317C0 -24031780 -25031700 -26031780 -270317C0 -28031780 -29031700 -20040080 -21040000 -22040080 -230400C0 -24040080 -25040000 -26040080 -270400C0 -28040080 -29040000 -20040180 -21040100 -22040180 -230401C0 -24040180 -25040100 -26040180 -270401C0 -28040180 -29040100 -20040280 -21040200 -22040280 -230402C0 -24040280 -25040200 -26040280 -270402C0 -28040280 -29040200 -20040380 -21040300 -22040380 -230403C0 -24040380 -25040300 -26040380 -270403C0 -28040380 -29040300 -20040480 -21040400 -22040480 -230404C0 -24040480 -25040400 -26040480 -270404C0 -28040480 -29040400 -20040580 -21040500 -22040580 -230405C0 -24040580 -25040500 -26040580 -270405C0 -28040580 -29040500 -20040680 -21040600 -22040680 -230406C0 -24040680 -25040600 -26040680 -270406C0 -28040680 -29040600 -20040780 -21040700 -22040780 -230407C0 -24040780 -25040700 -26040780 -270407C0 -28040780 -29040700 -20040880 -21040800 -22040880 -230408C0 -24040880 -25040800 -26040880 -270408C0 -28040880 -29040800 -20040980 -21040900 -22040980 -230409C0 -24040980 -25040900 -26040980 -270409C0 -28040980 -29040900 -20040A80 -21040A00 -22040A80 -23040AC0 -24040A80 -25040A00 -26040A80 -27040AC0 -28040A80 -29040A00 -20040B80 -21040B00 -22040B80 -23040BC0 -24040B80 -25040B00 -26040B80 -27040BC0 -28040B80 -29040B00 -20040C80 -21040C00 -22040C80 -23040CC0 -24040C80 -25040C00 -26040C80 -27040CC0 -28040C80 -29040C00 -20040D80 -21040D00 -22040D80 -23040DC0 -24040D80 -25040D00 -26040D80 -27040DC0 -28040D80 -29040D00 -20040E80 -21040E00 -22040E80 -23040EC0 -24040E80 -25040E00 -26040E80 -27040EC0 -28040E80 -29040E00 -20040F80 -21040F00 -22040F80 -23040FC0 -24040F80 -25040F00 -26040F80 -27040FC0 -28040F80 -29040F00 -20041080 -21041000 -22041080 -230410C0 -24041080 -25041000 -26041080 -270410C0 -28041080 -29041000 -20041180 -21041100 -22041180 -230411C0 -24041180 -25041100 -26041180 -270411C0 -28041180 -29041100 -20041280 -21041200 -22041280 -230412C0 -24041280 -25041200 -26041280 -270412C0 -28041280 -29041200 -20041380 -21041300 -22041380 -230413C0 -24041380 -25041300 -26041380 -270413C0 -28041380 -29041300 -20041480 -21041400 -22041480 -230414C0 -24041480 -25041400 -26041480 -270414C0 -28041480 -29041400 -20041580 -21041500 -22041580 -230415C0 -24041580 -25041500 -26041580 -270415C0 -28041580 -29041500 -20041680 -21041600 -22041680 -230416C0 -24041680 -25041600 -26041680 -270416C0 -28041680 -29041600 -20041780 -21041700 -22041780 -230417C0 -24041780 -25041700 -26041780 -270417C0 -28041780 -29041700 -20050080 -21050000 -22050080 -230500C0 -24050080 -25050000 -26050080 -270500C0 -28050080 -29050000 -20050180 -21050100 -22050180 -230501C0 -24050180 -25050100 -26050180 -270501C0 -28050180 -29050100 -20050280 -21050200 -22050280 -230502C0 -24050280 -25050200 -26050280 -270502C0 -28050280 -29050200 -20050380 -21050300 -22050380 -230503C0 -24050380 -25050300 -26050380 -270503C0 -28050380 -29050300 -20050480 -21050400 -22050480 -230504C0 -24050480 -25050400 -26050480 -270504C0 -28050480 -29050400 -20050580 -21050500 -22050580 -230505C0 -24050580 -25050500 -26050580 -270505C0 -28050580 -29050500 -20050680 -21050600 -22050680 -230506C0 -24050680 -25050600 -26050680 -270506C0 -28050680 -29050600 -20050780 -21050700 -22050780 -230507C0 -24050780 -25050700 -26050780 -270507C0 -28050780 -29050700 -20050880 -21050800 -22050880 -230508C0 -24050880 -25050800 -26050880 -270508C0 -28050880 -29050800 -20050980 -21050900 -22050980 -230509C0 -24050980 -25050900 -26050980 -270509C0 -28050980 -29050900 -20050A80 -21050A00 -22050A80 -23050AC0 -24050A80 -25050A00 -26050A80 -27050AC0 -28050A80 -29050A00 -20050B80 -21050B00 -22050B80 -23050BC0 -24050B80 -25050B00 -26050B80 -27050BC0 -28050B80 -29050B00 -20050C80 -21050C00 -22050C80 -23050CC0 -24050C80 -25050C00 -26050C80 -27050CC0 -28050C80 -29050C00 -20050D80 -21050D00 -22050D80 -23050DC0 -24050D80 -25050D00 -26050D80 -27050DC0 -28050D80 -29050D00 -20050E80 -21050E00 -22050E80 -23050EC0 -24050E80 -25050E00 -26050E80 -27050EC0 -28050E80 -29050E00 -20050F80 -21050F00 -22050F80 -23050FC0 -24050F80 -25050F00 -26050F80 -27050FC0 -28050F80 -29050F00 -20051080 -21051000 -22051080 -230510C0 -24051080 -25051000 -26051080 -270510C0 -28051080 -29051000 -20051180 -21051100 -22051180 -230511C0 -24051180 -25051100 -26051180 -270511C0 -28051180 -29051100 -20051280 -21051200 -22051280 -230512C0 -24051280 -25051200 -26051280 -270512C0 -28051280 -29051200 -20051380 -21051300 -22051380 -230513C0 -24051380 -25051300 -26051380 -270513C0 -28051380 -29051300 -20051480 -21051400 -22051480 -230514C0 -24051480 -25051400 -26051480 -270514C0 -28051480 -29051400 -20051580 -21051500 -22051580 -230515C0 -24051580 -25051500 -26051580 -270515C0 -28051580 -29051500 -20051680 -21051600 -22051680 -230516C0 -24051680 -25051600 -26051680 -270516C0 -28051680 -29051600 -20051780 -21051700 -22051780 -230517C0 -24051780 -25051700 -26051780 -270517C0 -28051780 -29051700 -20060080 -21060000 -22060080 -230600C0 -24060080 -25060000 -26060080 -270600C0 -28060080 -29060000 -20060180 -21060100 -22060180 -230601C0 -24060180 -25060100 -26060180 -270601C0 -28060180 -29060100 -20060280 -21060200 -22060280 -230602C0 -24060280 -25060200 -26060280 -270602C0 -28060280 -29060200 -20060380 -21060300 -22060380 -230603C0 -24060380 -25060300 -26060380 -270603C0 -28060380 -29060300 -20060480 -21060400 -22060480 -230604C0 -24060480 -25060400 -26060480 -270604C0 -28060480 -29060400 -20060580 -21060500 -22060580 -230605C0 -24060580 -25060500 -26060580 -270605C0 -28060580 -29060500 -20060680 -21060600 -22060680 -230606C0 -24060680 -25060600 -26060680 -270606C0 -28060680 -29060600 -20060780 -21060700 -22060780 -230607C0 -24060780 -25060700 -26060780 -270607C0 -28060780 -29060700 -20060880 -21060800 -22060880 -230608C0 -24060880 -25060800 -26060880 -270608C0 -28060880 -29060800 -20060980 -21060900 -22060980 -230609C0 -24060980 -25060900 -26060980 -270609C0 -28060980 -29060900 -20060A80 -21060A00 -22060A80 -23060AC0 -24060A80 -25060A00 -26060A80 -27060AC0 -28060A80 -29060A00 -20060B80 -21060B00 -22060B80 -23060BC0 -24060B80 -25060B00 -26060B80 -27060BC0 -28060B80 -29060B00 -20060C80 -21060C00 -22060C80 -23060CC0 -24060C80 -25060C00 -26060C80 -27060CC0 -28060C80 -29060C00 -20060D80 -21060D00 -22060D80 -23060DC0 -24060D80 -25060D00 -26060D80 -27060DC0 -28060D80 -29060D00 -20060E80 -21060E00 -22060E80 -23060EC0 -24060E80 -25060E00 -26060E80 -27060EC0 -28060E80 -29060E00 -20060F80 -21060F00 -22060F80 -23060FC0 -24060F80 -25060F00 -26060F80 -27060FC0 -28060F80 -29060F00 -20061080 -21061000 -22061080 -230610C0 -24061080 -25061000 -26061080 -270610C0 -28061080 -29061000 -20061180 -21061100 -22061180 -230611C0 -24061180 -25061100 -26061180 -270611C0 -28061180 -29061100 -20061280 -21061200 -22061280 -230612C0 -24061280 -25061200 -26061280 -270612C0 -28061280 -29061200 -20061380 -21061300 -22061380 -230613C0 -24061380 -25061300 -26061380 -270613C0 -28061380 -29061300 -20061480 -21061400 -22061480 -230614C0 -24061480 -25061400 -26061480 -270614C0 -28061480 -29061400 -20061580 -21061500 -22061580 -230615C0 -24061580 -25061500 -26061580 -270615C0 -28061580 -29061500 -20061680 -21061600 -22061680 -230616C0 -24061680 -25061600 -26061680 -270616C0 -28061680 -29061600 -20061780 -21061700 -22061780 -230617C0 -24061780 -25061700 -26061780 -270617C0 -28061780 -29061700 -30000080 -31000000 -32000080 -330000C0 -34000080 -35000000 -36000080 -370000C0 -38000080 -39000000 -30000180 -31000100 -32000180 -330001C0 -34000180 -35000100 -36000180 -370001C0 -38000180 -39000100 -30000280 -31000200 -32000280 -330002C0 -34000280 -35000200 -36000280 -370002C0 -38000280 -39000200 -30000380 -31000300 -32000380 -330003C0 -34000380 -35000300 -36000380 -370003C0 -38000380 -39000300 -30000480 -31000400 -32000480 -330004C0 -34000480 -35000400 -36000480 -370004C0 -38000480 -39000400 -30000580 -31000500 -32000580 -330005C0 -34000580 -35000500 -36000580 -370005C0 -38000580 -39000500 -30000680 -31000600 -32000680 -330006C0 -34000680 -35000600 -36000680 -370006C0 -38000680 -39000600 -30010080 -31010000 -32010080 -330100C0 -34010080 -35010000 -36010080 -370100C0 -38010080 -39010000 -30010180 -31010100 -32010180 -330101C0 -34010180 -35010100 -36010180 -370101C0 -38010180 -39010100 -30010280 -31010200 -32010280 -330102C0 -34010280 -35010200 -36010280 -370102C0 -38010280 -39010200 -30010380 -31010300 -32010380 -330103C0 -34010380 -35010300 -36010380 -370103C0 -38010380 -39010300 -30010480 -31010400 -32010480 -330104C0 -34010480 -35010400 -36010480 -370104C0 -38010480 -39010400 -30010580 -31010500 -32010580 -330105C0 -34010580 -35010500 -36010580 -370105C0 -38010580 -39010500 -30010680 -31010600 -32010680 -330106C0 -34010680 -35010600 -36010680 -370106C0 -38010680 -39010600 -30020080 -31020000 -32020080 -330200C0 -34020080 -35020000 -36020080 -370200C0 -38020080 -39020000 -30020180 -31020100 -32020180 -330201C0 -34020180 -35020100 -36020180 -370201C0 -38020180 -39020100 -30020280 -31020200 -32020280 -330202C0 -34020280 -35020200 -36020280 -370202C0 -38020280 -39020200 -30020380 -31020300 -32020380 -330203C0 -34020380 -35020300 -36020380 -370203C0 -38020380 -39020300 -30020480 -31020400 -32020480 -330204C0 -34020480 -35020400 -36020480 -370204C0 -38020480 -39020400 -30020580 -31020500 -32020580 -330205C0 -34020580 -35020500 -36020580 -370205C0 -38020580 -39020500 -30020680 -31020600 -32020680 -330206C0 -34020680 -35020600 -36020680 -370206C0 -38020680 -39020600 -30030080 -31030000 -32030080 -330300C0 -34030080 -35030000 -36030080 -370300C0 -38030080 -39030000 -30030180 -31030100 -32030180 -330301C0 -34030180 -35030100 -36030180 -370301C0 -38030180 -39030100 -30030280 -31030200 -32030280 -330302C0 -34030280 -35030200 -36030280 -370302C0 -38030280 -39030200 -30030380 -31030300 -32030380 -330303C0 -34030380 -35030300 -36030380 -370303C0 -38030380 -39030300 -30030480 -31030400 -32030480 -330304C0 -34030480 -35030400 -36030480 -370304C0 -38030480 -39030400 -30030580 -31030500 -32030580 -330305C0 -34030580 -35030500 -36030580 -370305C0 -38030580 -39030500 -30030680 -31030600 -32030680 -330306C0 -34030680 -35030600 -36030680 -370306C0 -38030680 -39030600 -30040080 -31040000 -32040080 -330400C0 -34040080 -35040000 -36040080 -370400C0 -38040080 -39040000 -30040180 -31040100 -32040180 -330401C0 -34040180 -35040100 -36040180 -370401C0 -38040180 -39040100 -30040280 -31040200 -32040280 -330402C0 -34040280 -35040200 -36040280 -370402C0 -38040280 -39040200 -30040380 -31040300 -32040380 -330403C0 -34040380 -35040300 -36040380 -370403C0 -38040380 -39040300 -30040480 -31040400 -32040480 -330404C0 -34040480 -35040400 -36040480 -370404C0 -38040480 -39040400 -30040580 -31040500 -32040580 -330405C0 -34040580 -35040500 -36040580 -370405C0 -38040580 -39040500 -30040680 -31040600 -32040680 -330406C0 -34040680 -35040600 -36040680 -370406C0 -38040680 -39040600 -30050080 -31050000 -32050080 -330500C0 -34050080 -35050000 -36050080 -370500C0 -38050080 -39050000 -30050180 -31050100 -32050180 -330501C0 -34050180 -35050100 -36050180 -370501C0 -38050180 -39050100 -30050280 -31050200 -32050280 -330502C0 -34050280 -35050200 -36050280 -370502C0 -38050280 -39050200 -30050380 -31050300 -32050380 -330503C0 -34050380 -35050300 -36050380 -370503C0 -38050380 -39050300 -30050480 -31050400 -32050480 -330504C0 -34050480 -35050400 -36050480 -370504C0 -38050480 -39050400 -30050580 -31050500 -32050580 -330505C0 -34050580 -35050500 -36050580 -370505C0 -38050580 -39050500 -30050680 -31050600 -32050680 -330506C0 -34050680 -35050600 -36050680 -370506C0 -38050680 -39050600 -30060080 -31060000 -32060080 -330600C0 -34060080 -35060000 -36060080 -370600C0 -38060080 -39060000 -30060180 -31060100 -32060180 -330601C0 -34060180 -35060100 -36060180 -370601C0 -38060180 -39060100 -30060280 -31060200 -32060280 -330602C0 -34060280 -35060200 -36060280 -370602C0 -38060280 -39060200 -30060380 -31060300 -32060380 -330603C0 -34060380 -35060300 -36060380 -370603C0 -38060380 -39060300 -30060480 -31060400 -32060480 -330604C0 -34060480 -35060400 -36060480 -370604C0 -38060480 -39060400 -30060580 -31060500 -32060580 -330605C0 -34060580 -35060500 -36060580 -370605C0 -38060580 -39060500 -30060680 -31060600 -32060680 -330606C0 -34060680 -35060600 -36060680 -370606C0 -38060680 -39060600 -30070080 -31070000 -32070080 -330700C0 -34070080 -35070000 -36070080 -370700C0 -38070080 -39070000 -30070180 -31070100 -32070180 -330701C0 -34070180 -35070100 -36070180 -370701C0 -38070180 -39070100 -30070280 -31070200 -32070280 -330702C0 -34070280 -35070200 -36070280 -370702C0 -38070280 -39070200 -30070380 -31070300 -32070380 -330703C0 -34070380 -35070300 -36070380 -370703C0 -38070380 -39070300 -30070480 -31070400 -32070480 -330704C0 -34070480 -35070400 -36070480 -370704C0 -38070480 -39070400 -30070580 -31070500 -32070580 -330705C0 -34070580 -35070500 -36070580 -370705C0 -38070580 -39070500 -30070680 -31070600 -32070680 -330706C0 -34070680 -35070600 -36070680 -370706C0 -38070680 -39070600 -30080080 -31080000 -32080080 -330800C0 -34080080 -35080000 -36080080 -370800C0 -38080080 -39080000 -30080180 -31080100 -32080180 -330801C0 -34080180 -35080100 -36080180 -370801C0 -38080180 -39080100 -30080280 -31080200 -32080280 -330802C0 -34080280 -35080200 -36080280 -370802C0 -38080280 -39080200 -30080380 -31080300 -32080380 -330803C0 -34080380 -35080300 -36080380 -370803C0 -38080380 -39080300 -30080480 -31080400 -32080480 -330804C0 -34080480 -35080400 -36080480 -370804C0 -38080480 -39080400 -30080580 -31080500 -32080580 -330805C0 -34080580 -35080500 -36080580 -370805C0 -38080580 -39080500 -30080680 -31080600 -32080680 -330806C0 -34080680 -35080600 -36080680 -370806C0 -38080680 -39080600 -30090080 -31090000 -32090080 -330900C0 -34090080 -35090000 -36090080 -370900C0 -38090080 -39090000 -30090180 -31090100 -32090180 -330901C0 -34090180 -35090100 -36090180 -370901C0 -38090180 -39090100 -30090280 -31090200 -32090280 -330902C0 -34090280 -35090200 -36090280 -370902C0 -38090280 -39090200 -30090380 -31090300 -32090380 -330903C0 -34090380 -35090300 -36090380 -370903C0 -38090380 -39090300 -30090480 -31090400 -32090480 -330904C0 -34090480 -35090400 -36090480 -370904C0 -38090480 -39090400 -30090580 -31090500 -32090580 -330905C0 -34090580 -35090500 -36090580 -370905C0 -38090580 -39090500 -30090680 -31090600 -32090680 -330906C0 -34090680 -35090600 -36090680 -370906C0 -38090680 -39090600 -300A0080 -310A0000 -320A0080 -330A00C0 -340A0080 -350A0000 -360A0080 -370A00C0 -380A0080 -390A0000 -300A0180 -310A0100 -320A0180 -330A01C0 -340A0180 -350A0100 -360A0180 -370A01C0 -380A0180 -390A0100 -300A0280 -310A0200 -320A0280 -330A02C0 -340A0280 -350A0200 -360A0280 -370A02C0 -380A0280 -390A0200 -300A0380 -310A0300 -320A0380 -330A03C0 -340A0380 -350A0300 -360A0380 -370A03C0 -380A0380 -390A0300 -300A0480 -310A0400 -320A0480 -330A04C0 -340A0480 -350A0400 -360A0480 -370A04C0 -380A0480 -390A0400 -300A0580 -310A0500 -320A0580 -330A05C0 -340A0580 -350A0500 -360A0580 -370A05C0 -380A0580 -390A0500 -300A0680 -310A0600 -320A0680 -330A06C0 -340A0680 -350A0600 -360A0680 -370A06C0 -380A0680 -390A0600 -300B0080 -310B0000 -320B0080 -330B00C0 -340B0080 -350B0000 -360B0080 -370B00C0 -380B0080 -390B0000 -300B0180 -310B0100 -320B0180 -330B01C0 -340B0180 -350B0100 -360B0180 -370B01C0 -380B0180 -390B0100 -300B0280 -310B0200 -320B0280 -330B02C0 -340B0280 -350B0200 -360B0280 -370B02C0 -380B0280 -390B0200 -300B0380 -310B0300 -320B0380 -330B03C0 -340B0380 -350B0300 -360B0380 -370B03C0 -380B0380 -390B0300 -300B0480 -310B0400 -320B0480 -330B04C0 -340B0480 -350B0400 -360B0480 -370B04C0 -380B0480 -390B0400 -300B0580 -310B0500 -320B0580 -330B05C0 -340B0580 -350B0500 -360B0580 -370B05C0 -380B0580 -390B0500 -300B0680 -310B0600 -320B0680 -330B06C0 -340B0680 -350B0600 -360B0680 -370B06C0 -380B0680 -390B0600 -300C0080 -310C0000 -320C0080 -330C00C0 -340C0080 -350C0000 -360C0080 -370C00C0 -380C0080 -390C0000 -300C0180 -310C0100 -320C0180 -330C01C0 -340C0180 -350C0100 -360C0180 -370C01C0 -380C0180 -390C0100 -300C0280 -310C0200 -320C0280 -330C02C0 -340C0280 -350C0200 -360C0280 -370C02C0 -380C0280 -390C0200 -300C0380 -310C0300 -320C0380 -330C03C0 -340C0380 -350C0300 -360C0380 -370C03C0 -380C0380 -390C0300 -300C0480 -310C0400 -320C0480 -330C04C0 -340C0480 -350C0400 -360C0480 -370C04C0 -380C0480 -390C0400 -300C0580 -310C0500 -320C0580 -330C05C0 -340C0580 -350C0500 -360C0580 -370C05C0 -380C0580 -390C0500 -300C0680 -310C0600 -320C0680 -330C06C0 -340C0680 -350C0600 -360C0680 -370C06C0 -380C0680 -390C0600 -300D0080 -310D0000 -320D0080 -330D00C0 -340D0080 -350D0000 -360D0080 -370D00C0 -380D0080 -390D0000 -300D0180 -310D0100 -320D0180 -330D01C0 -340D0180 -350D0100 -360D0180 -370D01C0 -380D0180 -390D0100 -300D0280 -310D0200 -320D0280 -330D02C0 -340D0280 -350D0200 -360D0280 -370D02C0 -380D0280 -390D0200 -300D0380 -310D0300 -320D0380 -330D03C0 -340D0380 -350D0300 -360D0380 -370D03C0 -380D0380 -390D0300 -300D0480 -310D0400 -320D0480 -330D04C0 -340D0480 -350D0400 -360D0480 -370D04C0 -380D0480 -390D0400 -300D0580 -310D0500 -320D0580 -330D05C0 -340D0580 -350D0500 -360D0580 -370D05C0 -380D0580 -390D0500 -300D0680 -310D0600 -320D0680 -330D06C0 -340D0680 -350D0600 -360D0680 -370D06C0 -380D0680 -390D0600 -300E0080 -310E0000 -320E0080 -330E00C0 -340E0080 -350E0000 -360E0080 -370E00C0 -380E0080 -390E0000 -300E0180 -310E0100 -320E0180 -330E01C0 -340E0180 -350E0100 -360E0180 -370E01C0 -380E0180 -390E0100 -300E0280 -310E0200 -320E0280 -330E02C0 -340E0280 -350E0200 -360E0280 -370E02C0 -380E0280 -390E0200 -300E0380 -310E0300 -320E0380 -330E03C0 -340E0380 -350E0300 -360E0380 -370E03C0 -380E0380 -390E0300 -300E0480 -310E0400 -320E0480 -330E04C0 -340E0480 -350E0400 -360E0480 -370E04C0 -380E0480 -390E0400 -300E0580 -310E0500 -320E0580 -330E05C0 -340E0580 -350E0500 -360E0580 -370E05C0 -380E0580 -390E0500 -300E0680 -310E0600 -320E0680 -330E06C0 -340E0680 -350E0600 -360E0680 -370E06C0 -380E0680 -390E0600 -300F0080 -310F0000 -320F0080 -330F00C0 -340F0080 -350F0000 -360F0080 -370F00C0 -380F0080 -390F0000 -300F0180 -310F0100 -320F0180 -330F01C0 -340F0180 -350F0100 -360F0180 -370F01C0 -380F0180 -390F0100 -300F0280 -310F0200 -320F0280 -330F02C0 -340F0280 -350F0200 -360F0280 -370F02C0 -380F0280 -390F0200 -300F0380 -310F0300 -320F0380 -330F03C0 -340F0380 -350F0300 -360F0380 -370F03C0 -380F0380 -390F0300 -300F0480 -310F0400 -320F0480 -330F04C0 -340F0480 -350F0400 -360F0480 -370F04C0 -380F0480 -390F0400 -300F0580 -310F0500 -320F0580 -330F05C0 -340F0580 -350F0500 -360F0580 -370F05C0 -380F0580 -390F0500 -300F0680 -310F0600 -320F0680 -330F06C0 -340F0680 -350F0600 -360F0680 -370F06C0 -380F0680 -390F0600 -30100080 -31100000 -32100080 -331000C0 -34100080 -35100000 -36100080 -371000C0 -38100080 -39100000 -30100180 -31100100 -32100180 -331001C0 -34100180 -35100100 -36100180 -371001C0 -38100180 -39100100 -30100280 -31100200 -32100280 -331002C0 -34100280 -35100200 -36100280 -371002C0 -38100280 -39100200 -30100380 -31100300 -32100380 -331003C0 -34100380 -35100300 -36100380 -371003C0 -38100380 -39100300 -30100480 -31100400 -32100480 -331004C0 -34100480 -35100400 -36100480 -371004C0 -38100480 -39100400 -30100580 -31100500 -32100580 -331005C0 -34100580 -35100500 -36100580 -371005C0 -38100580 -39100500 -30100680 -31100600 -32100680 -331006C0 -34100680 -35100600 -36100680 -371006C0 -38100680 -39100600 -30110080 -31110000 -32110080 -331100C0 -34110080 -35110000 -36110080 -371100C0 -38110080 -39110000 -30110180 -31110100 -32110180 -331101C0 -34110180 -35110100 -36110180 -371101C0 -38110180 -39110100 -30110280 -31110200 -32110280 -331102C0 -34110280 -35110200 -36110280 -371102C0 -38110280 -39110200 -30110380 -31110300 -32110380 -331103C0 -34110380 -35110300 -36110380 -371103C0 -38110380 -39110300 -30110480 -31110400 -32110480 -331104C0 -34110480 -35110400 -36110480 -371104C0 -38110480 -39110400 -30110580 -31110500 -32110580 -331105C0 -34110580 -35110500 -36110580 -371105C0 -38110580 -39110500 -30110680 -31110600 -32110680 -331106C0 -34110680 -35110600 -36110680 -371106C0 -38110680 -39110600 -30120080 -31120000 -32120080 -331200C0 -34120080 -35120000 -36120080 -371200C0 -38120080 -39120000 -30120180 -31120100 -32120180 -331201C0 -34120180 -35120100 -36120180 -371201C0 -38120180 -39120100 -30120280 -31120200 -32120280 -331202C0 -34120280 -35120200 -36120280 -371202C0 -38120280 -39120200 -30120380 -31120300 -32120380 -331203C0 -34120380 -35120300 -36120380 -371203C0 -38120380 -39120300 -30120480 -31120400 -32120480 -331204C0 -34120480 -35120400 -36120480 -371204C0 -38120480 -39120400 -30120580 -31120500 -32120580 -331205C0 -34120580 -35120500 -36120580 -371205C0 -38120580 -39120500 -30120680 -31120600 -32120680 -331206C0 -34120680 -35120600 -36120680 -371206C0 -38120680 -39120600 -30130080 -31130000 -32130080 -331300C0 -34130080 -35130000 -36130080 -371300C0 -38130080 -39130000 -30130180 -31130100 -32130180 -331301C0 -34130180 -35130100 -36130180 -371301C0 -38130180 -39130100 -30130280 -31130200 -32130280 -331302C0 -34130280 -35130200 -36130280 -371302C0 -38130280 -39130200 -30130380 -31130300 -32130380 -331303C0 -34130380 -35130300 -36130380 -371303C0 -38130380 -39130300 -30130480 -31130400 -32130480 -331304C0 -34130480 -35130400 -36130480 -371304C0 -38130480 -39130400 -30130580 -31130500 -32130580 -331305C0 -34130580 -35130500 -36130580 -371305C0 -38130580 -39130500 -30130680 -31130600 -32130680 -331306C0 -34130680 -35130600 -36130680 -371306C0 -38130680 -39130600 -30140080 -31140000 -32140080 -331400C0 -34140080 -35140000 -36140080 -371400C0 -38140080 -39140000 -30140180 -31140100 -32140180 -331401C0 -34140180 -35140100 -36140180 -371401C0 -38140180 -39140100 -30140280 -31140200 -32140280 -331402C0 -34140280 -35140200 -36140280 -371402C0 -38140280 -39140200 -30140380 -31140300 -32140380 -331403C0 -34140380 -35140300 -36140380 -371403C0 -38140380 -39140300 -30140480 -31140400 -32140480 -331404C0 -34140480 -35140400 -36140480 -371404C0 -38140480 -39140400 -30140580 -31140500 -32140580 -331405C0 -34140580 -35140500 -36140580 -371405C0 -38140580 -39140500 -30140680 -31140600 -32140680 -331406C0 -34140680 -35140600 -36140680 -371406C0 -38140680 -39140600 -30150080 -31150000 -32150080 -331500C0 -34150080 -35150000 -36150080 -371500C0 -38150080 -39150000 -30150180 -31150100 -32150180 -331501C0 -34150180 -35150100 -36150180 -371501C0 -38150180 -39150100 -30150280 -31150200 -32150280 -331502C0 -34150280 -35150200 -36150280 -371502C0 -38150280 -39150200 -30150380 -31150300 -32150380 -331503C0 -34150380 -35150300 -36150380 -371503C0 -38150380 -39150300 -30150480 -31150400 -32150480 -331504C0 -34150480 -35150400 -36150480 -371504C0 -38150480 -39150400 -30150580 -31150500 -32150580 -331505C0 -34150580 -35150500 -36150580 -371505C0 -38150580 -39150500 -30150680 -31150600 -32150680 -331506C0 -34150680 -35150600 -36150680 -371506C0 -38150680 -39150600 -30160080 -31160000 -32160080 -331600C0 -34160080 -35160000 -36160080 -371600C0 -38160080 -39160000 -30160180 -31160100 -32160180 -331601C0 -34160180 -35160100 -36160180 -371601C0 -38160180 -39160100 -30160280 -31160200 -32160280 -331602C0 -34160280 -35160200 -36160280 -371602C0 -38160280 -39160200 -30160380 -31160300 -32160380 -331603C0 -34160380 -35160300 -36160380 -371603C0 -38160380 -39160300 -30160480 -31160400 -32160480 -331604C0 -34160480 -35160400 -36160480 -371604C0 -38160480 -39160400 -30160580 -31160500 -32160580 -331605C0 -34160580 -35160500 -36160580 -371605C0 -38160580 -39160500 -30160680 -31160600 -32160680 -331606C0 -34160680 -35160600 -36160680 -371606C0 -38160680 -39160600 -30170080 -31170000 -32170080 -331700C0 -34170080 -35170000 -36170080 -371700C0 -38170080 -39170000 -30170180 -31170100 -32170180 -331701C0 -34170180 -35170100 -36170180 -371701C0 -38170180 -39170100 -30170280 -31170200 -32170280 -331702C0 -34170280 -35170200 -36170280 -371702C0 -38170280 -39170200 -30170380 -31170300 -32170380 -331703C0 -34170380 -35170300 -36170380 -371703C0 -38170380 -39170300 -30170480 -31170400 -32170480 -331704C0 -34170480 -35170400 -36170480 -371704C0 -38170480 -39170400 -30170580 -31170500 -32170580 -331705C0 -34170580 -35170500 -36170580 -371705C0 -38170580 -39170500 -30170680 -31170600 -32170680 -331706C0 -34170680 -35170600 -36170680 -371706C0 -38170680 -39170600 -400000F0 -410000FF -400001FF -410001F0 -400002F0 -410002FF -400003FF -410003F0 -400004F0 -410004FF -400005FF -410005F0 -400100F0 -410100FF -400101FF -410101F0 -400102F0 -410102FF -400103FF -410103F0 -400104F0 -410104FF -400105FF -410105F0 -400200F0 -410200FF -400201FF -410201F0 -400202F0 -410202FF -400203FF -410203F0 -400204F0 -410204FF -400205FF -410205F0 -400300F0 -410300FF -400301FF -410301F0 -400302F0 -410302FF -400303FF -410303F0 -400304F0 -410304FF -400305FF -410305F0 -400400F0 -410400FF -400401FF -410401F0 -400402F0 -410402FF -400403FF -410403F0 -400404F0 -410404FF -400405FF -410405F0 -400500F0 -410500FF -400501FF -410501F0 -400502F0 -410502FF -400503FF -410503F0 -400504F0 -410504FF -400505FF -410505F0 -60000000 -61000000 -62000000 -63000000 -64000000 -65000000 -66000000 -67000000 -60000100 -61000100 -62000100 -63000100 -64000100 -65000100 -66000100 -67000100 -60010000 -61010000 -62010000 -63010000 -64010000 -65010000 -66010000 -67010000 -60010100 -61010100 -62010100 -63010100 -64010100 -65010100 -66010100 -67010100 -60020000 -61020000 -62020000 -63020000 -64020000 -65020000 -66020000 -67020000 -60020100 -61020100 -62020100 -63020100 -64020100 -65020100 -66020100 -67020100 -60030000 -61030000 -62030000 -63030000 -64030000 -65030000 -66030000 -67030000 -60030100 -61030100 -62030100 -63030100 -64030100 -65030100 -66030100 -67030100 -60040000 -61040000 -62040000 -63040000 -64040000 -65040000 -66040000 -67040000 -60040100 -61040100 -62040100 -63040100 -64040100 -65040100 -66040100 -67040100 -60050000 -61050000 -62050000 -63050000 -64050000 -65050000 -66050000 -67050000 -60050100 -61050100 -62050100 -63050100 -64050100 -65050100 -66050100 -67050100 -60060000 -61060000 -62060000 -63060000 -64060000 -65060000 -66060000 -67060000 -60060100 -61060100 -62060100 -63060100 -64060100 -65060100 -66060100 -67060100 -60070000 -61070000 -62070000 -63070000 -64070000 -65070000 -66070000 -67070000 -60070100 -61070100 -62070100 -63070100 -64070100 -65070100 -66070100 -67070100 -60080000 -61080000 -62080000 -63080000 -64080000 -65080000 -66080000 -67080000 -60080100 -61080100 -62080100 -63080100 -64080100 -65080100 -66080100 -67080100 -60090000 -61090000 -62090000 -63090000 -64090000 -65090000 -66090000 -67090000 -60090100 -61090100 -62090100 -63090100 -64090100 -65090100 -66090100 -67090100 -600A0000 -610A0000 -620A0000 -630A0000 -640A0000 -650A0000 -660A0000 -670A0000 -600A0100 -610A0100 -620A0100 -630A0100 -640A0100 -650A0100 -660A0100 -670A0100 -600B0000 -610B0000 -620B0000 -630B0000 -640B0000 -650B0000 -660B0000 -670B0000 -600B0100 -610B0100 -620B0100 -630B0100 -640B0100 -650B0100 -660B0100 -670B0100 -600C0000 -610C0000 -620C0000 -630C0000 -640C0000 -650C0000 -660C0000 -670C0000 -600C0100 -610C0100 -620C0100 -630C0100 -640C0100 -650C0100 -660C0100 -670C0100 -600D0000 -610D0000 -620D0000 -630D0000 -640D0000 -650D0000 -660D0000 -670D0000 -600D0100 -610D0100 -620D0100 -630D0100 -640D0100 -650D0100 -660D0100 -670D0100 -600E0000 -610E0000 -620E0000 -630E0000 -640E0000 -650E0000 -660E0000 -670E0000 -600E0100 -610E0100 -620E0100 -630E0100 -640E0100 -650E0100 -660E0100 -670E0100 -600F0000 -610F0000 -620F0000 -630F0000 -640F0000 -650F0000 -660F0000 -670F0000 -600F0100 -610F0100 -620F0100 -630F0100 -640F0100 -650F0100 -660F0100 -670F0100 -60100000 -61100000 -62100000 -63100000 -64100000 -65100000 -66100000 -67100000 -60100100 -61100100 -62100100 -63100100 -64100100 -65100100 -66100100 -67100100 -60110000 -61110000 -62110000 -63110000 -64110000 -65110000 -66110000 -67110000 -60110100 -61110100 -62110100 -63110100 -64110100 -65110100 -66110100 -67110100 -60120000 -61120000 -62120000 -63120000 -64120000 -65120000 -66120000 -67120000 -60120100 -61120100 -62120100 -63120100 -64120100 -65120100 -66120100 -67120100 -60130000 -61130000 -62130000 -63130000 -64130000 -65130000 -66130000 -67130000 -60130100 -61130100 -62130100 -63130100 -64130100 -65130100 -66130100 -67130100 -60140000 -61140000 -62140000 -63140000 -64140000 -65140000 -66140000 -67140000 -60140100 -61140100 -62140100 -63140100 -64140100 -65140100 -66140100 -67140100 -60150000 -61150000 -62150000 -63150000 -64150000 -65150000 -66150000 -67150000 -60150100 -61150100 -62150100 -63150100 -64150100 -65150100 -66150100 -67150100 -60160000 -61160000 -62160000 -63160000 -64160000 -65160000 -66160000 -67160000 -60160100 -61160100 -62160100 -63160100 -64160100 -65160100 -66160100 -67160100 -60170000 -61170000 -62170000 -63170000 -64170000 -65170000 -66170000 -67170000 -60170100 -61170100 -62170100 -63170100 -64170100 -65170100 -66170100 -67170100 -70000000 -71000000 -72000000 -73000000 -74000000 -75000000 -76000000 -77000000 -70000100 -71000100 -72000100 -73000100 -74000100 -75000100 -76000100 -77000100 -70000200 -71000200 -72000200 -73000200 -74000200 -75000200 -76000200 -77000200 -70000300 -71000300 -72000300 -73000300 -74000300 -75000300 -76000300 -77000300 -70000400 -71000400 -72000400 -73000400 -74000400 -75000400 -76000400 -77000400 -70000500 -71000500 -72000500 -73000500 -74000500 -75000500 -76000500 -77000500 -70000600 -71000600 -72000600 -73000600 -74000600 -75000600 -76000600 -77000600 -70000700 -71000700 -72000700 -73000700 -74000700 -75000700 -76000700 -77000700 -70000800 -71000800 -72000800 -73000800 -74000800 -75000800 -76000800 -77000800 -70000900 -71000900 -72000900 -73000900 -74000900 -75000900 -76000900 -77000900 -70000A00 -71000A00 -72000A00 -73000A00 -74000A00 -75000A00 -76000A00 -77000A00 -70000B00 -71000B00 -72000B00 -73000B00 -74000B00 -75000B00 -76000B00 -77000B00 -70000C00 -71000C00 -72000C00 -73000C00 -74000C00 -75000C00 -76000C00 -77000C00 -70000D00 -71000D00 -72000D00 -73000D00 -74000D00 -75000D00 -76000D00 -77000D00 -70000E00 -71000E00 -72000E00 -73000E00 -74000E00 -75000E00 -76000E00 -77000E00 -70000F00 -71000F00 -72000F00 -73000F00 -74000F00 -75000F00 -76000F00 -77000F00 -70001000 -71001000 -72001000 -73001000 -74001000 -75001000 -76001000 -77001000 -70001100 -71001100 -72001100 -73001100 -74001100 -75001100 -76001100 -77001100 -70001200 -71001200 -72001200 -73001200 -74001200 -75001200 -76001200 -77001200 -70001300 -71001300 -72001300 -73001300 -74001300 -75001300 -76001300 -77001300 -70001400 -71001400 -72001400 -73001400 -74001400 -75001400 -76001400 -77001400 -70001500 -71001500 -72001500 -73001500 -74001500 -75001500 -76001500 -77001500 -70001600 -71001600 -72001600 -73001600 -74001600 -75001600 -76001600 -77001600 -70001700 -71001700 -72001700 -73001700 -74001700 -75001700 -76001700 -77001700 -70010000 -71010000 -72010000 -73010000 -74010000 -75010000 -76010000 -77010000 -70010162 -71010121 -72010100 -73010160 -74010162 -75010124 -76010100 -77010160 -70010200 -71010200 -72010200 -73010200 -74010200 -75010200 -76010200 -77010200 -70010300 -71010300 -72010300 -73010300 -74010300 -75010300 -76010300 -77010300 -70010400 -71010400 -72010400 -73010400 -74010400 -75010400 -76010400 -77010400 -70010500 -71010500 -72010500 -73010500 -74010500 -75010500 -76010500 -77010500 -70010600 -71010600 -72010600 -73010600 -74010600 -75010600 -76010600 -77010600 -70010700 -71010700 -72010700 -73010700 -74010700 -75010700 -76010700 -77010700 -70010800 -71010800 -72010800 -73010800 -74010800 -75010800 -76010800 -77010800 -70010900 -71010900 -72010900 -73010900 -74010900 -75010900 -76010900 -77010900 -70010A00 -71010A00 -72010A00 -73010A00 -74010A00 -75010A00 -76010A00 -77010A00 -70010B00 -71010B00 -72010B00 -73010B00 -74010B00 -75010B00 -76010B00 -77010B00 -70010C00 -71010C00 -72010C00 -73010C00 -74010C00 -75010C00 -76010C00 -77010C00 -70010D00 -71010D00 -72010D00 -73010D00 -74010D00 -75010D00 -76010D00 -77010D00 -70010E00 -71010E00 -72010E00 -73010E00 -74010E00 -75010E00 -76010E00 -77010E00 -70010F00 -71010F00 -72010F00 -73010F00 -74010F00 -75010F00 -76010F00 -77010F00 -70011000 -71011000 -72011000 -73011000 -74011000 -75011000 -76011000 -77011000 -70011100 -71011100 -72011100 -73011100 -74011100 -75011100 -76011100 -77011100 -70011200 -71011200 -72011200 -73011200 -74011200 -75011200 -76011200 -77011200 -70011300 -71011300 -72011300 -73011300 -74011300 -75011300 -76011300 -77011300 -70011400 -71011400 -72011400 -73011400 -74011400 -75011400 -76011400 -77011400 -70011500 -71011500 -72011500 -73011500 -74011500 -75011500 -76011500 -77011500 -70011600 -71011600 -72011600 -73011600 -74011600 -75011600 -76011600 -77011600 -70011700 -71011700 -72011700 -73011700 -74011700 -75011700 -76011700 -77011700 -50000000 -510000FC -50000100 -510001FF -50000200 -510002FF -50000300 -510003FF -50000400 -510004FF -50000500 -510005FF -50000600 -510006FF -50000700 -510007F0 -50000800 -510008FF -50000900 -510009FF -50000A00 -51000AFF -50000B00 -51000BFF -50000C00 -51000CFF -50000D00 -51000DFF -50000E00 -51000EFF -50000F00 -51000FFF -50001000 -510010FF -50001100 -510011FF -50001200 -510012FF -50001300 -510013FF -50001400 -510014FF -50001500 -510015FF -50001600 -510016FF -50001700 -510017FC -00000001 -01000000 -02000000 -03000000 -04000000 -05000000 -06000000 -07000000 -08000000 -09000000 -00000101 -01000100 -02000100 -03000100 -04000100 -05000100 -06000100 -07000100 -08000100 -09000100 -00000201 -01000200 -02000200 -03000200 -04000200 -05000200 -06000200 -07000200 -08000200 -09000200 -00000301 -01000300 -02000300 -03000300 -04000300 -05000300 -06000300 -07000300 -08000300 -09000300 -00000401 -01000400 -02000400 -03000400 -04000400 -05000400 -06000400 -07000400 -08000400 -09000400 -00000501 -01000500 -02000500 -03000500 -04000500 -05000500 -06000500 -07000500 -08000500 -09000500 -00000601 -01000600 -02000600 -03000600 -04000600 -05000600 -06000600 -07000600 -08000600 -09000600 -00000701 -01000700 -02000700 -03000700 -04000700 -05000700 -06000700 -07000700 -08000700 -09000700 -00000801 -01000800 -02000800 -03000800 -04000800 -05000800 -06000800 -07000800 -08000800 -09000800 -00000901 -01000900 -02000900 -03000900 -04000900 -05000900 -06000900 -07000900 -08000900 -09000900 -00000A01 -01000A00 -02000A00 -03000A00 -04000A00 -05000A00 -06000A00 -07000A00 -08000A00 -09000A00 -00000B01 -01000B00 -02000B00 -03000B00 -04000B00 -05000B00 -06000B00 -07000B00 -08000B00 -09000B00 -00000C01 -01000C00 -02000C00 -03000C00 -04000C00 -05000C00 -06000C00 -07000C00 -08000C00 -09000C00 -00000D01 -01000D00 -02000D00 -03000D00 -04000D00 -05000D00 -06000D00 -07000D00 -08000D00 -09000D00 -00000E01 -01000E00 -02000E00 -03000E00 -04000E00 -05000E00 -06000E00 -07000E00 -08000E00 -09000E00 -00000F01 -01000F00 -02000F00 -03000F00 -04000F00 -05000F00 -06000F00 -07000F00 -08000F00 -09000F00 -00001001 -01001000 -02001000 -03001000 -04001000 -05001000 -06001000 -07001000 -08001000 -09001000 -00001101 -01001100 -02001100 -03001100 -04001100 -05001100 -06001100 -07001100 -08001100 -09001100 -00001201 -01001200 -02001200 -03001200 -04001200 -05001200 -06001200 -07001200 -08001200 -09001200 -00001301 -01001300 -02001300 -03001300 -04001300 -05001300 -06001300 -07001300 -08001300 -09001300 -00001401 -01001400 -02001400 -03001400 -04001400 -05001400 -06001400 -07001400 -08001400 -09001400 -00001501 -01001500 -02001500 -03001500 -04001500 -05001500 -06001500 -07001500 -08001500 -09001500 -00001601 -01001600 -02001600 -03001600 -04001600 -05001600 -06001600 -07001600 -08001600 -09001600 -00001701 -01001700 -02001700 -03001700 -04001700 -05001700 -06001700 -07001700 -08001700 -09001700 -00010001 -01010000 -02010000 -03010000 -04010000 -05010000 -06010000 -07010000 -08010000 -09010000 -00010101 -01010100 -02010100 -03010100 -04010100 -05010100 -06010100 -07010100 -08010100 -09010100 -00010201 -01010200 -02010200 -03010200 -04010200 -05010200 -06010200 -07010200 -08010200 -09010200 -00010301 -01010300 -02010300 -03010300 -04010300 -05010300 -06010300 -07010300 -08010300 -09010300 -00010401 -01010400 -02010400 -03010400 -04010400 -05010400 -06010400 -07010400 -08010400 -09010400 -00010501 -01010500 -02010500 -03010500 -04010500 -05010500 -06010500 -07010500 -08010500 -09010500 -00010601 -01010600 -02010600 -03010600 -04010600 -05010600 -06010600 -07010600 -08010600 -09010600 -00010701 -01010700 -02010700 -03010700 -04010700 -05010700 -06010700 -07010700 -08010700 -09010700 -00010801 -01010800 -02010800 -03010800 -04010800 -05010800 -06010800 -07010800 -08010800 -09010800 -00010901 -01010900 -02010900 -03010900 -04010900 -05010900 -06010900 -07010900 -08010900 -09010900 -00010A01 -01010A00 -02010A00 -03010A00 -04010A00 -05010A00 -06010A00 -07010A00 -08010A00 -09010A00 -00010B01 -01010B00 -02010B00 -03010B00 -04010B00 -05010B00 -06010B00 -07010B00 -08010B00 -09010B00 -00010C01 -01010C00 -02010C00 -03010C00 -04010C00 -05010C00 -06010C00 -07010C00 -08010C00 -09010C00 -00010D01 -01010D00 -02010D00 -03010D00 -04010D00 -05010D00 -06010D00 -07010D00 -08010D00 -09010D00 -00010E01 -01010E00 -02010E00 -03010E00 -04010E00 -05010E00 -06010E00 -07010E00 -08010E00 -09010E00 -00010F01 -01010F00 -02010F00 -03010F00 -04010F00 -05010F00 -06010F00 -07010F00 -08010F00 -09010F00 -00011001 -01011000 -02011000 -03011000 -04011000 -05011000 -06011000 -07011000 -08011000 -09011000 -00011101 -01011100 -02011100 -03011100 -04011100 -05011100 -06011100 -07011100 -08011100 -09011100 -00011201 -01011200 -02011200 -03011200 -04011200 -05011200 -06011200 -07011200 -08011200 -09011200 -00011301 -01011300 -02011300 -03011300 -04011300 -05011300 -06011300 -07011300 -08011300 -09011300 -00011401 -01011400 -02011400 -03011400 -04011400 -05011400 -06011400 -07011400 -08011400 -09011400 -00011501 -01011500 -02011500 -03011500 -04011500 -05011500 -06011500 -07011500 -08011500 -09011500 -00011601 -01011600 -02011600 -03011600 -04011600 -05011600 -06011600 -07011600 -08011600 -09011600 -00011701 -01011700 -02011700 -03011700 -04011700 -05011700 -06011700 -07011700 -08011700 -09011700 -00020001 -01020000 -02020000 -03020000 -04020000 -05020000 -06020000 -07020000 -08020000 -09020000 -00020101 -01020100 -02020100 -03020100 -04020100 -05020100 -06020100 -07020100 -08020100 -09020100 -00020201 -01020200 -02020200 -03020200 -04020200 -05020200 -06020200 -07020200 -08020200 -09020200 -00020301 -01020300 -02020300 -03020300 -04020300 -05020300 -06020300 -07020300 -08020300 -09020300 -00020401 -01020400 -02020400 -03020400 -04020400 -05020400 -06020400 -07020400 -08020400 -09020400 -00020501 -01020500 -02020500 -03020500 -04020500 -05020500 -06020500 -07020500 -08020500 -09020500 -00020601 -01020600 -02020600 -03020600 -04020600 -05020600 -06020600 -07020600 -08020600 -09020600 -00020701 -01020700 -02020700 -03020700 -04020700 -05020700 -06020700 -07020700 -08020700 -09020700 -00020801 -01020800 -02020800 -03020800 -04020800 -05020800 -06020800 -07020800 -08020800 -09020800 -00020901 -01020900 -02020900 -03020900 -04020900 -05020900 -06020900 -07020900 -08020900 -09020900 -00020A01 -01020A00 -02020A00 -03020A00 -04020A00 -05020A00 -06020A00 -07020A00 -08020A00 -09020A00 -00020B01 -01020B00 -02020B00 -03020B00 -04020B00 -05020B00 -06020B00 -07020B00 -08020B00 -09020B00 -00020C01 -01020C00 -02020C00 -03020C00 -04020C00 -05020C00 -06020C00 -07020C00 -08020C00 -09020C00 -00020D01 -01020D00 -02020D00 -03020D00 -04020D00 -05020D00 -06020D00 -07020D00 -08020D00 -09020D00 -00020E01 -01020E00 -02020E00 -03020E00 -04020E00 -05020E00 -06020E00 -07020E00 -08020E00 -09020E00 -00020F01 -01020F00 -02020F00 -03020F00 -04020F00 -05020F00 -06020F00 -07020F00 -08020F00 -09020F00 -00021001 -01021000 -02021000 -03021000 -04021000 -05021000 -06021000 -07021000 -08021000 -09021000 -00021101 -01021100 -02021100 -03021100 -04021100 -05021100 -06021100 -07021100 -08021100 -09021100 -00021201 -01021200 -02021200 -03021200 -04021200 -05021200 -06021200 -07021200 -08021200 -09021200 -00021301 -01021300 -02021300 -03021300 -04021300 -05021300 -06021300 -07021300 -08021300 -09021300 -00021401 -01021400 -02021400 -03021400 -04021400 -05021400 -06021400 -07021400 -08021400 -09021400 -00021501 -01021500 -02021500 -03021500 -04021500 -05021500 -06021500 -07021500 -08021500 -09021500 -00021601 -01021600 -02021600 -03021600 -04021600 -05021600 -06021600 -07021600 -08021600 -09021600 -00021701 -01021700 -02021700 -03021700 -04021700 -05021700 -06021700 -07021700 -08021700 -09021700 -00030001 -01030000 -02030000 -03030000 -04030000 -05030000 -06030000 -07030000 -08030000 -09030000 -00030101 -01030100 -02030100 -03030100 -04030100 -05030100 -06030100 -07030100 -08030100 -09030100 -00030201 -01030200 -02030200 -03030200 -04030200 -05030200 -06030200 -07030200 -08030200 -09030200 -00030301 -01030300 -02030300 -03030300 -04030300 -05030300 -06030300 -07030300 -08030300 -09030300 -00030401 -01030400 -02030400 -03030400 -04030400 -05030400 -06030400 -07030400 -08030400 -09030400 -00030501 -01030500 -02030500 -03030500 -04030500 -05030500 -06030500 -07030500 -08030500 -09030500 -00030601 -01030600 -02030600 -03030600 -04030600 -05030600 -06030600 -07030600 -08030600 -09030600 -00030701 -01030700 -02030700 -03030700 -04030700 -05030700 -06030700 -07030700 -08030700 -09030700 -00030801 -01030800 -02030800 -03030800 -04030800 -05030800 -06030800 -07030800 -08030800 -09030800 -00030901 -01030900 -02030900 -03030900 -04030900 -05030900 -06030900 -07030900 -08030900 -09030900 -00030A01 -01030A00 -02030A00 -03030A00 -04030A00 -05030A00 -06030A00 -07030A00 -08030A00 -09030A00 -00030B01 -01030B00 -02030B00 -03030B00 -04030B00 -05030B00 -06030B00 -07030B00 -08030B00 -09030B00 -00030C01 -01030C00 -02030C00 -03030C00 -04030C00 -05030C00 -06030C00 -07030C00 -08030C00 -09030C00 -00030D01 -01030D00 -02030D00 -03030D00 -04030D00 -05030D00 -06030D00 -07030D00 -08030D00 -09030D00 -00030E01 -01030E00 -02030E00 -03030E00 -04030E00 -05030E00 -06030E00 -07030E00 -08030E00 -09030E00 -00030F01 -01030F00 -02030F00 -03030F00 -04030F00 -05030F00 -06030F00 -07030F00 -08030F00 -09030F00 -00031001 -01031000 -02031000 -03031000 -04031000 -05031000 -06031000 -07031000 -08031000 -09031000 -00031101 -01031100 -02031100 -03031100 -04031100 -05031100 -06031100 -07031100 -08031100 -09031100 -00031201 -01031200 -02031200 -03031200 -04031200 -05031200 -06031200 -07031200 -08031200 -09031200 -00031301 -01031300 -02031300 -03031300 -04031300 -05031300 -06031300 -07031300 -08031300 -09031300 -00031401 -01031400 -02031400 -03031400 -04031400 -05031400 -06031400 -07031400 -08031400 -09031400 -00031501 -01031500 -02031500 -03031500 -04031500 -05031500 -06031500 -07031500 -08031500 -09031500 -00031601 -01031600 -02031600 -03031600 -04031600 -05031600 -06031600 -07031600 -08031600 -09031600 -00031701 -01031700 -02031700 -03031700 -04031700 -05031700 -06031700 -07031700 -08031700 -09031700 -00040001 -01040000 -02040000 -03040000 -04040000 -05040000 -06040000 -07040000 -08040000 -09040000 -00040101 -01040100 -02040100 -03040100 -04040100 -05040100 -06040100 -07040100 -08040100 -09040100 -00040201 -01040200 -02040200 -03040200 -04040200 -05040200 -06040200 -07040200 -08040200 -09040200 -00040301 -01040300 -02040300 -03040300 -04040300 -05040300 -06040300 -07040300 -08040300 -09040300 -00040401 -01040400 -02040400 -03040400 -04040400 -05040400 -06040400 -07040400 -08040400 -09040400 -00040501 -01040500 -02040500 -03040500 -04040500 -05040500 -06040500 -07040500 -08040500 -09040500 -00040601 -01040600 -02040600 -03040600 -04040600 -05040600 -06040600 -07040600 -08040600 -09040600 -00040701 -01040700 -02040700 -03040700 -04040700 -05040700 -06040700 -07040700 -08040700 -09040700 -00040801 -01040800 -02040800 -03040800 -04040800 -05040800 -06040800 -07040800 -08040800 -09040800 -00040901 -01040900 -02040900 -03040900 -04040900 -05040900 -06040900 -07040900 -08040900 -09040900 -00040A01 -01040A00 -02040A00 -03040A00 -04040A00 -05040A00 -06040A00 -07040A00 -08040A00 -09040A00 -00040B01 -01040B00 -02040B00 -03040B00 -04040B00 -05040B00 -06040B00 -07040B00 -08040B00 -09040B00 -00040C01 -01040C00 -02040C00 -03040C00 -04040C00 -05040C00 -06040C00 -07040C00 -08040C00 -09040C00 -00040D01 -01040D00 -02040D00 -03040D00 -04040D00 -05040D00 -06040D00 -07040D00 -08040D00 -09040D00 -00040E01 -01040E00 -02040E00 -03040E00 -04040E00 -05040E00 -06040E00 -07040E00 -08040E00 -09040E00 -00040F01 -01040F00 -02040F00 -03040F00 -04040F00 -05040F00 -06040F00 -07040F00 -08040F00 -09040F00 -00041001 -01041000 -02041000 -03041000 -04041000 -05041000 -06041000 -07041000 -08041000 -09041000 -00041101 -01041100 -02041100 -03041100 -04041100 -05041100 -06041100 -07041100 -08041100 -09041100 -00041201 -01041200 -02041200 -03041200 -04041200 -05041200 -06041200 -07041200 -08041200 -09041200 -00041301 -01041300 -02041300 -03041300 -04041300 -05041300 -06041300 -07041300 -08041300 -09041300 -00041401 -01041400 -02041400 -03041400 -04041400 -05041400 -06041400 -07041400 -08041400 -09041400 -00041501 -01041500 -02041500 -03041500 -04041500 -05041500 -06041500 -07041500 -08041500 -09041500 -00041601 -01041600 -02041600 -03041600 -04041600 -05041600 -06041600 -07041600 -08041600 -09041600 -00041701 -01041700 -02041700 -03041700 -04041700 -05041700 -06041700 -07041700 -08041700 -09041700 -00050001 -01050000 -02050000 -03050000 -04050000 -05050000 -06050000 -07050000 -08050000 -09050000 -00050101 -01050100 -02050100 -03050100 -04050100 -05050100 -06050100 -07050100 -08050100 -09050100 -00050201 -01050200 -02050200 -03050200 -04050200 -05050200 -06050200 -07050200 -08050200 -09050200 -00050301 -01050300 -02050300 -03050300 -04050300 -05050300 -06050300 -07050300 -08050300 -09050300 -00050401 -01050400 -02050400 -03050400 -04050400 -05050400 -06050400 -07050400 -08050400 -09050400 -00050501 -01050500 -02050500 -03050500 -04050500 -05050500 -06050500 -07050500 -08050500 -09050500 -00050601 -01050600 -02050600 -03050600 -04050600 -05050600 -06050600 -07050600 -08050600 -09050600 -00050701 -01050700 -02050700 -03050700 -04050700 -05050700 -06050700 -07050700 -08050700 -09050700 -00050801 -01050800 -02050800 -03050800 -04050800 -05050800 -06050800 -07050800 -08050800 -09050800 -00050901 -01050900 -02050900 -03050900 -04050900 -05050900 -06050900 -07050900 -08050900 -09050900 -00050A01 -01050A00 -02050A00 -03050A00 -04050A00 -05050A00 -06050A00 -07050A00 -08050A00 -09050A00 -00050B01 -01050B00 -02050B00 -03050B00 -04050B00 -05050B00 -06050B00 -07050B00 -08050B00 -09050B00 -00050C01 -01050C00 -02050C00 -03050C00 -04050C00 -05050C00 -06050C00 -07050C00 -08050C00 -09050C00 -00050D01 -01050D00 -02050D00 -03050D00 -04050D00 -05050D00 -06050D00 -07050D00 -08050D00 -09050D00 -00050E01 -01050E00 -02050E00 -03050E00 -04050E00 -05050E00 -06050E00 -07050E00 -08050E00 -09050E00 -00050F01 -01050F00 -02050F00 -03050F00 -04050F00 -05050F00 -06050F00 -07050F00 -08050F00 -09050F00 -00051001 -01051000 -02051000 -03051000 -04051000 -05051000 -06051000 -07051000 -08051000 -09051000 -00051101 -01051100 -02051100 -03051100 -04051100 -05051100 -06051100 -07051100 -08051100 -09051100 -00051201 -01051200 -02051200 -03051200 -04051200 -05051200 -06051200 -07051200 -08051200 -09051200 -00051301 -01051300 -02051300 -03051300 -04051300 -05051300 -06051300 -07051300 -08051300 -09051300 -00051401 -01051400 -02051400 -03051400 -04051400 -05051400 -06051400 -07051400 -08051400 -09051400 -00051501 -01051500 -02051500 -03051500 -04051500 -05051500 -06051500 -07051500 -08051500 -09051500 -00051601 -01051600 -02051600 -03051600 -04051600 -05051600 -06051600 -07051600 -08051600 -09051600 -00051701 -01051700 -02051700 -03051700 -04051700 -05051700 -06051700 -07051700 -08051700 -09051700 -00060001 -01060000 -02060000 -03060000 -04060000 -05060000 -06060000 -07060000 -08060000 -09060000 -00060101 -01060100 -02060100 -03060100 -04060100 -05060100 -06060100 -07060100 -08060100 -09060100 -00060201 -01060200 -02060200 -03060200 -04060200 -05060200 -06060200 -07060200 -08060200 -09060200 -00060301 -01060300 -02060300 -03060300 -04060300 -05060300 -06060300 -07060300 -08060300 -09060300 -00060401 -01060400 -02060400 -03060400 -04060400 -05060400 -06060400 -07060400 -08060400 -09060400 -00060501 -01060500 -02060500 -03060500 -04060500 -05060500 -06060500 -07060500 -08060500 -09060500 -00060601 -01060600 -02060600 -03060600 -04060600 -05060600 -06060600 -07060600 -08060600 -09060600 -00060701 -01060700 -02060700 -03060700 -04060700 -05060700 -06060700 -07060700 -08060700 -09060700 -00060801 -01060800 -02060800 -03060800 -04060800 -05060800 -06060800 -07060800 -08060800 -09060800 -00060901 -01060900 -02060900 -03060900 -04060900 -05060900 -06060900 -07060900 -08060900 -09060900 -00060A01 -01060A00 -02060A00 -03060A00 -04060A00 -05060A00 -06060A00 -07060A00 -08060A00 -09060A00 -00060B01 -01060B00 -02060B00 -03060B00 -04060B00 -05060B00 -06060B00 -07060B00 -08060B00 -09060B00 -00060C01 -01060C00 -02060C00 -03060C00 -04060C00 -05060C00 -06060C00 -07060C00 -08060C00 -09060C00 -00060D01 -01060D00 -02060D00 -03060D00 -04060D00 -05060D00 -06060D00 -07060D00 -08060D00 -09060D00 -00060E01 -01060E00 -02060E00 -03060E00 -04060E00 -05060E00 -06060E00 -07060E00 -08060E00 -09060E00 -00060F01 -01060F00 -02060F00 -03060F00 -04060F00 -05060F00 -06060F00 -07060F00 -08060F00 -09060F00 -00061001 -01061000 -02061000 -03061000 -04061000 -05061000 -06061000 -07061000 -08061000 -09061000 -00061101 -01061100 -02061100 -03061100 -04061100 -05061100 -06061100 -07061100 -08061100 -09061100 -00061201 -01061200 -02061200 -03061200 -04061200 -05061200 -06061200 -07061200 -08061200 -09061200 -00061301 -01061300 -02061300 -03061300 -04061300 -05061300 -06061300 -07061300 -08061300 -09061300 -00061401 -01061400 -02061400 -03061400 -04061400 -05061400 -06061400 -07061400 -08061400 -09061400 -00061501 -01061500 -02061500 -03061500 -04061500 -05061500 -06061500 -07061500 -08061500 -09061500 -00061601 -01061600 -02061600 -03061600 -04061600 -05061600 -06061600 -07061600 -08061600 -09061600 -00061701 -01061700 -02061700 -03061700 -04061700 -05061700 -06061700 -07061700 -08061700 -09061700 -00070001 -01070000 -02070000 -03070000 -04070000 -05070000 -06070000 -07070000 -08070000 -09070000 -00070101 -01070100 -02070100 -03070100 -04070100 -05070100 -06070100 -07070100 -08070100 -09070100 -00070201 -01070200 -02070200 -03070200 -04070200 -05070200 -06070200 -07070200 -08070200 -09070200 -00070301 -01070300 -02070300 -03070300 -04070300 -05070300 -06070300 -07070300 -08070300 -09070300 -00070401 -01070400 -02070400 -03070400 -04070400 -05070400 -06070400 -07070400 -08070400 -09070400 -00070501 -01070500 -02070500 -03070500 -04070500 -05070500 -06070500 -07070500 -08070500 -09070500 -00070601 -01070600 -02070600 -03070600 -04070600 -05070600 -06070600 -07070600 -08070600 -09070600 -00070701 -01070700 -02070700 -03070700 -04070700 -05070700 -06070700 -07070700 -08070700 -09070700 -00070801 -01070800 -02070800 -03070800 -04070800 -05070800 -06070800 -07070800 -08070800 -09070800 -00070901 -01070900 -02070900 -03070900 -04070900 -05070900 -06070900 -07070900 -08070900 -09070900 -00070A01 -01070A00 -02070A00 -03070A00 -04070A00 -05070A00 -06070A00 -07070A00 -08070A00 -09070A00 -00070B01 -01070B00 -02070B00 -03070B00 -04070B00 -05070B00 -06070B00 -07070B00 -08070B00 -09070B00 -00070C01 -01070C00 -02070C00 -03070C00 -04070C00 -05070C00 -06070C00 -07070C00 -08070C00 -09070C00 -00070D01 -01070D00 -02070D00 -03070D00 -04070D00 -05070D00 -06070D00 -07070D00 -08070D00 -09070D00 -00070E01 -01070E00 -02070E00 -03070E00 -04070E00 -05070E00 -06070E00 -07070E00 -08070E00 -09070E00 -00070F01 -01070F00 -02070F00 -03070F00 -04070F00 -05070F00 -06070F00 -07070F00 -08070F00 -09070F00 -00071001 -01071000 -02071000 -03071000 -04071000 -05071000 -06071000 -07071000 -08071000 -09071000 -00071101 -01071100 -02071100 -03071100 -04071100 -05071100 -06071100 -07071100 -08071100 -09071100 -00071201 -01071200 -02071200 -03071200 -04071200 -05071200 -06071200 -07071200 -08071200 -09071200 -00071301 -01071300 -02071300 -03071300 -04071300 -05071300 -06071300 -07071300 -08071300 -09071300 -00071401 -01071400 -02071400 -03071400 -04071400 -05071400 -06071400 -07071400 -08071400 -09071400 -00071501 -01071500 -02071500 -03071500 -04071500 -05071500 -06071500 -07071500 -08071500 -09071500 -00071601 -01071600 -02071600 -03071600 -04071600 -05071600 -06071600 -07071600 -08071600 -09071600 -00071701 -01071700 -02071700 -03071700 -04071700 -05071700 -06071700 -07071700 -08071700 -09071700 -00080001 -01080000 -02080000 -03080000 -04080000 -05080000 -06080000 -07080000 -08080000 -09080000 -00080101 -01080100 -02080100 -03080100 -04080100 -05080100 -06080100 -07080100 -08080100 -09080100 -00080201 -01080200 -02080200 -03080200 -04080200 -05080200 -06080200 -07080200 -08080200 -09080200 -00080301 -01080300 -02080300 -03080300 -04080300 -05080300 -06080300 -07080300 -08080300 -09080300 -00080401 -01080400 -02080400 -03080400 -04080400 -05080400 -06080400 -07080400 -08080400 -09080400 -00080501 -01080500 -02080500 -03080500 -04080500 -05080500 -06080500 -07080500 -08080500 -09080500 -00080601 -01080600 -02080600 -03080600 -04080600 -05080600 -06080600 -07080600 -08080600 -09080600 -00080701 -01080700 -02080700 -03080700 -04080700 -05080700 -06080700 -07080700 -08080700 -09080700 -00080801 -01080800 -02080800 -03080800 -04080800 -05080800 -06080800 -07080800 -08080800 -09080800 -00080901 -01080900 -02080900 -03080900 -04080900 -05080900 -06080900 -07080900 -08080900 -09080900 -00080A01 -01080A00 -02080A00 -03080A00 -04080A00 -05080A00 -06080A00 -07080A00 -08080A00 -09080A00 -00080B01 -01080B00 -02080B00 -03080B00 -04080B00 -05080B00 -06080B00 -07080B00 -08080B00 -09080B00 -00080C01 -01080C00 -02080C00 -03080C00 -04080C00 -05080C00 -06080C00 -07080C00 -08080C00 -09080C00 -00080D01 -01080D00 -02080D00 -03080D00 -04080D00 -05080D00 -06080D00 -07080D00 -08080D00 -09080D00 -00080E01 -01080E00 -02080E00 -03080E00 -04080E00 -05080E00 -06080E00 -07080E00 -08080E00 -09080E00 -00080F01 -01080F00 -02080F00 -03080F00 -04080F00 -05080F00 -06080F00 -07080F00 -08080F00 -09080F00 -00081001 -01081000 -02081000 -03081000 -04081000 -05081000 -06081000 -07081000 -08081000 -09081000 -00081101 -01081100 -02081100 -03081100 -04081100 -05081100 -06081100 -07081100 -08081100 -09081100 -00081201 -01081200 -02081200 -03081200 -04081200 -05081200 -06081200 -07081200 -08081200 -09081200 -00081301 -01081300 -02081300 -03081300 -04081300 -05081300 -06081300 -07081300 -08081300 -09081300 -00081401 -01081400 -02081400 -03081400 -04081400 -05081400 -06081400 -07081400 -08081400 -09081400 -00081501 -01081500 -02081500 -03081500 -04081500 -05081500 -06081500 -07081500 -08081500 -09081500 -00081601 -01081600 -02081600 -03081600 -04081600 -05081600 -06081600 -07081600 -08081600 -09081600 -00081701 -01081700 -02081700 -03081700 -04081700 -05081700 -06081700 -07081700 -08081700 -09081700 -00090001 -01090000 -02090000 -03090000 -04090000 -05090000 -06090000 -07090000 -08090000 -09090000 -00090101 -01090100 -02090100 -03090100 -04090100 -05090100 -06090100 -07090100 -08090100 -09090100 -00090201 -01090200 -02090200 -03090200 -04090200 -05090200 -06090200 -07090200 -08090200 -09090200 -00090301 -01090300 -02090300 -03090300 -04090300 -05090300 -06090300 -07090300 -08090300 -09090300 -00090401 -01090400 -02090400 -03090400 -04090400 -05090400 -06090400 -07090400 -08090400 -09090400 -00090501 -01090500 -02090500 -03090500 -04090500 -05090500 -06090500 -07090500 -08090500 -09090500 -00090601 -01090600 -02090600 -03090600 -04090600 -05090600 -06090600 -07090600 -08090600 -09090600 -00090701 -01090700 -02090700 -03090700 -04090700 -05090700 -06090700 -07090700 -08090700 -09090700 -00090801 -01090800 -02090800 -03090800 -04090800 -05090800 -06090800 -07090800 -08090800 -09090800 -00090901 -01090900 -02090900 -03090900 -04090900 -05090900 -06090900 -07090900 -08090900 -09090900 -00090A01 -01090A00 -02090A00 -03090A00 -04090A00 -05090A00 -06090A00 -07090A00 -08090A00 -09090A00 -00090B01 -01090B00 -02090B00 -03090B00 -04090B00 -05090B00 -06090B00 -07090B00 -08090B00 -09090B00 -00090C01 -01090C00 -02090C00 -03090C00 -04090C00 -05090C00 -06090C00 -07090C00 -08090C00 -09090C00 -00090D01 -01090D00 -02090D00 -03090D00 -04090D00 -05090D00 -06090D00 -07090D00 -08090D00 -09090D00 -00090E01 -01090E00 -02090E00 -03090E00 -04090E00 -05090E00 -06090E00 -07090E00 -08090E00 -09090E00 -00090F01 -01090F00 -02090F00 -03090F00 -04090F00 -05090F00 -06090F00 -07090F00 -08090F00 -09090F00 -00091001 -01091000 -02091000 -03091000 -04091000 -05091000 -06091000 -07091000 -08091000 -09091000 -00091101 -01091100 -02091100 -03091100 -04091100 -05091100 -06091100 -07091100 -08091100 -09091100 -00091201 -01091200 -02091200 -03091200 -04091200 -05091200 -06091200 -07091200 -08091200 -09091200 -00091301 -01091300 -02091300 -03091300 -04091300 -05091300 -06091300 -07091300 -08091300 -09091300 -00091401 -01091400 -02091400 -03091400 -04091400 -05091400 -06091400 -07091400 -08091400 -09091400 -00091501 -01091500 -02091500 -03091500 -04091500 -05091500 -06091500 -07091500 -08091500 -09091500 -00091601 -01091600 -02091600 -03091600 -04091600 -05091600 -06091600 -07091600 -08091600 -09091600 -00091701 -01091700 -02091700 -03091700 -04091700 -05091700 -06091700 -07091700 -08091700 -09091700 -000A0001 -010A0000 -020A0000 -030A0000 -040A0000 -050A0000 -060A0000 -070A0000 -080A0000 -090A0000 -000A0101 -010A0100 -020A0100 -030A0100 -040A0100 -050A0100 -060A0100 -070A0100 -080A0100 -090A0100 -000A0201 -010A0200 -020A0200 -030A0200 -040A0200 -050A0200 -060A0200 -070A0200 -080A0200 -090A0200 -000A0301 -010A0300 -020A0300 -030A0300 -040A0300 -050A0300 -060A0300 -070A0300 -080A0300 -090A0300 -000A0401 -010A0400 -020A0400 -030A0400 -040A0400 -050A0400 -060A0400 -070A0400 -080A0400 -090A0400 -000A0501 -010A0500 -020A0500 -030A0500 -040A0500 -050A0500 -060A0500 -070A0500 -080A0500 -090A0500 -000A0601 -010A0600 -020A0600 -030A0600 -040A0600 -050A0600 -060A0600 -070A0600 -080A0600 -090A0600 -000A0701 -010A0700 -020A0700 -030A0700 -040A0700 -050A0700 -060A0700 -070A0700 -080A0700 -090A0700 -000A0801 -010A0800 -020A0800 -030A0800 -040A0800 -050A0800 -060A0800 -070A0800 -080A0800 -090A0800 -000A0901 -010A0900 -020A0900 -030A0900 -040A0900 -050A0900 -060A0900 -070A0900 -080A0900 -090A0900 -000A0A01 -010A0A00 -020A0A00 -030A0A00 -040A0A00 -050A0A00 -060A0A00 -070A0A00 -080A0A00 -090A0A00 -000A0B01 -010A0B00 -020A0B00 -030A0B00 -040A0B00 -050A0B00 -060A0B00 -070A0B00 -080A0B00 -090A0B00 -000A0C01 -010A0C00 -020A0C00 -030A0C00 -040A0C00 -050A0C00 -060A0C00 -070A0C00 -080A0C00 -090A0C00 -000A0D01 -010A0D00 -020A0D00 -030A0D00 -040A0D00 -050A0D00 -060A0D00 -070A0D00 -080A0D00 -090A0D00 -000A0E01 -010A0E00 -020A0E00 -030A0E00 -040A0E00 -050A0E00 -060A0E00 -070A0E00 -080A0E00 -090A0E00 -000A0F01 -010A0F00 -020A0F00 -030A0F00 -040A0F00 -050A0F00 -060A0F00 -070A0F00 -080A0F00 -090A0F00 -000A1001 -010A1000 -020A1000 -030A1000 -040A1000 -050A1000 -060A1000 -070A1000 -080A1000 -090A1000 -000A1101 -010A1100 -020A1100 -030A1100 -040A1100 -050A1100 -060A1100 -070A1100 -080A1100 -090A1100 -000A1201 -010A1200 -020A1200 -030A1200 -040A1200 -050A1200 -060A1200 -070A1200 -080A1200 -090A1200 -000A1301 -010A1300 -020A1300 -030A1300 -040A1300 -050A1300 -060A1300 -070A1300 -080A1300 -090A1300 -000A1401 -010A1400 -020A1400 -030A1400 -040A1400 -050A1400 -060A1400 -070A1400 -080A1400 -090A1400 -000A1501 -010A1500 -020A1500 -030A1500 -040A1500 -050A1500 -060A1500 -070A1500 -080A1500 -090A1500 -000A1601 -010A1600 -020A1600 -030A1600 -040A1600 -050A1600 -060A1600 -070A1600 -080A1600 -090A1600 -000A1701 -010A1700 -020A1700 -030A1700 -040A1700 -050A1700 -060A1700 -070A1700 -080A1700 -090A1700 -000B0001 -010B0000 -020B0000 -030B0000 -040B0000 -050B0000 -060B0000 -070B0000 -080B0000 -090B0000 -000B0101 -010B0100 -020B0100 -030B0100 -040B0100 -050B0100 -060B0100 -070B0100 -080B0100 -090B0100 -000B0201 -010B0200 -020B0200 -030B0200 -040B0200 -050B0200 -060B0200 -070B0200 -080B0200 -090B0200 -000B0301 -010B0300 -020B0300 -030B0300 -040B0300 -050B0300 -060B0300 -070B0300 -080B0300 -090B0300 -000B0401 -010B0400 -020B0400 -030B0400 -040B0400 -050B0400 -060B0400 -070B0400 -080B0400 -090B0400 -000B0501 -010B0500 -020B0500 -030B0500 -040B0500 -050B0500 -060B0500 -070B0500 -080B0500 -090B0500 -000B0601 -010B0600 -020B0600 -030B0600 -040B0600 -050B0600 -060B0600 -070B0600 -080B0600 -090B0600 -000B0701 -010B0700 -020B0700 -030B0700 -040B0700 -050B0700 -060B0700 -070B0700 -080B0700 -090B0700 -000B0801 -010B0800 -020B0800 -030B0800 -040B0800 -050B0800 -060B0800 -070B0800 -080B0800 -090B0800 -000B0901 -010B0900 -020B0900 -030B0900 -040B0900 -050B0900 -060B0900 -070B0900 -080B0900 -090B0900 -000B0A01 -010B0A00 -020B0A00 -030B0A00 -040B0A00 -050B0A00 -060B0A00 -070B0A00 -080B0A00 -090B0A00 -000B0B01 -010B0B00 -020B0B00 -030B0B00 -040B0B00 -050B0B00 -060B0B00 -070B0B00 -080B0B00 -090B0B00 -000B0C01 -010B0C00 -020B0C00 -030B0C00 -040B0C00 -050B0C00 -060B0C00 -070B0C00 -080B0C00 -090B0C00 -000B0D01 -010B0D00 -020B0D00 -030B0D00 -040B0D00 -050B0D00 -060B0D00 -070B0D00 -080B0D00 -090B0D00 -000B0E01 -010B0E00 -020B0E00 -030B0E00 -040B0E00 -050B0E00 -060B0E00 -070B0E00 -080B0E00 -090B0E00 -000B0F01 -010B0F00 -020B0F00 -030B0F00 -040B0F00 -050B0F00 -060B0F00 -070B0F00 -080B0F00 -090B0F00 -000B1001 -010B1000 -020B1000 -030B1000 -040B1000 -050B1000 -060B1000 -070B1000 -080B1000 -090B1000 -000B1101 -010B1100 -020B1100 -030B1100 -040B1100 -050B1100 -060B1100 -070B1100 -080B1100 -090B1100 -000B1201 -010B1200 -020B1200 -030B1200 -040B1200 -050B1200 -060B1200 -070B1200 -080B1200 -090B1200 -000B1301 -010B1300 -020B1300 -030B1300 -040B1300 -050B1300 -060B1300 -070B1300 -080B1300 -090B1300 -000B1401 -010B1400 -020B1400 -030B1400 -040B1400 -050B1400 -060B1400 -070B1400 -080B1400 -090B1400 -000B1501 -010B1500 -020B1500 -030B1500 -040B1500 -050B1500 -060B1500 -070B1500 -080B1500 -090B1500 -000B1601 -010B1600 -020B1600 -030B1600 -040B1600 -050B1600 -060B1600 -070B1600 -080B1600 -090B1600 -000B1701 -010B1700 -020B1700 -030B1700 -040B1700 -050B1700 -060B1700 -070B1700 -080B1700 -090B1700 -000C0001 -010C0000 -020C0000 -030C0000 -040C0000 -050C0000 -060C0000 -070C0000 -080C0000 -090C0000 -000C0101 -010C0100 -020C0100 -030C0100 -040C0100 -050C0100 -060C0100 -070C0100 -080C0100 -090C0100 -000C0201 -010C0200 -020C0200 -030C0200 -040C0200 -050C0200 -060C0200 -070C0200 -080C0200 -090C0200 -000C0301 -010C0300 -020C0300 -030C0300 -040C0300 -050C0300 -060C0300 -070C0300 -080C0300 -090C0300 -000C0401 -010C0400 -020C0400 -030C0400 -040C0400 -050C0400 -060C0400 -070C0400 -080C0400 -090C0400 -000C0501 -010C0500 -020C0500 -030C0500 -040C0500 -050C0500 -060C0500 -070C0500 -080C0500 -090C0500 -000C0601 -010C0600 -020C0600 -030C0600 -040C0600 -050C0600 -060C0600 -070C0600 -080C0600 -090C0600 -000C0701 -010C0700 -020C0700 -030C0700 -040C0700 -050C0700 -060C0700 -070C0700 -080C0700 -090C0700 -000C0801 -010C0800 -020C0800 -030C0800 -040C0800 -050C0800 -060C0800 -070C0800 -080C0800 -090C0800 -000C0901 -010C0900 -020C0900 -030C0900 -040C0900 -050C0900 -060C0900 -070C0900 -080C0900 -090C0900 -000C0A01 -010C0A00 -020C0A00 -030C0A00 -040C0A00 -050C0A00 -060C0A00 -070C0A00 -080C0A00 -090C0A00 -000C0B01 -010C0B00 -020C0B00 -030C0B00 -040C0B00 -050C0B00 -060C0B00 -070C0B00 -080C0B00 -090C0B00 -000C0C01 -010C0C00 -020C0C00 -030C0C00 -040C0C00 -050C0C00 -060C0C00 -070C0C00 -080C0C00 -090C0C00 -000C0D01 -010C0D00 -020C0D00 -030C0D00 -040C0D00 -050C0D00 -060C0D00 -070C0D00 -080C0D00 -090C0D00 -000C0E01 -010C0E00 -020C0E00 -030C0E00 -040C0E00 -050C0E00 -060C0E00 -070C0E00 -080C0E00 -090C0E00 -000C0F01 -010C0F00 -020C0F00 -030C0F00 -040C0F00 -050C0F00 -060C0F00 -070C0F00 -080C0F00 -090C0F00 -000C1001 -010C1000 -020C1000 -030C1000 -040C1000 -050C1000 -060C1000 -070C1000 -080C1000 -090C1000 -000C1101 -010C1100 -020C1100 -030C1100 -040C1100 -050C1100 -060C1100 -070C1100 -080C1100 -090C1100 -000C1201 -010C1200 -020C1200 -030C1200 -040C1200 -050C1200 -060C1200 -070C1200 -080C1200 -090C1200 -000C1301 -010C1300 -020C1300 -030C1300 -040C1300 -050C1300 -060C1300 -070C1300 -080C1300 -090C1300 -000C1401 -010C1400 -020C1400 -030C1400 -040C1400 -050C1400 -060C1400 -070C1400 -080C1400 -090C1400 -000C1501 -010C1500 -020C1500 -030C1500 -040C1500 -050C1500 -060C1500 -070C1500 -080C1500 -090C1500 -000C1601 -010C1600 -020C1600 -030C1600 -040C1600 -050C1600 -060C1600 -070C1600 -080C1600 -090C1600 -000C1701 -010C1700 -020C1700 -030C1700 -040C1700 -050C1700 -060C1700 -070C1700 -080C1700 -090C1700 -000D0001 -010D0000 -020D0000 -030D0000 -040D0000 -050D0000 -060D0000 -070D0000 -080D0000 -090D0000 -000D0101 -010D0100 -020D0100 -030D0100 -040D0100 -050D0100 -060D0100 -070D0100 -080D0100 -090D0100 -000D0201 -010D0200 -020D0200 -030D0200 -040D0200 -050D0200 -060D0200 -070D0200 -080D0200 -090D0200 -000D0301 -010D0300 -020D0300 -030D0300 -040D0300 -050D0300 -060D0300 -070D0300 -080D0300 -090D0300 -000D0401 -010D0400 -020D0400 -030D0400 -040D0400 -050D0400 -060D0400 -070D0400 -080D0400 -090D0400 -000D0501 -010D0500 -020D0500 -030D0500 -040D0500 -050D0500 -060D0500 -070D0500 -080D0500 -090D0500 -000D0601 -010D0600 -020D0600 -030D0600 -040D0600 -050D0600 -060D0600 -070D0600 -080D0600 -090D0600 -000D0701 -010D0700 -020D0700 -030D0700 -040D0700 -050D0700 -060D0700 -070D0700 -080D0700 -090D0700 -000D0801 -010D0800 -020D0800 -030D0800 -040D0800 -050D0800 -060D0800 -070D0800 -080D0800 -090D0800 -000D0901 -010D0900 -020D0900 -030D0900 -040D0900 -050D0900 -060D0900 -070D0900 -080D0900 -090D0900 -000D0A01 -010D0A00 -020D0A00 -030D0A00 -040D0A00 -050D0A00 -060D0A00 -070D0A00 -080D0A00 -090D0A00 -000D0B01 -010D0B00 -020D0B00 -030D0B00 -040D0B00 -050D0B00 -060D0B00 -070D0B00 -080D0B00 -090D0B00 -000D0C01 -010D0C00 -020D0C00 -030D0C00 -040D0C00 -050D0C00 -060D0C00 -070D0C00 -080D0C00 -090D0C00 -000D0D01 -010D0D00 -020D0D00 -030D0D00 -040D0D00 -050D0D00 -060D0D00 -070D0D00 -080D0D00 -090D0D00 -000D0E01 -010D0E00 -020D0E00 -030D0E00 -040D0E00 -050D0E00 -060D0E00 -070D0E00 -080D0E00 -090D0E00 -000D0F01 -010D0F00 -020D0F00 -030D0F00 -040D0F00 -050D0F00 -060D0F00 -070D0F00 -080D0F00 -090D0F00 -000D1001 -010D1000 -020D1000 -030D1000 -040D1000 -050D1000 -060D1000 -070D1000 -080D1000 -090D1000 -000D1101 -010D1100 -020D1100 -030D1100 -040D1100 -050D1100 -060D1100 -070D1100 -080D1100 -090D1100 -000D1201 -010D1200 -020D1200 -030D1200 -040D1200 -050D1200 -060D1200 -070D1200 -080D1200 -090D1200 -000D1301 -010D1300 -020D1300 -030D1300 -040D1300 -050D1300 -060D1300 -070D1300 -080D1300 -090D1300 -000D1401 -010D1400 -020D1400 -030D1400 -040D1400 -050D1400 -060D1400 -070D1400 -080D1400 -090D1400 -000D1501 -010D1500 -020D1500 -030D1500 -040D1500 -050D1500 -060D1500 -070D1500 -080D1500 -090D1500 -000D1601 -010D1600 -020D1600 -030D1600 -040D1600 -050D1600 -060D1600 -070D1600 -080D1600 -090D1600 -000D1701 -010D1700 -020D1700 -030D1700 -040D1700 -050D1700 -060D1700 -070D1700 -080D1700 -090D1700 -000E0001 -010E0000 -020E0000 -030E0000 -040E0000 -050E0000 -060E0000 -070E0000 -080E0000 -090E0000 -000E0101 -010E0100 -020E0100 -030E0100 -040E0100 -050E0100 -060E0100 -070E0100 -080E0100 -090E0100 -000E0201 -010E0200 -020E0200 -030E0200 -040E0200 -050E0200 -060E0200 -070E0200 -080E0200 -090E0200 -000E0301 -010E0300 -020E0300 -030E0300 -040E0300 -050E0300 -060E0300 -070E0300 -080E0300 -090E0300 -000E0401 -010E0400 -020E0400 -030E0400 -040E0400 -050E0400 -060E0400 -070E0400 -080E0400 -090E0400 -000E0501 -010E0500 -020E0500 -030E0500 -040E0500 -050E0500 -060E0500 -070E0500 -080E0500 -090E0500 -000E0601 -010E0600 -020E0600 -030E0600 -040E0600 -050E0600 -060E0600 -070E0600 -080E0600 -090E0600 -000E0701 -010E0700 -020E0700 -030E0700 -040E0700 -050E0700 -060E0700 -070E0700 -080E0700 -090E0700 -000E0801 -010E0800 -020E0800 -030E0800 -040E0800 -050E0800 -060E0800 -070E0800 -080E0800 -090E0800 -000E0901 -010E0900 -020E0900 -030E0900 -040E0900 -050E0900 -060E0900 -070E0900 -080E0900 -090E0900 -000E0A01 -010E0A00 -020E0A00 -030E0A00 -040E0A00 -050E0A00 -060E0A00 -070E0A00 -080E0A00 -090E0A00 -000E0B01 -010E0B00 -020E0B00 -030E0B00 -040E0B00 -050E0B00 -060E0B00 -070E0B00 -080E0B00 -090E0B00 -000E0C01 -010E0C00 -020E0C00 -030E0C00 -040E0C00 -050E0C00 -060E0C00 -070E0C00 -080E0C00 -090E0C00 -000E0D01 -010E0D00 -020E0D00 -030E0D00 -040E0D00 -050E0D00 -060E0D00 -070E0D00 -080E0D00 -090E0D00 -000E0E01 -010E0E00 -020E0E00 -030E0E00 -040E0E00 -050E0E00 -060E0E00 -070E0E00 -080E0E00 -090E0E00 -000E0F01 -010E0F00 -020E0F00 -030E0F00 -040E0F00 -050E0F00 -060E0F00 -070E0F00 -080E0F00 -090E0F00 -000E1001 -010E1000 -020E1000 -030E1000 -040E1000 -050E1000 -060E1000 -070E1000 -080E1000 -090E1000 -000E1101 -010E1100 -020E1100 -030E1100 -040E1100 -050E1100 -060E1100 -070E1100 -080E1100 -090E1100 -000E1201 -010E1200 -020E1200 -030E1200 -040E1200 -050E1200 -060E1200 -070E1200 -080E1200 -090E1200 -000E1301 -010E1300 -020E1300 -030E1300 -040E1300 -050E1300 -060E1300 -070E1300 -080E1300 -090E1300 -000E1401 -010E1400 -020E1400 -030E1400 -040E1400 -050E1400 -060E1400 -070E1400 -080E1400 -090E1400 -000E1501 -010E1500 -020E1500 -030E1500 -040E1500 -050E1500 -060E1500 -070E1500 -080E1500 -090E1500 -000E1601 -010E1600 -020E1600 -030E1600 -040E1600 -050E1600 -060E1600 -070E1600 -080E1600 -090E1600 -000E1701 -010E1700 -020E1700 -030E1700 -040E1700 -050E1700 -060E1700 -070E1700 -080E1700 -090E1700 -000F0001 -010F0000 -020F0000 -030F0000 -040F0000 -050F0000 -060F0000 -070F0000 -080F0000 -090F0000 -000F0101 -010F0100 -020F0100 -030F0100 -040F0100 -050F0100 -060F0100 -070F0100 -080F0100 -090F0100 -000F0201 -010F0200 -020F0200 -030F0200 -040F0200 -050F0200 -060F0200 -070F0200 -080F0200 -090F0200 -000F0301 -010F0300 -020F0300 -030F0300 -040F0300 -050F0300 -060F0300 -070F0300 -080F0300 -090F0300 -000F0401 -010F0400 -020F0400 -030F0400 -040F0400 -050F0400 -060F0400 -070F0400 -080F0400 -090F0400 -000F0501 -010F0500 -020F0500 -030F0500 -040F0500 -050F0500 -060F0500 -070F0500 -080F0500 -090F0500 -000F0601 -010F0600 -020F0600 -030F0600 -040F0600 -050F0600 -060F0600 -070F0600 -080F0600 -090F0600 -000F0701 -010F0700 -020F0700 -030F0700 -040F0700 -050F0700 -060F0700 -070F0700 -080F0700 -090F0700 -000F0801 -010F0800 -020F0800 -030F0800 -040F0800 -050F0800 -060F0800 -070F0800 -080F0800 -090F0800 -000F0901 -010F0900 -020F0900 -030F0900 -040F0900 -050F0900 -060F0900 -070F0900 -080F0900 -090F0900 -000F0A01 -010F0A00 -020F0A00 -030F0A00 -040F0A00 -050F0A00 -060F0A00 -070F0A00 -080F0A00 -090F0A00 -000F0B01 -010F0B00 -020F0B00 -030F0B00 -040F0B00 -050F0B00 -060F0B00 -070F0B00 -080F0B00 -090F0B00 -000F0C01 -010F0C00 -020F0C00 -030F0C00 -040F0C00 -050F0C00 -060F0C00 -070F0C00 -080F0C00 -090F0C00 -000F0D01 -010F0D00 -020F0D00 -030F0D00 -040F0D00 -050F0D00 -060F0D00 -070F0D00 -080F0D00 -090F0D00 -000F0E01 -010F0E00 -020F0E00 -030F0E00 -040F0E00 -050F0E00 -060F0E00 -070F0E00 -080F0E00 -090F0E00 -000F0F01 -010F0F00 -020F0F00 -030F0F00 -040F0F00 -050F0F00 -060F0F00 -070F0F00 -080F0F00 -090F0F00 -000F1001 -010F1000 -020F1000 -030F1000 -040F1000 -050F1000 -060F1000 -070F1000 -080F1000 -090F1000 -000F1101 -010F1100 -020F1100 -030F1100 -040F1100 -050F1100 -060F1100 -070F1100 -080F1100 -090F1100 -000F1201 -010F1200 -020F1200 -030F1200 -040F1200 -050F1200 -060F1200 -070F1200 -080F1200 -090F1200 -000F1301 -010F1300 -020F1300 -030F1300 -040F1300 -050F1300 -060F1300 -070F1300 -080F1300 -090F1300 -000F1401 -010F1400 -020F1400 -030F1400 -040F1400 -050F1400 -060F1400 -070F1400 -080F1400 -090F1400 -000F1501 -010F1500 -020F1500 -030F1500 -040F1500 -050F1500 -060F1500 -070F1500 -080F1500 -090F1500 -000F1601 -010F1600 -020F1600 -030F1600 -040F1600 -050F1600 -060F1600 -070F1600 -080F1600 -090F1600 -000F1701 -010F1700 -020F1700 -030F1700 -040F1700 -050F1700 -060F1700 -070F1700 -080F1700 -090F1700 -00100001 -01100000 -02100000 -03100000 -04100000 -05100000 -06100000 -07100000 -08100000 -09100000 -00100101 -01100100 -02100100 -03100100 -04100100 -05100100 -06100100 -07100100 -08100100 -09100100 -00100201 -01100200 -02100200 -03100200 -04100200 -05100200 -06100200 -07100200 -08100200 -09100200 -00100301 -01100300 -02100300 -03100300 -04100300 -05100300 -06100300 -07100300 -08100300 -09100300 -00100401 -01100400 -02100400 -03100400 -04100400 -05100400 -06100400 -07100400 -08100400 -09100400 -00100501 -01100500 -02100500 -03100500 -04100500 -05100500 -06100500 -07100500 -08100500 -09100500 -00100601 -01100600 -02100600 -03100600 -04100600 -05100600 -06100600 -07100600 -08100600 -09100600 -00100701 -01100700 -02100700 -03100700 -04100700 -05100700 -06100700 -07100700 -08100700 -09100700 -00100801 -01100800 -02100800 -03100800 -04100800 -05100800 -06100800 -07100800 -08100800 -09100800 -00100901 -01100900 -02100900 -03100900 -04100900 -05100900 -06100900 -07100900 -08100900 -09100900 -00100A01 -01100A00 -02100A00 -03100A00 -04100A00 -05100A00 -06100A00 -07100A00 -08100A00 -09100A00 -00100B01 -01100B00 -02100B00 -03100B00 -04100B00 -05100B00 -06100B00 -07100B00 -08100B00 -09100B00 -00100C01 -01100C00 -02100C00 -03100C00 -04100C00 -05100C00 -06100C00 -07100C00 -08100C00 -09100C00 -00100D01 -01100D00 -02100D00 -03100D00 -04100D00 -05100D00 -06100D00 -07100D00 -08100D00 -09100D00 -00100E01 -01100E00 -02100E00 -03100E00 -04100E00 -05100E00 -06100E00 -07100E00 -08100E00 -09100E00 -00100F01 -01100F00 -02100F00 -03100F00 -04100F00 -05100F00 -06100F00 -07100F00 -08100F00 -09100F00 -00101001 -01101000 -02101000 -03101000 -04101000 -05101000 -06101000 -07101000 -08101000 -09101000 -00101101 -01101100 -02101100 -03101100 -04101100 -05101100 -06101100 -07101100 -08101100 -09101100 -00101201 -01101200 -02101200 -03101200 -04101200 -05101200 -06101200 -07101200 -08101200 -09101200 -00101301 -01101300 -02101300 -03101300 -04101300 -05101300 -06101300 -07101300 -08101300 -09101300 -00101401 -01101400 -02101400 -03101400 -04101400 -05101400 -06101400 -07101400 -08101400 -09101400 -00101501 -01101500 -02101500 -03101500 -04101500 -05101500 -06101500 -07101500 -08101500 -09101500 -00101601 -01101600 -02101600 -03101600 -04101600 -05101600 -06101600 -07101600 -08101600 -09101600 -00101701 -01101700 -02101700 -03101700 -04101700 -05101700 -06101700 -07101700 -08101700 -09101700 -00110001 -01110000 -02110000 -03110000 -04110000 -05110000 -06110000 -07110000 -08110000 -09110000 -00110101 -01110100 -02110100 -03110100 -04110100 -05110100 -06110100 -07110100 -08110100 -09110100 -00110201 -01110200 -02110200 -03110200 -04110200 -05110200 -06110200 -07110200 -08110200 -09110200 -00110301 -01110300 -02110300 -03110300 -04110300 -05110300 -06110300 -07110300 -08110300 -09110300 -00110401 -01110400 -02110400 -03110400 -04110400 -05110400 -06110400 -07110400 -08110400 -09110400 -00110501 -01110500 -02110500 -03110500 -04110500 -05110500 -06110500 -07110500 -08110500 -09110500 -00110601 -01110600 -02110600 -03110600 -04110600 -05110600 -06110600 -07110600 -08110600 -09110600 -00110701 -01110700 -02110700 -03110700 -04110700 -05110700 -06110700 -07110700 -08110700 -09110700 -00110801 -01110800 -02110800 -03110800 -04110800 -05110800 -06110800 -07110800 -08110800 -09110800 -00110901 -01110900 -02110900 -03110900 -04110900 -05110900 -06110900 -07110900 -08110900 -09110900 -00110A01 -01110A00 -02110A00 -03110A00 -04110A00 -05110A00 -06110A00 -07110A00 -08110A00 -09110A00 -00110B01 -01110B00 -02110B00 -03110B00 -04110B00 -05110B00 -06110B00 -07110B00 -08110B00 -09110B00 -00110C01 -01110C00 -02110C00 -03110C00 -04110C00 -05110C00 -06110C00 -07110C00 -08110C00 -09110C00 -00110D01 -01110D00 -02110D00 -03110D00 -04110D00 -05110D00 -06110D00 -07110D00 -08110D00 -09110D00 -00110E01 -01110E00 -02110E00 -03110E00 -04110E00 -05110E00 -06110E00 -07110E00 -08110E00 -09110E00 -00110F01 -01110F00 -02110F00 -03110F00 -04110F00 -05110F00 -06110F00 -07110F00 -08110F00 -09110F00 -00111001 -01111000 -02111000 -03111000 -04111000 -05111000 -06111000 -07111000 -08111000 -09111000 -00111101 -01111100 -02111100 -03111100 -04111100 -05111100 -06111100 -07111100 -08111100 -09111100 -00111201 -01111200 -02111200 -03111200 -04111200 -05111200 -06111200 -07111200 -08111200 -09111200 -00111301 -01111300 -02111300 -03111300 -04111300 -05111300 -06111300 -07111300 -08111300 -09111300 -00111401 -01111400 -02111400 -03111400 -04111400 -05111400 -06111400 -07111400 -08111400 -09111400 -00111501 -01111500 -02111500 -03111500 -04111500 -05111500 -06111500 -07111500 -08111500 -09111500 -00111601 -01111600 -02111600 -03111600 -04111600 -05111600 -06111600 -07111600 -08111600 -09111600 -00111701 -01111700 -02111700 -03111700 -04111700 -05111700 -06111700 -07111700 -08111700 -09111700 -00120001 -01120000 -02120000 -03120000 -04120000 -05120000 -06120000 -07120000 -08120000 -09120000 -00120101 -01120100 -02120100 -03120100 -04120100 -05120100 -06120100 -07120100 -08120100 -09120100 -00120201 -01120200 -02120200 -03120200 -04120200 -05120200 -06120200 -07120200 -08120200 -09120200 -00120301 -01120300 -02120300 -03120300 -04120300 -05120300 -06120300 -07120300 -08120300 -09120300 -00120401 -01120400 -02120400 -03120400 -04120400 -05120400 -06120400 -07120400 -08120400 -09120400 -00120501 -01120500 -02120500 -03120500 -04120500 -05120500 -06120500 -07120500 -08120500 -09120500 -00120601 -01120600 -02120600 -03120600 -04120600 -05120600 -06120600 -07120600 -08120600 -09120600 -00120701 -01120700 -02120700 -03120700 -04120700 -05120700 -06120700 -07120700 -08120700 -09120700 -00120801 -01120800 -02120800 -03120800 -04120800 -05120800 -06120800 -07120800 -08120800 -09120800 -00120901 -01120900 -02120900 -03120900 -04120900 -05120900 -06120900 -07120900 -08120900 -09120900 -00120A01 -01120A00 -02120A00 -03120A00 -04120A00 -05120A00 -06120A00 -07120A00 -08120A00 -09120A00 -00120B01 -01120B00 -02120B00 -03120B00 -04120B00 -05120B00 -06120B00 -07120B00 -08120B00 -09120B00 -00120C01 -01120C00 -02120C00 -03120C00 -04120C00 -05120C00 -06120C00 -07120C00 -08120C00 -09120C00 -00120D01 -01120D00 -02120D00 -03120D00 -04120D00 -05120D00 -06120D00 -07120D00 -08120D00 -09120D00 -00120E01 -01120E00 -02120E00 -03120E00 -04120E00 -05120E00 -06120E00 -07120E00 -08120E00 -09120E00 -00120F01 -01120F00 -02120F00 -03120F00 -04120F00 -05120F00 -06120F00 -07120F00 -08120F00 -09120F00 -00121001 -01121000 -02121000 -03121000 -04121000 -05121000 -06121000 -07121000 -08121000 -09121000 -00121101 -01121100 -02121100 -03121100 -04121100 -05121100 -06121100 -07121100 -08121100 -09121100 -00121201 -01121200 -02121200 -03121200 -04121200 -05121200 -06121200 -07121200 -08121200 -09121200 -00121301 -01121300 -02121300 -03121300 -04121300 -05121300 -06121300 -07121300 -08121300 -09121300 -00121401 -01121400 -02121400 -03121400 -04121400 -05121400 -06121400 -07121400 -08121400 -09121400 -00121501 -01121500 -02121500 -03121500 -04121500 -05121500 -06121500 -07121500 -08121500 -09121500 -00121601 -01121600 -02121600 -03121600 -04121600 -05121600 -06121600 -07121600 -08121600 -09121600 -00121701 -01121700 -02121700 -03121700 -04121700 -05121700 -06121700 -07121700 -08121700 -09121700 -00130001 -01130000 -02130000 -03130000 -04130000 -05130000 -06130000 -07130000 -08130000 -09130000 -00130101 -01130100 -02130100 -03130100 -04130100 -05130100 -06130100 -07130100 -08130100 -09130100 -00130201 -01130200 -02130200 -03130200 -04130200 -05130200 -06130200 -07130200 -08130200 -09130200 -00130301 -01130300 -02130300 -03130300 -04130300 -05130300 -06130300 -07130300 -08130300 -09130300 -00130401 -01130400 -02130400 -03130400 -04130400 -05130400 -06130400 -07130400 -08130400 -09130400 -00130501 -01130500 -02130500 -03130500 -04130500 -05130500 -06130500 -07130500 -08130500 -09130500 -00130601 -01130600 -02130600 -03130600 -04130600 -05130600 -06130600 -07130600 -08130600 -09130600 -00130701 -01130700 -02130700 -03130700 -04130700 -05130700 -06130700 -07130700 -08130700 -09130700 -00130801 -01130800 -02130800 -03130800 -04130800 -05130800 -06130800 -07130800 -08130800 -09130800 -00130901 -01130900 -02130900 -03130900 -04130900 -05130900 -06130900 -07130900 -08130900 -09130900 -00130A01 -01130A00 -02130A00 -03130A00 -04130A00 -05130A00 -06130A00 -07130A00 -08130A00 -09130A00 -00130B01 -01130B00 -02130B00 -03130B00 -04130B00 -05130B00 -06130B00 -07130B00 -08130B00 -09130B00 -00130C01 -01130C00 -02130C00 -03130C00 -04130C00 -05130C00 -06130C00 -07130C00 -08130C00 -09130C00 -00130D01 -01130D00 -02130D00 -03130D00 -04130D00 -05130D00 -06130D00 -07130D00 -08130D00 -09130D00 -00130E01 -01130E00 -02130E00 -03130E00 -04130E00 -05130E00 -06130E00 -07130E00 -08130E00 -09130E00 -00130F01 -01130F00 -02130F00 -03130F00 -04130F00 -05130F00 -06130F00 -07130F00 -08130F00 -09130F00 -00131001 -01131000 -02131000 -03131000 -04131000 -05131000 -06131000 -07131000 -08131000 -09131000 -00131101 -01131100 -02131100 -03131100 -04131100 -05131100 -06131100 -07131100 -08131100 -09131100 -00131201 -01131200 -02131200 -03131200 -04131200 -05131200 -06131200 -07131200 -08131200 -09131200 -00131301 -01131300 -02131300 -03131300 -04131300 -05131300 -06131300 -07131300 -08131300 -09131300 -00131401 -01131400 -02131400 -03131400 -04131400 -05131400 -06131400 -07131400 -08131400 -09131400 -00131501 -01131500 -02131500 -03131500 -04131500 -05131500 -06131500 -07131500 -08131500 -09131500 -00131601 -01131600 -02131600 -03131600 -04131600 -05131600 -06131600 -07131600 -08131600 -09131600 -00131701 -01131700 -02131700 -03131700 -04131700 -05131700 -06131700 -07131700 -08131700 -09131700 -00140001 -01140000 -02140000 -03140000 -04140000 -05140000 -06140000 -07140000 -08140000 -09140000 -00140101 -01140100 -02140100 -03140100 -04140100 -05140100 -06140100 -07140100 -08140100 -09140100 -00140201 -01140200 -02140200 -03140200 -04140200 -05140200 -06140200 -07140200 -08140200 -09140200 -00140301 -01140300 -02140300 -03140300 -04140300 -05140300 -06140300 -07140300 -08140300 -09140300 -00140401 -01140400 -02140400 -03140400 -04140400 -05140400 -06140400 -07140400 -08140400 -09140400 -00140501 -01140500 -02140500 -03140500 -04140500 -05140500 -06140500 -07140500 -08140500 -09140500 -00140601 -01140600 -02140600 -03140600 -04140600 -05140600 -06140600 -07140600 -08140600 -09140600 -00140701 -01140700 -02140700 -03140700 -04140700 -05140700 -06140700 -07140700 -08140700 -09140700 -00140801 -01140800 -02140800 -03140800 -04140800 -05140800 -06140800 -07140800 -08140800 -09140800 -00140901 -01140900 -02140900 -03140900 -04140900 -05140900 -06140900 -07140900 -08140900 -09140900 -00140A01 -01140A00 -02140A00 -03140A00 -04140A00 -05140A00 -06140A00 -07140A00 -08140A00 -09140A00 -00140B01 -01140B00 -02140B00 -03140B00 -04140B00 -05140B00 -06140B00 -07140B00 -08140B00 -09140B00 -00140C01 -01140C00 -02140C00 -03140C00 -04140C00 -05140C00 -06140C00 -07140C00 -08140C00 -09140C00 -00140D01 -01140D00 -02140D00 -03140D00 -04140D00 -05140D00 -06140D00 -07140D00 -08140D00 -09140D00 -00140E01 -01140E00 -02140E00 -03140E00 -04140E00 -05140E00 -06140E00 -07140E00 -08140E00 -09140E00 -00140F01 -01140F00 -02140F00 -03140F00 -04140F00 -05140F00 -06140F00 -07140F00 -08140F00 -09140F00 -00141001 -01141000 -02141000 -03141000 -04141000 -05141000 -06141000 -07141000 -08141000 -09141000 -00141101 -01141100 -02141100 -03141100 -04141100 -05141100 -06141100 -07141100 -08141100 -09141100 -00141201 -01141200 -02141200 -03141200 -04141200 -05141200 -06141200 -07141200 -08141200 -09141200 -00141301 -01141300 -02141300 -03141300 -04141300 -05141300 -06141300 -07141300 -08141300 -09141300 -00141401 -01141400 -02141400 -03141400 -04141400 -05141400 -06141400 -07141400 -08141400 -09141400 -00141501 -01141500 -02141500 -03141500 -04141500 -05141500 -06141500 -07141500 -08141500 -09141500 -00141601 -01141600 -02141600 -03141600 -04141600 -05141600 -06141600 -07141600 -08141600 -09141600 -00141701 -01141700 -02141700 -03141700 -04141700 -05141700 -06141700 -07141700 -08141700 -09141700 -00150001 -01150000 -02150000 -03150000 -04150000 -05150000 -06150000 -07150000 -08150000 -09150000 -00150101 -01150100 -02150100 -03150100 -04150100 -05150100 -06150100 -07150100 -08150100 -09150100 -00150201 -01150200 -02150200 -03150200 -04150200 -05150200 -06150200 -07150200 -08150200 -09150200 -00150301 -01150300 -02150300 -03150300 -04150300 -05150300 -06150300 -07150300 -08150300 -09150300 -00150401 -01150400 -02150400 -03150400 -04150400 -05150400 -06150400 -07150400 -08150400 -09150400 -00150501 -01150500 -02150500 -03150500 -04150500 -05150500 -06150500 -07150500 -08150500 -09150500 -00150601 -01150600 -02150600 -03150600 -04150600 -05150600 -06150600 -07150600 -08150600 -09150600 -00150701 -01150700 -02150700 -03150700 -04150700 -05150700 -06150700 -07150700 -08150700 -09150700 -00150801 -01150800 -02150800 -03150800 -04150800 -05150800 -06150800 -07150800 -08150800 -09150800 -00150901 -01150900 -02150900 -03150900 -04150900 -05150900 -06150900 -07150900 -08150900 -09150900 -00150A01 -01150A00 -02150A00 -03150A00 -04150A00 -05150A00 -06150A00 -07150A00 -08150A00 -09150A00 -00150B01 -01150B00 -02150B00 -03150B00 -04150B00 -05150B00 -06150B00 -07150B00 -08150B00 -09150B00 -00150C01 -01150C00 -02150C00 -03150C00 -04150C00 -05150C00 -06150C00 -07150C00 -08150C00 -09150C00 -00150D01 -01150D00 -02150D00 -03150D00 -04150D00 -05150D00 -06150D00 -07150D00 -08150D00 -09150D00 -00150E01 -01150E00 -02150E00 -03150E00 -04150E00 -05150E00 -06150E00 -07150E00 -08150E00 -09150E00 -00150F01 -01150F00 -02150F00 -03150F00 -04150F00 -05150F00 -06150F00 -07150F00 -08150F00 -09150F00 -00151001 -01151000 -02151000 -03151000 -04151000 -05151000 -06151000 -07151000 -08151000 -09151000 -00151101 -01151100 -02151100 -03151100 -04151100 -05151100 -06151100 -07151100 -08151100 -09151100 -00151201 -01151200 -02151200 -03151200 -04151200 -05151200 -06151200 -07151200 -08151200 -09151200 -00151301 -01151300 -02151300 -03151300 -04151300 -05151300 -06151300 -07151300 -08151300 -09151300 -00151401 -01151400 -02151400 -03151400 -04151400 -05151400 -06151400 -07151400 -08151400 -09151400 -00151501 -01151500 -02151500 -03151500 -04151500 -05151500 -06151500 -07151500 -08151500 -09151500 -00151601 -01151600 -02151600 -03151600 -04151600 -05151600 -06151600 -07151600 -08151600 -09151600 -00151701 -01151700 -02151700 -03151700 -04151700 -05151700 -06151700 -07151700 -08151700 -09151700 -00160001 -01160000 -02160000 -03160000 -04160000 -05160000 -06160000 -07160000 -08160000 -09160000 -00160101 -01160100 -02160100 -03160100 -04160100 -05160100 -06160100 -07160100 -08160100 -09160100 -00160201 -01160200 -02160200 -03160200 -04160200 -05160200 -06160200 -07160200 -08160200 -09160200 -00160301 -01160300 -02160300 -03160300 -04160300 -05160300 -06160300 -07160300 -08160300 -09160300 -00160401 -01160400 -02160400 -03160400 -04160400 -05160400 -06160400 -07160400 -08160400 -09160400 -00160501 -01160500 -02160500 -03160500 -04160500 -05160500 -06160500 -07160500 -08160500 -09160500 -00160601 -01160600 -02160600 -03160600 -04160600 -05160600 -06160600 -07160600 -08160600 -09160600 -00160701 -01160700 -02160700 -03160700 -04160700 -05160700 -06160700 -07160700 -08160700 -09160700 -00160801 -01160800 -02160800 -03160800 -04160800 -05160800 -06160800 -07160800 -08160800 -09160800 -00160901 -01160900 -02160900 -03160900 -04160900 -05160900 -06160900 -07160900 -08160900 -09160900 -00160A01 -01160A00 -02160A00 -03160A00 -04160A00 -05160A00 -06160A00 -07160A00 -08160A00 -09160A00 -00160B01 -01160B00 -02160B00 -03160B00 -04160B00 -05160B00 -06160B00 -07160B00 -08160B00 -09160B00 -00160C01 -01160C00 -02160C00 -03160C00 -04160C00 -05160C00 -06160C00 -07160C00 -08160C00 -09160C00 -00160D01 -01160D00 -02160D00 -03160D00 -04160D00 -05160D00 -06160D00 -07160D00 -08160D00 -09160D00 -00160E01 -01160E00 -02160E00 -03160E00 -04160E00 -05160E00 -06160E00 -07160E00 -08160E00 -09160E00 -00160F01 -01160F00 -02160F00 -03160F00 -04160F00 -05160F00 -06160F00 -07160F00 -08160F00 -09160F00 -00161001 -01161000 -02161000 -03161000 -04161000 -05161000 -06161000 -07161000 -08161000 -09161000 -00161101 -01161100 -02161100 -03161100 -04161100 -05161100 -06161100 -07161100 -08161100 -09161100 -00161201 -01161200 -02161200 -03161200 -04161200 -05161200 -06161200 -07161200 -08161200 -09161200 -00161301 -01161300 -02161300 -03161300 -04161300 -05161300 -06161300 -07161300 -08161300 -09161300 -00161401 -01161400 -02161400 -03161400 -04161400 -05161400 -06161400 -07161400 -08161400 -09161400 -00161501 -01161500 -02161500 -03161500 -04161500 -05161500 -06161500 -07161500 -08161500 -09161500 -00161601 -01161600 -02161600 -03161600 -04161600 -05161600 -06161600 -07161600 -08161600 -09161600 -00161701 -01161700 -02161700 -03161700 -04161700 -05161700 -06161700 -07161700 -08161700 -09161700 -00170001 -01170000 -02170000 -03170000 -04170000 -05170000 -06170000 -071700FF -08170000 -09170000 -00170101 -01170100 -02170100 -03170100 -04170100 -05170100 -06170100 -07170100 -08170100 -09170100 -00170201 -01170200 -02170200 -03170200 -04170200 -05170200 -06170200 -07170200 -08170200 -09170200 -00170301 -01170300 -02170300 -03170300 -04170300 -05170300 -06170300 -07170300 -08170300 -09170300 -00170401 -01170400 -02170400 -03170400 -04170400 -05170400 -06170400 -07170400 -08170400 -09170400 -00170501 -01170500 -02170500 -03170500 -04170500 -05170500 -06170500 -07170500 -08170500 -09170500 -00170601 -01170600 -02170600 -03170600 -04170600 -05170600 -06170600 -07170600 -08170600 -09170600 -00170701 -01170700 -02170700 -03170700 -04170700 -05170700 -06170700 -07170700 -08170700 -09170700 -00170801 -01170800 -02170800 -03170800 -04170800 -05170800 -06170800 -07170800 -08170800 -09170800 -00170901 -01170900 -02170900 -03170900 -04170900 -05170900 -06170900 -07170900 -08170900 -09170900 -00170A01 -01170A00 -02170A00 -03170A00 -04170A00 -05170A00 -06170A00 -07170A00 -08170A00 -09170A00 -00170B01 -01170B00 -02170B00 -03170B00 -04170B00 -05170B00 -06170B00 -07170B00 -08170B00 -09170B00 -00170C01 -01170C00 -02170C00 -03170C00 -04170C00 -05170C00 -06170C00 -07170C00 -08170C00 -09170C00 -00170D01 -01170D00 -02170D00 -03170D00 -04170D00 -05170D00 -06170D00 -07170D00 -08170D00 -09170D00 -00170E01 -01170E00 -02170E00 -03170E00 -04170E00 -05170E00 -06170E00 -07170E00 -08170E00 -09170E00 -00170F01 -01170F00 -02170F00 -03170F00 -04170F00 -05170F00 -06170F00 -07170F00 -08170F00 -09170F00 -00171001 -01171000 -02171000 -03171000 -04171000 -05171000 -06171000 -07171000 -08171000 -09171000 -00171101 -01171100 -02171100 -03171100 -04171100 -05171100 -06171100 -07171100 -08171100 -09171100 -00171201 -01171200 -02171200 -03171200 -04171200 -05171200 -06171200 -07171200 -08171200 -09171200 -00171301 -01171300 -02171300 -03171300 -04171300 -05171300 -06171300 -07171300 -08171300 -09171300 -00171401 -01171400 -02171400 -03171400 -04171400 -05171400 -06171400 -07171400 -08171400 -09171400 -00171501 -01171500 -02171500 -03171500 -04171500 -05171500 -06171500 -07171500 -08171500 -09171500 -00171601 -01171600 -02171600 -03171600 -04171600 -05171600 -06171600 -07171600 -08171600 -09171600 -00171701 -01171700 -02171700 -03171700 -04171700 -05171700 -06171700 -07171700 -08171700 -09171700 diff --git a/bitstreams/led1.md4 b/bitstreams/led1.md4 deleted file mode 100644 index 7923fb7..0000000 --- a/bitstreams/led1.md4 +++ /dev/null @@ -1,11174 +0,0 @@ -D00000C0 -D1000000 -D2000000 -D300000D -00170101 -01170100 -02170100 -03170100 -04170100 -05170100 -06170100 -07170100 -08170100 -09170100 -100000FF -110000F7 -100001FF -110001F7 -100002FF -110002F7 -100003FF -110003F7 -100004FF -110004F7 -100005FF -110005F7 -100006FF -110006F7 -100007FF -110007F7 -100008FF -110008F7 -100009FF -110009F7 -10000AFF -11000AF7 -10000BFF -11000BF7 -10000CFF -11000CF7 -10000DFF -11000DF7 -10000EFF -11000EF7 -10000FFF -11000FF7 -100010FF -110010F7 -100011FF -110011F7 -100012FF -110012F7 -100013FF -110013F7 -100014FF -110014F7 -100015FF -110015F7 -100016FF -110016F7 -100017FF -110017F7 -100100FF -110100F7 -100101FF -110101F7 -100102FF -110102F7 -100103FF -110103F7 -100104FF -110104F7 -100105FF -110105F7 -100106FF -110106F7 -100107FF -110107F7 -100108FF -110108F7 -100109FF -110109F7 -10010AFF -11010AF7 -10010BFF -11010BF7 -10010CFF -11010CF7 -10010DFF -11010DF7 -10010EFF -11010EF7 -10010FFF -11010FF7 -100110FF -110110F7 -100111FF -110111F7 -100112FF -110112F7 -100113FF -110113F7 -100114FF -110114F7 -100115FF -110115F7 -100116FF -110116F7 -100117FF -110117F7 -100200FF -110200F7 -100201FF -110201F7 -100202FF -110202F7 -100203FF -110203F7 -100204FF -110204F7 -100205FF -110205F7 -100206FF -110206F7 -100207FF -110207F7 -100208FF -110208F7 -100209FF -110209F7 -10020AFF -11020AF7 -10020BFF -11020BF7 -10020CFF -11020CF7 -10020DFF -11020DF7 -10020EFF -11020EF7 -10020FFF -11020FF7 -100210FF -110210F7 -100211FF -110211F7 -100212FF -110212F7 -100213FF -110213F7 -100214FF -110214F7 -100215FF -110215F7 -100216FF -110216F7 -100217FF -110217F7 -100300FF -110300F7 -100301FF -110301F7 -100302FF -110302F7 -100303FF -110303F7 -100304FF -110304F7 -100305FF -110305F7 -100306FF -110306F7 -100307FF -110307F7 -100308FF -110308F7 -100309FF -110309F7 -10030AFF -11030AF7 -10030BFF -11030BF7 -10030CFF -11030CF7 -10030DFF -11030DF7 -10030EFF -11030EF7 -10030FFF -11030FF7 -100310FF -110310F7 -100311FF -110311F7 -100312FF -110312F7 -100313FF -110313F7 -100314FF -110314F7 -100315FF -110315F7 -100316FF -110316F7 -100317FF -110317F7 -100400FF -110400F7 -100401FF -110401F7 -100402FF -110402F7 -100403FF -110403F7 -100404FF -110404F7 -100405FF -110405F7 -100406FF -110406F7 -100407FF -110407F7 -100408FF -110408F7 -100409FF -110409F7 -10040AFF -11040AF7 -10040BFF -11040BF7 -10040CFF -11040CF7 -10040DFF -11040DF7 -10040EFF -11040EF7 -10040FFF -11040FF7 -100410FF -110410F7 -100411FF -110411F7 -100412FF -110412F7 -100413FF -110413F7 -100414FF -110414F7 -100415FF -110415F7 -100416FF -110416F7 -100417FF -110417F7 -100500FF -110500F7 -100501FF -110501F7 -100502FF -110502F7 -100503FF -110503F7 -100504FF -110504F7 -100505FF -110505F7 -100506FF -110506F7 -100507FF -110507F7 -100508FF -110508F7 -100509FF -110509F7 -10050AFF -11050AF7 -10050BFF -11050BF7 -10050CFF -11050CF7 -10050DFF -11050DF7 -10050EFF -11050EF7 -10050FFF -11050FF7 -100510FF -110510F7 -100511FF -110511F7 -100512FF -110512F7 -100513FF -110513F7 -100514FF -110514F7 -100515FF -110515F7 -100516FF -110516F7 -100517FF -110517F7 -100600FF -110600F7 -100601FF -110601F7 -100602FF -110602F7 -100603FF -110603F7 -100604FF -110604F7 -100605FF -110605F7 -100606FF -110606F7 -100607FF -110607F7 -100608FF -110608F7 -100609FF -110609F7 -10060AFF -11060AF7 -10060BFF -11060BF7 -10060CFF -11060CF7 -10060DFF -11060DF7 -10060EFF -11060EF7 -10060FFF -11060FF7 -100610FF -110610F7 -100611FF -110611F7 -100612FF -110612F7 -100613FF -110613F7 -100614FF -110614F7 -100615FF -110615F7 -100616FF -110616F7 -100617FF -110617F7 -100700FF -110700F7 -100701FF -110701F7 -100702FF -110702F7 -100703FF -110703F7 -100704FF -110704F7 -100705FF -110705F7 -100706FF -110706F7 -100707FF -110707F7 -100708FF -110708F7 -100709FF -110709F7 -10070AFF -11070AF7 -10070BFF -11070BF7 -10070CFF -11070CF7 -10070DFF -11070DF7 -10070EFF -11070EF7 -10070FFF -11070FF7 -100710FF -110710F7 -100711FF -110711F7 -100712FF -110712F7 -100713FF -110713F7 -100714FF -110714F7 -100715FF -110715F7 -100716FF -110716F7 -100717FF -110717F7 -100800FF -110800F7 -100801FF -110801F7 -100802FF -110802F7 -100803FF -110803F7 -100804FF -110804F7 -100805FF -110805F7 -100806FF -110806F7 -100807FF -110807F7 -100808FF -110808F7 -100809FF -110809F7 -10080AFF -11080AF7 -10080BFF -11080BF7 -10080CFF -11080CF7 -10080DFF -11080DF7 -10080EFF -11080EF7 -10080FFF -11080FF7 -100810FF -110810F7 -100811FF -110811F7 -100812FF -110812F7 -100813FF -110813F7 -100814FF -110814F7 -100815FF -110815F7 -100816FF -110816F7 -100817FF -110817F7 -100900FF -110900F7 -100901FF -110901F7 -100902FF -110902F7 -100903FF -110903F7 -100904FF -110904F7 -100905FF -110905F7 -100906FF -110906F7 -100907FF -110907F7 -100908FF -110908F7 -100909FF -110909F7 -10090AFF -11090AF7 -10090BFF -11090BF7 -10090CFF -11090CF7 -10090DFF -11090DF7 -10090EFF -11090EF7 -10090FFF -11090FF7 -100910FF -110910F7 -100911FF -110911F7 -100912FF -110912F7 -100913FF -110913F7 -100914FF -110914F7 -100915FF -110915F7 -100916FF -110916F7 -100917FF -110917F7 -100A00FF -110A00F7 -100A01FF -110A01F7 -100A02FF -110A02F7 -100A03FF -110A03F7 -100A04FF -110A04F7 -100A05FF -110A05F7 -100A06FF -110A06F7 -100A07FF -110A07F7 -100A08FF -110A08F7 -100A09FF -110A09F7 -100A0AFF -110A0AF7 -100A0BFF -110A0BF7 -100A0CFF -110A0CF7 -100A0DFF -110A0DF7 -100A0EFF -110A0EF7 -100A0FFF -110A0FF7 -100A10FF -110A10F7 -100A11FF -110A11F7 -100A12FF -110A12F7 -100A13FF -110A13F7 -100A14FF -110A14F7 -100A15FF -110A15F7 -100A16FF -110A16F7 -100A17FF -110A17F7 -100B00FF -110B00F7 -100B01FF -110B01F7 -100B02FF -110B02F7 -100B03FF -110B03F7 -100B04FF -110B04F7 -100B05FF -110B05F7 -100B06FF -110B06F7 -100B07FF -110B07F7 -100B08FF -110B08F7 -100B09FF -110B09F7 -100B0AFF -110B0AF7 -100B0BFF -110B0BF7 -100B0CFF -110B0CF7 -100B0DFF -110B0DF7 -100B0EFF -110B0EF7 -100B0FFF -110B0FF7 -100B10FF -110B10F7 -100B11FF -110B11F7 -100B12FF -110B12F7 -100B13FF -110B13F7 -100B14FF -110B14F7 -100B15FF -110B15F7 -100B16FF -110B16F7 -100B17FF -110B17F7 -100C00FF -110C00F7 -100C01FF -110C01F7 -100C02FF -110C02F7 -100C03FF -110C03F7 -100C04FF -110C04F7 -100C05FF -110C05F7 -100C06FF -110C06F7 -100C07FF -110C07F7 -100C08FF -110C08F7 -100C09FF -110C09F7 -100C0AFF -110C0AF7 -100C0BFF -110C0BF7 -100C0CFF -110C0CF7 -100C0DFF -110C0DF7 -100C0EFF -110C0EF7 -100C0FFF -110C0FF7 -100C10FF -110C10F7 -100C11FF -110C11F7 -100C12FF -110C12F7 -100C13FF -110C13F7 -100C14FF -110C14F7 -100C15FF -110C15F7 -100C16FF -110C16F7 -100C17FF -110C17F7 -100D00FF -110D00F7 -100D01FF -110D01F7 -100D02FF -110D02F7 -100D03FF -110D03F7 -100D04FF -110D04F7 -100D05FF -110D05F7 -100D06FF -110D06F7 -100D07FF -110D07F7 -100D08FF -110D08F7 -100D09FF -110D09F7 -100D0AFF -110D0AF7 -100D0BFF -110D0BF7 -100D0CFF -110D0CF7 -100D0DFF -110D0DF7 -100D0EFF -110D0EF7 -100D0FFF -110D0FF7 -100D10FF -110D10F7 -100D11FF -110D11F7 -100D12FF -110D12F7 -100D13FF -110D13F7 -100D14FF -110D14F7 -100D15FF -110D15F7 -100D16FF -110D16F7 -100D17FF -110D17F7 -100E00FF -110E00F7 -100E01FF -110E01F7 -100E02FF -110E02F7 -100E03FF -110E03F7 -100E04FF -110E04F7 -100E05FF -110E05F7 -100E06FF -110E06F7 -100E07FF -110E07F7 -100E08FF -110E08F7 -100E09FF -110E09F7 -100E0AFF -110E0AF7 -100E0BFF -110E0BF7 -100E0CFF -110E0CF7 -100E0DFF -110E0DF7 -100E0EFF -110E0EF7 -100E0FFF -110E0FF7 -100E10FF -110E10F7 -100E11FF -110E11F7 -100E12FF -110E12F7 -100E13FF -110E13F7 -100E14FF -110E14F7 -100E15FF -110E15F7 -100E16FF -110E16F7 -100E17FF -110E17F7 -100F00FF -110F00F7 -100F01FF -110F01F7 -100F02FF -110F02F7 -100F03FF -110F03F7 -100F04FF -110F04F7 -100F05FF -110F05F7 -100F06FF -110F06F7 -100F07FF -110F07F7 -100F08FF -110F08F7 -100F09FF -110F09F7 -100F0AFF -110F0AF7 -100F0BFF -110F0BF7 -100F0CFF -110F0CF7 -100F0DFF -110F0DF7 -100F0EFF -110F0EF7 -100F0FFF -110F0FF7 -100F10FF -110F10F7 -100F11FF -110F11F7 -100F12FF -110F12F7 -100F13FF -110F13F7 -100F14FF -110F14F7 -100F15FF -110F15F7 -100F16FF -110F16F7 -100F17FF -110F17F7 -101000FF -111000F7 -101001FF -111001F7 -101002FF -111002F7 -101003FF -111003F7 -101004FF -111004F7 -101005FF -111005F7 -101006FF -111006F7 -101007FF -111007F7 -101008FF -111008F7 -101009FF -111009F7 -10100AFF -11100AF7 -10100BFF -11100BF7 -10100CFF -11100CF7 -10100DFF -11100DF7 -10100EFF -11100EF7 -10100FFF -11100FF7 -101010FF -111010F7 -101011FF -111011F7 -101012FF -111012F7 -101013FF -111013F7 -101014FF -111014F7 -101015FF -111015F7 -101016FF -111016F7 -101017FF -111017F7 -101100FF -111100F7 -101101FF -111101F7 -101102FF -111102F7 -101103FF -111103F7 -101104FF -111104F7 -101105FF -111105F7 -101106FF -111106F7 -101107FF -111107F7 -101108FF -111108F7 -101109FF -111109F7 -10110AFF -11110AF7 -10110BFF -11110BF7 -10110CFF -11110CF7 -10110DFF -11110DF7 -10110EFF -11110EF7 -10110FFF -11110FF7 -101110FF -111110F7 -101111FF -111111F7 -101112FF -111112F7 -101113FF -111113F7 -101114FF -111114F7 -101115FF -111115F7 -101116FF -111116F7 -101117FF -111117F7 -101200FF -111200F7 -101201FF -111201F7 -101202FF -111202F7 -101203FF -111203F7 -101204FF -111204F7 -101205FF -111205F7 -101206FF -111206F7 -101207FF -111207F7 -101208FF -111208F7 -101209FF -111209F7 -10120AFF -11120AF7 -10120BFF -11120BF7 -10120CFF -11120CF7 -10120DFF -11120DF7 -10120EFF -11120EF7 -10120FFF -11120FF7 -101210FF -111210F7 -101211FF -111211F7 -101212FF -111212F7 -101213FF -111213F7 -101214FF -111214F7 -101215FF -111215F7 -101216FF -111216F7 -101217FF -111217F7 -101300FF -111300F7 -101301FF -111301F7 -101302FF -111302F7 -101303FF -111303F7 -101304FF -111304F7 -101305FF -111305F7 -101306FF -111306F7 -101307FF -111307F7 -101308FF -111308F7 -101309FF -111309F7 -10130AFF -11130AF7 -10130BFF -11130BF7 -10130CFF -11130CF7 -10130DFF -11130DF7 -10130EFF -11130EF7 -10130FFF -11130FF7 -101310FF -111310F7 -101311FF -111311F7 -101312FF -111312F7 -101313FF -111313F7 -101314FF -111314F7 -101315FF -111315F7 -101316FF -111316F7 -101317FF -111317F7 -101400FF -111400F7 -101401FF -111401F7 -101402FF -111402F7 -101403FF -111403F7 -101404FF -111404F7 -101405FF -111405F7 -101406FF -111406F7 -101407FF -111407F7 -101408FF -111408F7 -101409FF -111409F7 -10140AFF -11140AF7 -10140BFF -11140BF7 -10140CFF -11140CF7 -10140DFF -11140DF7 -10140EFF -11140EF7 -10140FFF -11140FF7 -101410FF -111410F7 -101411FF -111411F7 -101412FF -111412F7 -101413FF -111413F7 -101414FF -111414F7 -101415FF -111415F7 -101416FF -111416F7 -101417FF -111417F7 -101500FF -111500F7 -101501FF -111501F7 -101502FF -111502F7 -101503FF -111503F7 -101504FF -111504F7 -101505FF -111505F7 -101506FF -111506F7 -101507FF -111507F7 -101508FF -111508F7 -101509FF -111509F7 -10150AFF -11150AF7 -10150BFF -11150BF7 -10150CFF -11150CF7 -10150DFF -11150DF7 -10150EFF -11150EF7 -10150FFF -11150FF7 -101510FF -111510F7 -101511FF -111511F7 -101512FF -111512F7 -101513FF -111513F7 -101514FF -111514F7 -101515FF -111515F7 -101516FF -111516F7 -101517FF -111517F7 -101600FF -111600F7 -101601FF -111601F7 -101602FF -111602F7 -101603FF -111603F7 -101604FF -111604F7 -101605FF -111605F7 -101606FF -111606F7 -101607FF -111607F7 -101608FF -111608F7 -101609FF -111609F7 -10160AFF -11160AF7 -10160BFF -11160BF7 -10160CFF -11160CF7 -10160DFF -11160DF7 -10160EFF -11160EF7 -10160FFF -11160FF7 -101610FF -111610F7 -101611FF -111611F7 -101612FF -111612F7 -101613FF -111613F7 -101614FF -111614F7 -101615FF -111615F7 -101616FF -111616F7 -101617FF -111617F7 -101700FF -111700F7 -101701FF -111701F7 -101702FF -111702F7 -101703FF -111703F7 -101704FF -111704F7 -101705FF -111705F7 -101706FF -111706F7 -101707FF -111707F7 -101708FF -111708F7 -101709FF -111709F7 -10170AFF -11170AF7 -10170BFF -11170BF7 -10170CFF -11170CF7 -10170DFF -11170DF7 -10170EFF -11170EF7 -10170FFF -11170FF7 -101710FF -111710F7 -101711FF -111711F7 -101712FF -111712F7 -101713FF -111713F7 -101714FF -111714F7 -101715FF -111715F7 -101716FF -111716F7 -101717FF -111717F7 -20000080 -21000000 -22000080 -230000C0 -24000080 -25000000 -26000080 -270000C0 -28000080 -29000000 -20000180 -21000100 -22000180 -230001C0 -24000180 -25000100 -26000180 -270001C0 -28000180 -29000100 -20000280 -21000200 -22000280 -230002C0 -24000280 -25000200 -26000280 -270002C0 -28000280 -29000200 -20000380 -21000300 -22000380 -230003C0 -24000380 -25000300 -26000380 -270003C0 -28000380 -29000300 -20000480 -21000400 -22000480 -230004C0 -24000480 -25000400 -26000480 -270004C0 -28000480 -29000400 -20000580 -21000500 -22000580 -230005C0 -24000580 -25000500 -26000580 -270005C0 -28000580 -29000500 -20000680 -21000600 -22000680 -230006C0 -24000680 -25000600 -26000680 -270006C0 -28000680 -29000600 -20000780 -21000700 -22000780 -230007C0 -24000780 -25000700 -26000780 -270007C0 -28000780 -29000700 -20000880 -21000800 -22000880 -230008C0 -24000880 -25000800 -26000880 -270008C0 -28000880 -29000800 -20000980 -21000900 -22000980 -230009C0 -24000980 -25000900 -26000980 -270009C0 -28000980 -29000900 -20000A80 -21000A00 -22000A80 -23000AC0 -24000A80 -25000A00 -26000A80 -27000AC0 -28000A80 -29000A00 -20000B80 -21000B00 -22000B80 -23000BC0 -24000B80 -25000B00 -26000B80 -27000BC0 -28000B80 -29000B00 -20000C80 -21000C00 -22000C80 -23000CC0 -24000C80 -25000C00 -26000C80 -27000CC0 -28000C80 -29000C00 -20000D80 -21000D00 -22000D80 -23000DC0 -24000D80 -25000D00 -26000D80 -27000DC0 -28000D80 -29000D00 -20000E80 -21000E00 -22000E80 -23000EC0 -24000E80 -25000E00 -26000E80 -27000EC0 -28000E80 -29000E00 -20000F80 -21000F00 -22000F80 -23000FC0 -24000F80 -25000F00 -26000F80 -27000FC0 -28000F80 -29000F00 -20001080 -21001000 -22001080 -230010C0 -24001080 -25001000 -26001080 -270010C0 -28001080 -29001000 -20001180 -21001100 -22001180 -230011C0 -24001180 -25001100 -26001180 -270011C0 -28001180 -29001100 -20001280 -21001200 -22001280 -230012C0 -24001280 -25001200 -26001280 -270012C0 -28001280 -29001200 -20001380 -21001300 -22001380 -230013C0 -24001380 -25001300 -26001380 -270013C0 -28001380 -29001300 -20001480 -21001400 -22001480 -230014C0 -24001480 -25001400 -26001480 -270014C0 -28001480 -29001400 -20001580 -21001500 -22001580 -230015C0 -24001580 -25001500 -26001580 -270015C0 -28001580 -29001500 -20001680 -21001600 -22001680 -230016C0 -24001680 -25001600 -26001680 -270016C0 -28001680 -29001600 -20001780 -21001700 -22001780 -230017C0 -24001780 -25001700 -26001780 -270017C0 -28001780 -29001700 -20010080 -21010000 -22010080 -230100C0 -24010080 -25010000 -26010080 -270100C0 -28010080 -29010000 -20010180 -21010100 -22010180 -230101C0 -24010180 -25010100 -26010180 -270101C0 -28010180 -29010100 -20010280 -21010200 -22010280 -230102C0 -24010280 -25010200 -26010280 -270102C0 -28010280 -29010200 -20010380 -21010300 -22010380 -230103C0 -24010380 -25010300 -26010380 -270103C0 -28010380 -29010300 -20010480 -21010400 -22010480 -230104C0 -24010480 -25010400 -26010480 -270104C0 -28010480 -29010400 -20010580 -21010500 -22010580 -230105C0 -24010580 -25010500 -26010580 -270105C0 -28010580 -29010500 -20010680 -21010600 -22010680 -230106C0 -24010680 -25010600 -26010680 -270106C0 -28010680 -29010600 -20010780 -21010700 -22010780 -230107C0 -24010780 -25010700 -26010780 -270107C0 -28010780 -29010700 -20010880 -21010800 -22010880 -230108C0 -24010880 -25010800 -26010880 -270108C0 -28010880 -29010800 -20010980 -21010900 -22010980 -230109C0 -24010980 -25010900 -26010980 -270109C0 -28010980 -29010900 -20010A80 -21010A00 -22010A80 -23010AC0 -24010A80 -25010A00 -26010A80 -27010AC0 -28010A80 -29010A00 -20010B80 -21010B00 -22010B80 -23010BC0 -24010B80 -25010B00 -26010B80 -27010BC0 -28010B80 -29010B00 -20010C80 -21010C00 -22010C80 -23010CC0 -24010C80 -25010C00 -26010C80 -27010CC0 -28010C80 -29010C00 -20010D80 -21010D00 -22010D80 -23010DC0 -24010D80 -25010D00 -26010D80 -27010DC0 -28010D80 -29010D00 -20010E80 -21010E00 -22010E80 -23010EC0 -24010E80 -25010E00 -26010E80 -27010EC0 -28010E80 -29010E00 -20010F80 -21010F00 -22010F80 -23010FC0 -24010F80 -25010F00 -26010F80 -27010FC0 -28010F80 -29010F00 -20011080 -21011000 -22011080 -230110C0 -24011080 -25011000 -26011080 -270110C0 -28011080 -29011000 -20011180 -21011100 -22011180 -230111C0 -24011180 -25011100 -26011180 -270111C0 -28011180 -29011100 -20011280 -21011200 -22011280 -230112C0 -24011280 -25011200 -26011280 -270112C0 -28011280 -29011200 -20011380 -21011300 -22011380 -230113C0 -24011380 -25011300 -26011380 -270113C0 -28011380 -29011300 -20011480 -21011400 -22011480 -230114C0 -24011480 -25011400 -26011480 -270114C0 -28011480 -29011400 -20011580 -21011500 -22011580 -230115C0 -24011580 -25011500 -26011580 -270115C0 -28011580 -29011500 -20011680 -21011600 -22011680 -230116C0 -24011680 -25011600 -26011680 -270116C0 -28011680 -29011600 -20011780 -21011700 -22011780 -230117C0 -24011780 -25011700 -26011780 -270117C0 -28011780 -29011700 -20020080 -21020000 -22020080 -230200C0 -24020080 -25020000 -26020080 -270200C0 -28020080 -29020000 -20020180 -21020100 -22020180 -230201C0 -24020180 -25020100 -26020180 -270201C0 -28020180 -29020100 -20020280 -21020200 -22020280 -230202C0 -24020280 -25020200 -26020280 -270202C0 -28020280 -29020200 -20020380 -21020300 -22020380 -230203C0 -24020380 -25020300 -26020380 -270203C0 -28020380 -29020300 -20020480 -21020400 -22020480 -230204C0 -24020480 -25020400 -26020480 -270204C0 -28020480 -29020400 -20020580 -21020500 -22020580 -230205C0 -24020580 -25020500 -26020580 -270205C0 -28020580 -29020500 -20020680 -21020600 -22020680 -230206C0 -24020680 -25020600 -26020680 -270206C0 -28020680 -29020600 -20020780 -21020700 -22020780 -230207C0 -24020780 -25020700 -26020780 -270207C0 -28020780 -29020700 -20020880 -21020800 -22020880 -230208C0 -24020880 -25020800 -26020880 -270208C0 -28020880 -29020800 -20020980 -21020900 -22020980 -230209C0 -24020980 -25020900 -26020980 -270209C0 -28020980 -29020900 -20020A80 -21020A00 -22020A80 -23020AC0 -24020A80 -25020A00 -26020A80 -27020AC0 -28020A80 -29020A00 -20020B80 -21020B00 -22020B80 -23020BC0 -24020B80 -25020B00 -26020B80 -27020BC0 -28020B80 -29020B00 -20020C80 -21020C00 -22020C80 -23020CC0 -24020C80 -25020C00 -26020C80 -27020CC0 -28020C80 -29020C00 -20020D80 -21020D00 -22020D80 -23020DC0 -24020D80 -25020D00 -26020D80 -27020DC0 -28020D80 -29020D00 -20020E80 -21020E00 -22020E80 -23020EC0 -24020E80 -25020E00 -26020E80 -27020EC0 -28020E80 -29020E00 -20020F80 -21020F00 -22020F80 -23020FC0 -24020F80 -25020F00 -26020F80 -27020FC0 -28020F80 -29020F00 -20021080 -21021000 -22021080 -230210C0 -24021080 -25021000 -26021080 -270210C0 -28021080 -29021000 -20021180 -21021100 -22021180 -230211C0 -24021180 -25021100 -26021180 -270211C0 -28021180 -29021100 -20021280 -21021200 -22021280 -230212C0 -24021280 -25021200 -26021280 -270212C0 -28021280 -29021200 -20021380 -21021300 -22021380 -230213C0 -24021380 -25021300 -26021380 -270213C0 -28021380 -29021300 -20021480 -21021400 -22021480 -230214C0 -24021480 -25021400 -26021480 -270214C0 -28021480 -29021400 -20021580 -21021500 -22021580 -230215C0 -24021580 -25021500 -26021580 -270215C0 -28021580 -29021500 -20021680 -21021600 -22021680 -230216C0 -24021680 -25021600 -26021680 -270216C0 -28021680 -29021600 -20021780 -21021700 -22021780 -230217C0 -24021780 -25021700 -26021780 -270217C0 -28021780 -29021700 -20030080 -21030000 -22030080 -230300C0 -24030080 -25030000 -26030080 -270300C0 -28030080 -29030000 -20030180 -21030100 -22030180 -230301C0 -24030180 -25030100 -26030180 -270301C0 -28030180 -29030100 -20030280 -21030200 -22030280 -230302C0 -24030280 -25030200 -26030280 -270302C0 -28030280 -29030200 -20030380 -21030300 -22030380 -230303C0 -24030380 -25030300 -26030380 -270303C0 -28030380 -29030300 -20030480 -21030400 -22030480 -230304C0 -24030480 -25030400 -26030480 -270304C0 -28030480 -29030400 -20030580 -21030500 -22030580 -230305C0 -24030580 -25030500 -26030580 -270305C0 -28030580 -29030500 -20030680 -21030600 -22030680 -230306C0 -24030680 -25030600 -26030680 -270306C0 -28030680 -29030600 -20030780 -21030700 -22030780 -230307C0 -24030780 -25030700 -26030780 -270307C0 -28030780 -29030700 -20030880 -21030800 -22030880 -230308C0 -24030880 -25030800 -26030880 -270308C0 -28030880 -29030800 -20030980 -21030900 -22030980 -230309C0 -24030980 -25030900 -26030980 -270309C0 -28030980 -29030900 -20030A80 -21030A00 -22030A80 -23030AC0 -24030A80 -25030A00 -26030A80 -27030AC0 -28030A80 -29030A00 -20030B80 -21030B00 -22030B80 -23030BC0 -24030B80 -25030B00 -26030B80 -27030BC0 -28030B80 -29030B00 -20030C80 -21030C00 -22030C80 -23030CC0 -24030C80 -25030C00 -26030C80 -27030CC0 -28030C80 -29030C00 -20030D80 -21030D00 -22030D80 -23030DC0 -24030D80 -25030D00 -26030D80 -27030DC0 -28030D80 -29030D00 -20030E80 -21030E00 -22030E80 -23030EC0 -24030E80 -25030E00 -26030E80 -27030EC0 -28030E80 -29030E00 -20030F80 -21030F00 -22030F80 -23030FC0 -24030F80 -25030F00 -26030F80 -27030FC0 -28030F80 -29030F00 -20031080 -21031000 -22031080 -230310C0 -24031080 -25031000 -26031080 -270310C0 -28031080 -29031000 -20031180 -21031100 -22031180 -230311C0 -24031180 -25031100 -26031180 -270311C0 -28031180 -29031100 -20031280 -21031200 -22031280 -230312C0 -24031280 -25031200 -26031280 -270312C0 -28031280 -29031200 -20031380 -21031300 -22031380 -230313C0 -24031380 -25031300 -26031380 -270313C0 -28031380 -29031300 -20031480 -21031400 -22031480 -230314C0 -24031480 -25031400 -26031480 -270314C0 -28031480 -29031400 -20031580 -21031500 -22031580 -230315C0 -24031580 -25031500 -26031580 -270315C0 -28031580 -29031500 -20031680 -21031600 -22031680 -230316C0 -24031680 -25031600 -26031680 -270316C0 -28031680 -29031600 -20031780 -21031700 -22031780 -230317C0 -24031780 -25031700 -26031780 -270317C0 -28031780 -29031700 -20040080 -21040000 -22040080 -230400C0 -24040080 -25040000 -26040080 -270400C0 -28040080 -29040000 -20040180 -21040100 -22040180 -230401C0 -24040180 -25040100 -26040180 -270401C0 -28040180 -29040100 -20040280 -21040200 -22040280 -230402C0 -24040280 -25040200 -26040280 -270402C0 -28040280 -29040200 -20040380 -21040300 -22040380 -230403C0 -24040380 -25040300 -26040380 -270403C0 -28040380 -29040300 -20040480 -21040400 -22040480 -230404C0 -24040480 -25040400 -26040480 -270404C0 -28040480 -29040400 -20040580 -21040500 -22040580 -230405C0 -24040580 -25040500 -26040580 -270405C0 -28040580 -29040500 -20040680 -21040600 -22040680 -230406C0 -24040680 -25040600 -26040680 -270406C0 -28040680 -29040600 -20040780 -21040700 -22040780 -230407C0 -24040780 -25040700 -26040780 -270407C0 -28040780 -29040700 -20040880 -21040800 -22040880 -230408C0 -24040880 -25040800 -26040880 -270408C0 -28040880 -29040800 -20040980 -21040900 -22040980 -230409C0 -24040980 -25040900 -26040980 -270409C0 -28040980 -29040900 -20040A80 -21040A00 -22040A80 -23040AC0 -24040A80 -25040A00 -26040A80 -27040AC0 -28040A80 -29040A00 -20040B80 -21040B00 -22040B80 -23040BC0 -24040B80 -25040B00 -26040B80 -27040BC0 -28040B80 -29040B00 -20040C80 -21040C00 -22040C80 -23040CC0 -24040C80 -25040C00 -26040C80 -27040CC0 -28040C80 -29040C00 -20040D80 -21040D00 -22040D80 -23040DC0 -24040D80 -25040D00 -26040D80 -27040DC0 -28040D80 -29040D00 -20040E80 -21040E00 -22040E80 -23040EC0 -24040E80 -25040E00 -26040E80 -27040EC0 -28040E80 -29040E00 -20040F80 -21040F00 -22040F80 -23040FC0 -24040F80 -25040F00 -26040F80 -27040FC0 -28040F80 -29040F00 -20041080 -21041000 -22041080 -230410C0 -24041080 -25041000 -26041080 -270410C0 -28041080 -29041000 -20041180 -21041100 -22041180 -230411C0 -24041180 -25041100 -26041180 -270411C0 -28041180 -29041100 -20041280 -21041200 -22041280 -230412C0 -24041280 -25041200 -26041280 -270412C0 -28041280 -29041200 -20041380 -21041300 -22041380 -230413C0 -24041380 -25041300 -26041380 -270413C0 -28041380 -29041300 -20041480 -21041400 -22041480 -230414C0 -24041480 -25041400 -26041480 -270414C0 -28041480 -29041400 -20041580 -21041500 -22041580 -230415C0 -24041580 -25041500 -26041580 -270415C0 -28041580 -29041500 -20041680 -21041600 -22041680 -230416C0 -24041680 -25041600 -26041680 -270416C0 -28041680 -29041600 -20041780 -21041700 -22041780 -230417C0 -24041780 -25041700 -26041780 -270417C0 -28041780 -29041700 -20050080 -21050000 -22050080 -230500C0 -24050080 -25050000 -26050080 -270500C0 -28050080 -29050000 -20050180 -21050100 -22050180 -230501C0 -24050180 -25050100 -26050180 -270501C0 -28050180 -29050100 -20050280 -21050200 -22050280 -230502C0 -24050280 -25050200 -26050280 -270502C0 -28050280 -29050200 -20050380 -21050300 -22050380 -230503C0 -24050380 -25050300 -26050380 -270503C0 -28050380 -29050300 -20050480 -21050400 -22050480 -230504C0 -24050480 -25050400 -26050480 -270504C0 -28050480 -29050400 -20050580 -21050500 -22050580 -230505C0 -24050580 -25050500 -26050580 -270505C0 -28050580 -29050500 -20050680 -21050600 -22050680 -230506C0 -24050680 -25050600 -26050680 -270506C0 -28050680 -29050600 -20050780 -21050700 -22050780 -230507C0 -24050780 -25050700 -26050780 -270507C0 -28050780 -29050700 -20050880 -21050800 -22050880 -230508C0 -24050880 -25050800 -26050880 -270508C0 -28050880 -29050800 -20050980 -21050900 -22050980 -230509C0 -24050980 -25050900 -26050980 -270509C0 -28050980 -29050900 -20050A80 -21050A00 -22050A80 -23050AC0 -24050A80 -25050A00 -26050A80 -27050AC0 -28050A80 -29050A00 -20050B80 -21050B00 -22050B80 -23050BC0 -24050B80 -25050B00 -26050B80 -27050BC0 -28050B80 -29050B00 -20050C80 -21050C00 -22050C80 -23050CC0 -24050C80 -25050C00 -26050C80 -27050CC0 -28050C80 -29050C00 -20050D80 -21050D00 -22050D80 -23050DC0 -24050D80 -25050D00 -26050D80 -27050DC0 -28050D80 -29050D00 -20050E80 -21050E00 -22050E80 -23050EC0 -24050E80 -25050E00 -26050E80 -27050EC0 -28050E80 -29050E00 -20050F80 -21050F00 -22050F80 -23050FC0 -24050F80 -25050F00 -26050F80 -27050FC0 -28050F80 -29050F00 -20051080 -21051000 -22051080 -230510C0 -24051080 -25051000 -26051080 -270510C0 -28051080 -29051000 -20051180 -21051100 -22051180 -230511C0 -24051180 -25051100 -26051180 -270511C0 -28051180 -29051100 -20051280 -21051200 -22051280 -230512C0 -24051280 -25051200 -26051280 -270512C0 -28051280 -29051200 -20051380 -21051300 -22051380 -230513C0 -24051380 -25051300 -26051380 -270513C0 -28051380 -29051300 -20051480 -21051400 -22051480 -230514C0 -24051480 -25051400 -26051480 -270514C0 -28051480 -29051400 -20051580 -21051500 -22051580 -230515C0 -24051580 -25051500 -26051580 -270515C0 -28051580 -29051500 -20051680 -21051600 -22051680 -230516C0 -24051680 -25051600 -26051680 -270516C0 -28051680 -29051600 -20051780 -21051700 -22051780 -230517C0 -24051780 -25051700 -26051780 -270517C0 -28051780 -29051700 -20060080 -21060000 -22060080 -230600C0 -24060080 -25060000 -26060080 -270600C0 -28060080 -29060000 -20060180 -21060100 -22060180 -230601C0 -24060180 -25060100 -26060180 -270601C0 -28060180 -29060100 -20060280 -21060200 -22060280 -230602C0 -24060280 -25060200 -26060280 -270602C0 -28060280 -29060200 -20060380 -21060300 -22060380 -230603C0 -24060380 -25060300 -26060380 -270603C0 -28060380 -29060300 -20060480 -21060400 -22060480 -230604C0 -24060480 -25060400 -26060480 -270604C0 -28060480 -29060400 -20060580 -21060500 -22060580 -230605C0 -24060580 -25060500 -26060580 -270605C0 -28060580 -29060500 -20060680 -21060600 -22060680 -230606C0 -24060680 -25060600 -26060680 -270606C0 -28060680 -29060600 -20060780 -21060700 -22060780 -230607C0 -24060780 -25060700 -26060780 -270607C0 -28060780 -29060700 -20060880 -21060800 -22060880 -230608C0 -24060880 -25060800 -26060880 -270608C0 -28060880 -29060800 -20060980 -21060900 -22060980 -230609C0 -24060980 -25060900 -26060980 -270609C0 -28060980 -29060900 -20060A80 -21060A00 -22060A80 -23060AC0 -24060A80 -25060A00 -26060A80 -27060AC0 -28060A80 -29060A00 -20060B80 -21060B00 -22060B80 -23060BC0 -24060B80 -25060B00 -26060B80 -27060BC0 -28060B80 -29060B00 -20060C80 -21060C00 -22060C80 -23060CC0 -24060C80 -25060C00 -26060C80 -27060CC0 -28060C80 -29060C00 -20060D80 -21060D00 -22060D80 -23060DC0 -24060D80 -25060D00 -26060D80 -27060DC0 -28060D80 -29060D00 -20060E80 -21060E00 -22060E80 -23060EC0 -24060E80 -25060E00 -26060E80 -27060EC0 -28060E80 -29060E00 -20060F80 -21060F00 -22060F80 -23060FC0 -24060F80 -25060F00 -26060F80 -27060FC0 -28060F80 -29060F00 -20061080 -21061000 -22061080 -230610C0 -24061080 -25061000 -26061080 -270610C0 -28061080 -29061000 -20061180 -21061100 -22061180 -230611C0 -24061180 -25061100 -26061180 -270611C0 -28061180 -29061100 -20061280 -21061200 -22061280 -230612C0 -24061280 -25061200 -26061280 -270612C0 -28061280 -29061200 -20061380 -21061300 -22061380 -230613C0 -24061380 -25061300 -26061380 -270613C0 -28061380 -29061300 -20061480 -21061400 -22061480 -230614C0 -24061480 -25061400 -26061480 -270614C0 -28061480 -29061400 -20061580 -21061500 -22061580 -230615C0 -24061580 -25061500 -26061580 -270615C0 -28061580 -29061500 -20061680 -21061600 -22061680 -230616C0 -24061680 -25061600 -26061680 -270616C0 -28061680 -29061600 -20061780 -21061700 -22061780 -230617C0 -24061780 -25061700 -26061780 -270617C0 -28061780 -29061700 -30000080 -31000000 -32000080 -330000C0 -34000080 -35000000 -36000080 -370000C0 -38000080 -39000000 -30000180 -31000100 -32000180 -330001C0 -34000180 -35000100 -36000180 -370001C0 -38000180 -39000100 -30000280 -31000200 -32000280 -330002C0 -34000280 -35000200 -36000280 -370002C0 -38000280 -39000200 -30000380 -31000300 -32000380 -330003C0 -34000380 -35000300 -36000380 -370003C0 -38000380 -39000300 -30000480 -31000400 -32000480 -330004C0 -34000480 -35000400 -36000480 -370004C0 -38000480 -39000400 -30000580 -31000500 -32000580 -330005C0 -34000580 -35000500 -36000580 -370005C0 -38000580 -39000500 -30000680 -31000600 -32000680 -330006C0 -34000680 -35000600 -36000680 -370006C0 -38000680 -39000600 -30010080 -31010000 -32010080 -330100C0 -34010080 -35010000 -36010080 -370100C0 -38010080 -39010000 -30010180 -31010100 -32010180 -330101C0 -34010180 -35010100 -36010180 -370101C0 -38010180 -39010100 -30010280 -31010200 -32010280 -330102C0 -34010280 -35010200 -36010280 -370102C0 -38010280 -39010200 -30010380 -31010300 -32010380 -330103C0 -34010380 -35010300 -36010380 -370103C0 -38010380 -39010300 -30010480 -31010400 -32010480 -330104C0 -34010480 -35010400 -36010480 -370104C0 -38010480 -39010400 -30010580 -31010500 -32010580 -330105C0 -34010580 -35010500 -36010580 -370105C0 -38010580 -39010500 -30010680 -31010600 -32010680 -330106C0 -34010680 -35010600 -36010680 -370106C0 -38010680 -39010600 -30020080 -31020000 -32020080 -330200C0 -34020080 -35020000 -36020080 -370200C0 -38020080 -39020000 -30020180 -31020100 -32020180 -330201C0 -34020180 -35020100 -36020180 -370201C0 -38020180 -39020100 -30020280 -31020200 -32020280 -330202C0 -34020280 -35020200 -36020280 -370202C0 -38020280 -39020200 -30020380 -31020300 -32020380 -330203C0 -34020380 -35020300 -36020380 -370203C0 -38020380 -39020300 -30020480 -31020400 -32020480 -330204C0 -34020480 -35020400 -36020480 -370204C0 -38020480 -39020400 -30020580 -31020500 -32020580 -330205C0 -34020580 -35020500 -36020580 -370205C0 -38020580 -39020500 -30020680 -31020600 -32020680 -330206C0 -34020680 -35020600 -36020680 -370206C0 -38020680 -39020600 -30030080 -31030000 -32030080 -330300C0 -34030080 -35030000 -36030080 -370300C0 -38030080 -39030000 -30030180 -31030100 -32030180 -330301C0 -34030180 -35030100 -36030180 -370301C0 -38030180 -39030100 -30030280 -31030200 -32030280 -330302C0 -34030280 -35030200 -36030280 -370302C0 -38030280 -39030200 -30030380 -31030300 -32030380 -330303C0 -34030380 -35030300 -36030380 -370303C0 -38030380 -39030300 -30030480 -31030400 -32030480 -330304C0 -34030480 -35030400 -36030480 -370304C0 -38030480 -39030400 -30030580 -31030500 -32030580 -330305C0 -34030580 -35030500 -36030580 -370305C0 -38030580 -39030500 -30030680 -31030600 -32030680 -330306C0 -34030680 -35030600 -36030680 -370306C0 -38030680 -39030600 -30040080 -31040000 -32040080 -330400C0 -34040080 -35040000 -36040080 -370400C0 -38040080 -39040000 -30040180 -31040100 -32040180 -330401C0 -34040180 -35040100 -36040180 -370401C0 -38040180 -39040100 -30040280 -31040200 -32040280 -330402C0 -34040280 -35040200 -36040280 -370402C0 -38040280 -39040200 -30040380 -31040300 -32040380 -330403C0 -34040380 -35040300 -36040380 -370403C0 -38040380 -39040300 -30040480 -31040400 -32040480 -330404C0 -34040480 -35040400 -36040480 -370404C0 -38040480 -39040400 -30040580 -31040500 -32040580 -330405C0 -34040580 -35040500 -36040580 -370405C0 -38040580 -39040500 -30040680 -31040600 -32040680 -330406C0 -34040680 -35040600 -36040680 -370406C0 -38040680 -39040600 -30050080 -31050000 -32050080 -330500C0 -34050080 -35050000 -36050080 -370500C0 -38050080 -39050000 -30050180 -31050100 -32050180 -330501C0 -34050180 -35050100 -36050180 -370501C0 -38050180 -39050100 -30050280 -31050200 -32050280 -330502C0 -34050280 -35050200 -36050280 -370502C0 -38050280 -39050200 -30050380 -31050300 -32050380 -330503C0 -34050380 -35050300 -36050380 -370503C0 -38050380 -39050300 -30050480 -31050400 -32050480 -330504C0 -34050480 -35050400 -36050480 -370504C0 -38050480 -39050400 -30050580 -31050500 -32050580 -330505C0 -34050580 -35050500 -36050580 -370505C0 -38050580 -39050500 -30050680 -31050600 -32050680 -330506C0 -34050680 -35050600 -36050680 -370506C0 -38050680 -39050600 -30060080 -31060000 -32060080 -330600C0 -34060080 -35060000 -36060080 -370600C0 -38060080 -39060000 -30060180 -31060100 -32060180 -330601C0 -34060180 -35060100 -36060180 -370601C0 -38060180 -39060100 -30060280 -31060200 -32060280 -330602C0 -34060280 -35060200 -36060280 -370602C0 -38060280 -39060200 -30060380 -31060300 -32060380 -330603C0 -34060380 -35060300 -36060380 -370603C0 -38060380 -39060300 -30060480 -31060400 -32060480 -330604C0 -34060480 -35060400 -36060480 -370604C0 -38060480 -39060400 -30060580 -31060500 -32060580 -330605C0 -34060580 -35060500 -36060580 -370605C0 -38060580 -39060500 -30060680 -31060600 -32060680 -330606C0 -34060680 -35060600 -36060680 -370606C0 -38060680 -39060600 -30070080 -31070000 -32070080 -330700C0 -34070080 -35070000 -36070080 -370700C0 -38070080 -39070000 -30070180 -31070100 -32070180 -330701C0 -34070180 -35070100 -36070180 -370701C0 -38070180 -39070100 -30070280 -31070200 -32070280 -330702C0 -34070280 -35070200 -36070280 -370702C0 -38070280 -39070200 -30070380 -31070300 -32070380 -330703C0 -34070380 -35070300 -36070380 -370703C0 -38070380 -39070300 -30070480 -31070400 -32070480 -330704C0 -34070480 -35070400 -36070480 -370704C0 -38070480 -39070400 -30070580 -31070500 -32070580 -330705C0 -34070580 -35070500 -36070580 -370705C0 -38070580 -39070500 -30070680 -31070600 -32070680 -330706C0 -34070680 -35070600 -36070680 -370706C0 -38070680 -39070600 -30080080 -31080000 -32080080 -330800C0 -34080080 -35080000 -36080080 -370800C0 -38080080 -39080000 -30080180 -31080100 -32080180 -330801C0 -34080180 -35080100 -36080180 -370801C0 -38080180 -39080100 -30080280 -31080200 -32080280 -330802C0 -34080280 -35080200 -36080280 -370802C0 -38080280 -39080200 -30080380 -31080300 -32080380 -330803C0 -34080380 -35080300 -36080380 -370803C0 -38080380 -39080300 -30080480 -31080400 -32080480 -330804C0 -34080480 -35080400 -36080480 -370804C0 -38080480 -39080400 -30080580 -31080500 -32080580 -330805C0 -34080580 -35080500 -36080580 -370805C0 -38080580 -39080500 -30080680 -31080600 -32080680 -330806C0 -34080680 -35080600 -36080680 -370806C0 -38080680 -39080600 -30090080 -31090000 -32090080 -330900C0 -34090080 -35090000 -36090080 -370900C0 -38090080 -39090000 -30090180 -31090100 -32090180 -330901C0 -34090180 -35090100 -36090180 -370901C0 -38090180 -39090100 -30090280 -31090200 -32090280 -330902C0 -34090280 -35090200 -36090280 -370902C0 -38090280 -39090200 -30090380 -31090300 -32090380 -330903C0 -34090380 -35090300 -36090380 -370903C0 -38090380 -39090300 -30090480 -31090400 -32090480 -330904C0 -34090480 -35090400 -36090480 -370904C0 -38090480 -39090400 -30090580 -31090500 -32090580 -330905C0 -34090580 -35090500 -36090580 -370905C0 -38090580 -39090500 -30090680 -31090600 -32090680 -330906C0 -34090680 -35090600 -36090680 -370906C0 -38090680 -39090600 -300A0080 -310A0000 -320A0080 -330A00C0 -340A0080 -350A0000 -360A0080 -370A00C0 -380A0080 -390A0000 -300A0180 -310A0100 -320A0180 -330A01C0 -340A0180 -350A0100 -360A0180 -370A01C0 -380A0180 -390A0100 -300A0280 -310A0200 -320A0280 -330A02C0 -340A0280 -350A0200 -360A0280 -370A02C0 -380A0280 -390A0200 -300A0380 -310A0300 -320A0380 -330A03C0 -340A0380 -350A0300 -360A0380 -370A03C0 -380A0380 -390A0300 -300A0480 -310A0400 -320A0480 -330A04C0 -340A0480 -350A0400 -360A0480 -370A04C0 -380A0480 -390A0400 -300A0580 -310A0500 -320A0580 -330A05C0 -340A0580 -350A0500 -360A0580 -370A05C0 -380A0580 -390A0500 -300A0680 -310A0600 -320A0680 -330A06C0 -340A0680 -350A0600 -360A0680 -370A06C0 -380A0680 -390A0600 -300B0080 -310B0000 -320B0080 -330B00C0 -340B0080 -350B0000 -360B0080 -370B00C0 -380B0080 -390B0000 -300B0180 -310B0100 -320B0180 -330B01C0 -340B0180 -350B0100 -360B0180 -370B01C0 -380B0180 -390B0100 -300B0280 -310B0200 -320B0280 -330B02C0 -340B0280 -350B0200 -360B0280 -370B02C0 -380B0280 -390B0200 -300B0380 -310B0300 -320B0380 -330B03C0 -340B0380 -350B0300 -360B0380 -370B03C0 -380B0380 -390B0300 -300B0480 -310B0400 -320B0480 -330B04C0 -340B0480 -350B0400 -360B0480 -370B04C0 -380B0480 -390B0400 -300B0580 -310B0500 -320B0580 -330B05C0 -340B0580 -350B0500 -360B0580 -370B05C0 -380B0580 -390B0500 -300B0680 -310B0600 -320B0680 -330B06C0 -340B0680 -350B0600 -360B0680 -370B06C0 -380B0680 -390B0600 -300C0080 -310C0000 -320C0080 -330C00C0 -340C0080 -350C0000 -360C0080 -370C00C0 -380C0080 -390C0000 -300C0180 -310C0100 -320C0180 -330C01C0 -340C0180 -350C0100 -360C0180 -370C01C0 -380C0180 -390C0100 -300C0280 -310C0200 -320C0280 -330C02C0 -340C0280 -350C0200 -360C0280 -370C02C0 -380C0280 -390C0200 -300C0380 -310C0300 -320C0380 -330C03C0 -340C0380 -350C0300 -360C0380 -370C03C0 -380C0380 -390C0300 -300C0480 -310C0400 -320C0480 -330C04C0 -340C0480 -350C0400 -360C0480 -370C04C0 -380C0480 -390C0400 -300C0580 -310C0500 -320C0580 -330C05C0 -340C0580 -350C0500 -360C0580 -370C05C0 -380C0580 -390C0500 -300C0680 -310C0600 -320C0680 -330C06C0 -340C0680 -350C0600 -360C0680 -370C06C0 -380C0680 -390C0600 -300D0080 -310D0000 -320D0080 -330D00C0 -340D0080 -350D0000 -360D0080 -370D00C0 -380D0080 -390D0000 -300D0180 -310D0100 -320D0180 -330D01C0 -340D0180 -350D0100 -360D0180 -370D01C0 -380D0180 -390D0100 -300D0280 -310D0200 -320D0280 -330D02C0 -340D0280 -350D0200 -360D0280 -370D02C0 -380D0280 -390D0200 -300D0380 -310D0300 -320D0380 -330D03C0 -340D0380 -350D0300 -360D0380 -370D03C0 -380D0380 -390D0300 -300D0480 -310D0400 -320D0480 -330D04C0 -340D0480 -350D0400 -360D0480 -370D04C0 -380D0480 -390D0400 -300D0580 -310D0500 -320D0580 -330D05C0 -340D0580 -350D0500 -360D0580 -370D05C0 -380D0580 -390D0500 -300D0680 -310D0600 -320D0680 -330D06C0 -340D0680 -350D0600 -360D0680 -370D06C0 -380D0680 -390D0600 -300E0080 -310E0000 -320E0080 -330E00C0 -340E0080 -350E0000 -360E0080 -370E00C0 -380E0080 -390E0000 -300E0180 -310E0100 -320E0180 -330E01C0 -340E0180 -350E0100 -360E0180 -370E01C0 -380E0180 -390E0100 -300E0280 -310E0200 -320E0280 -330E02C0 -340E0280 -350E0200 -360E0280 -370E02C0 -380E0280 -390E0200 -300E0380 -310E0300 -320E0380 -330E03C0 -340E0380 -350E0300 -360E0380 -370E03C0 -380E0380 -390E0300 -300E0480 -310E0400 -320E0480 -330E04C0 -340E0480 -350E0400 -360E0480 -370E04C0 -380E0480 -390E0400 -300E0580 -310E0500 -320E0580 -330E05C0 -340E0580 -350E0500 -360E0580 -370E05C0 -380E0580 -390E0500 -300E0680 -310E0600 -320E0680 -330E06C0 -340E0680 -350E0600 -360E0680 -370E06C0 -380E0680 -390E0600 -300F0080 -310F0000 -320F0080 -330F00C0 -340F0080 -350F0000 -360F0080 -370F00C0 -380F0080 -390F0000 -300F0180 -310F0100 -320F0180 -330F01C0 -340F0180 -350F0100 -360F0180 -370F01C0 -380F0180 -390F0100 -300F0280 -310F0200 -320F0280 -330F02C0 -340F0280 -350F0200 -360F0280 -370F02C0 -380F0280 -390F0200 -300F0380 -310F0300 -320F0380 -330F03C0 -340F0380 -350F0300 -360F0380 -370F03C0 -380F0380 -390F0300 -300F0480 -310F0400 -320F0480 -330F04C0 -340F0480 -350F0400 -360F0480 -370F04C0 -380F0480 -390F0400 -300F0580 -310F0500 -320F0580 -330F05C0 -340F0580 -350F0500 -360F0580 -370F05C0 -380F0580 -390F0500 -300F0680 -310F0600 -320F0680 -330F06C0 -340F0680 -350F0600 -360F0680 -370F06C0 -380F0680 -390F0600 -30100080 -31100000 -32100080 -331000C0 -34100080 -35100000 -36100080 -371000C0 -38100080 -39100000 -30100180 -31100100 -32100180 -331001C0 -34100180 -35100100 -36100180 -371001C0 -38100180 -39100100 -30100280 -31100200 -32100280 -331002C0 -34100280 -35100200 -36100280 -371002C0 -38100280 -39100200 -30100380 -31100300 -32100380 -331003C0 -34100380 -35100300 -36100380 -371003C0 -38100380 -39100300 -30100480 -31100400 -32100480 -331004C0 -34100480 -35100400 -36100480 -371004C0 -38100480 -39100400 -30100580 -31100500 -32100580 -331005C0 -34100580 -35100500 -36100580 -371005C0 -38100580 -39100500 -30100680 -31100600 -32100680 -331006C0 -34100680 -35100600 -36100680 -371006C0 -38100680 -39100600 -30110080 -31110000 -32110080 -331100C0 -34110080 -35110000 -36110080 -371100C0 -38110080 -39110000 -30110180 -31110100 -32110180 -331101C0 -34110180 -35110100 -36110180 -371101C0 -38110180 -39110100 -30110280 -31110200 -32110280 -331102C0 -34110280 -35110200 -36110280 -371102C0 -38110280 -39110200 -30110380 -31110300 -32110380 -331103C0 -34110380 -35110300 -36110380 -371103C0 -38110380 -39110300 -30110480 -31110400 -32110480 -331104C0 -34110480 -35110400 -36110480 -371104C0 -38110480 -39110400 -30110580 -31110500 -32110580 -331105C0 -34110580 -35110500 -36110580 -371105C0 -38110580 -39110500 -30110680 -31110600 -32110680 -331106C0 -34110680 -35110600 -36110680 -371106C0 -38110680 -39110600 -30120080 -31120000 -32120080 -331200C0 -34120080 -35120000 -36120080 -371200C0 -38120080 -39120000 -30120180 -31120100 -32120180 -331201C0 -34120180 -35120100 -36120180 -371201C0 -38120180 -39120100 -30120280 -31120200 -32120280 -331202C0 -34120280 -35120200 -36120280 -371202C0 -38120280 -39120200 -30120380 -31120300 -32120380 -331203C0 -34120380 -35120300 -36120380 -371203C0 -38120380 -39120300 -30120480 -31120400 -32120480 -331204C0 -34120480 -35120400 -36120480 -371204C0 -38120480 -39120400 -30120580 -31120500 -32120580 -331205C0 -34120580 -35120500 -36120580 -371205C0 -38120580 -39120500 -30120680 -31120600 -32120680 -331206C0 -34120680 -35120600 -36120680 -371206C0 -38120680 -39120600 -30130080 -31130000 -32130080 -331300C0 -34130080 -35130000 -36130080 -371300C0 -38130080 -39130000 -30130180 -31130100 -32130180 -331301C0 -34130180 -35130100 -36130180 -371301C0 -38130180 -39130100 -30130280 -31130200 -32130280 -331302C0 -34130280 -35130200 -36130280 -371302C0 -38130280 -39130200 -30130380 -31130300 -32130380 -331303C0 -34130380 -35130300 -36130380 -371303C0 -38130380 -39130300 -30130480 -31130400 -32130480 -331304C0 -34130480 -35130400 -36130480 -371304C0 -38130480 -39130400 -30130580 -31130500 -32130580 -331305C0 -34130580 -35130500 -36130580 -371305C0 -38130580 -39130500 -30130680 -31130600 -32130680 -331306C0 -34130680 -35130600 -36130680 -371306C0 -38130680 -39130600 -30140080 -31140000 -32140080 -331400C0 -34140080 -35140000 -36140080 -371400C0 -38140080 -39140000 -30140180 -31140100 -32140180 -331401C0 -34140180 -35140100 -36140180 -371401C0 -38140180 -39140100 -30140280 -31140200 -32140280 -331402C0 -34140280 -35140200 -36140280 -371402C0 -38140280 -39140200 -30140380 -31140300 -32140380 -331403C0 -34140380 -35140300 -36140380 -371403C0 -38140380 -39140300 -30140480 -31140400 -32140480 -331404C0 -34140480 -35140400 -36140480 -371404C0 -38140480 -39140400 -30140580 -31140500 -32140580 -331405C0 -34140580 -35140500 -36140580 -371405C0 -38140580 -39140500 -30140680 -31140600 -32140680 -331406C0 -34140680 -35140600 -36140680 -371406C0 -38140680 -39140600 -30150080 -31150000 -32150080 -331500C0 -34150080 -35150000 -36150080 -371500C0 -38150080 -39150000 -30150180 -31150100 -32150180 -331501C0 -34150180 -35150100 -36150180 -371501C0 -38150180 -39150100 -30150280 -31150200 -32150280 -331502C0 -34150280 -35150200 -36150280 -371502C0 -38150280 -39150200 -30150380 -31150300 -32150380 -331503C0 -34150380 -35150300 -36150380 -371503C0 -38150380 -39150300 -30150480 -31150400 -32150480 -331504C0 -34150480 -35150400 -36150480 -371504C0 -38150480 -39150400 -30150580 -31150500 -32150580 -331505C0 -34150580 -35150500 -36150580 -371505C0 -38150580 -39150500 -30150680 -31150600 -32150680 -331506C0 -34150680 -35150600 -36150680 -371506C0 -38150680 -39150600 -30160080 -31160000 -32160080 -331600C0 -34160080 -35160000 -36160080 -371600C0 -38160080 -39160000 -30160180 -31160100 -32160180 -331601C0 -34160180 -35160100 -36160180 -371601C0 -38160180 -39160100 -30160280 -31160200 -32160280 -331602C0 -34160280 -35160200 -36160280 -371602C0 -38160280 -39160200 -30160380 -31160300 -32160380 -331603C0 -34160380 -35160300 -36160380 -371603C0 -38160380 -39160300 -30160480 -31160400 -32160480 -331604C0 -34160480 -35160400 -36160480 -371604C0 -38160480 -39160400 -30160580 -31160500 -32160580 -331605C0 -34160580 -35160500 -36160580 -371605C0 -38160580 -39160500 -30160680 -31160600 -32160680 -331606C0 -34160680 -35160600 -36160680 -371606C0 -38160680 -39160600 -30170080 -31170000 -32170080 -331700C0 -34170080 -35170000 -36170080 -371700C0 -38170080 -39170000 -30170180 -31170100 -32170180 -331701C0 -34170180 -35170100 -36170180 -371701C0 -38170180 -39170100 -30170280 -31170200 -32170280 -331702C0 -34170280 -35170200 -36170280 -371702C0 -38170280 -39170200 -30170380 -31170300 -32170380 -331703C0 -34170380 -35170300 -36170380 -371703C0 -38170380 -39170300 -30170480 -31170400 -32170480 -331704C0 -34170480 -35170400 -36170480 -371704C0 -38170480 -39170400 -30170580 -31170500 -32170580 -331705C0 -34170580 -35170500 -36170580 -371705C0 -38170580 -39170500 -30170680 -31170600 -32170680 -331706C0 -34170680 -35170600 -36170680 -371706C0 -38170680 -39170600 -400000F0 -410000FF -400001FF -410001F0 -400002F0 -410002FF -400003FF -410003F0 -400004F0 -410004FF -400005FF -410005F0 -400100F0 -410100FF -400101FF -410101F0 -400102F0 -410102FF -400103FF -410103F0 -400104F0 -410104FF -400105FF -410105F0 -400200F0 -410200FF -400201FF -410201F0 -400202F0 -410202FF -400203FF -410203F0 -400204F0 -410204FF -400205FF -410205F0 -400300F0 -410300FF -400301FF -410301F0 -400302F0 -410302FF -400303FF -410303F0 -400304F0 -410304FF -400305FF -410305F0 -400400F0 -410400FF -400401FF -410401F0 -400402F0 -410402FF -400403FF -410403F0 -400404F0 -410404FF -400405FF -410405F0 -400500F0 -410500FF -400501FF -410501F0 -400502F0 -410502FF -400503FF -410503F0 -400504F0 -410504FF -400505FF -410505F0 -60000000 -61000000 -62000000 -63000000 -64000000 -65000000 -66000000 -67000000 -60000100 -61000100 -62000100 -63000100 -64000100 -65000100 -66000100 -67000100 -60010000 -61010000 -62010000 -63010000 -64010000 -65010000 -66010000 -67010000 -60010100 -61010100 -62010100 -63010100 -64010100 -65010100 -66010100 -67010100 -60020000 -61020000 -62020000 -63020000 -64020000 -65020000 -66020000 -67020000 -60020100 -61020100 -62020100 -63020100 -64020100 -65020100 -66020100 -67020100 -60030000 -61030000 -62030000 -63030000 -64030000 -65030000 -66030000 -67030000 -60030100 -61030100 -62030100 -63030100 -64030100 -65030100 -66030100 -67030100 -60040000 -61040000 -62040000 -63040000 -64040000 -65040000 -66040000 -67040000 -60040100 -61040100 -62040100 -63040100 -64040100 -65040100 -66040100 -67040100 -60050000 -61050000 -62050000 -63050000 -64050000 -65050000 -66050000 -67050000 -60050100 -61050100 -62050100 -63050100 -64050100 -65050100 -66050100 -67050100 -60060000 -61060000 -62060000 -63060000 -64060000 -65060000 -66060000 -67060000 -60060100 -61060100 -62060100 -63060100 -64060100 -65060100 -66060100 -67060100 -60070000 -61070000 -62070000 -63070000 -64070000 -65070000 -66070000 -67070000 -60070100 -61070100 -62070100 -63070100 -64070100 -65070100 -66070100 -67070100 -60080000 -61080000 -62080000 -63080000 -64080000 -65080000 -66080000 -67080000 -60080100 -61080100 -62080100 -63080100 -64080100 -65080100 -66080100 -67080100 -60090000 -61090000 -62090000 -63090000 -64090000 -65090000 -66090000 -67090000 -60090100 -61090100 -62090100 -63090100 -64090100 -65090100 -66090100 -67090100 -600A0000 -610A0000 -620A0000 -630A0000 -640A0000 -650A0000 -660A0000 -670A0000 -600A0100 -610A0100 -620A0100 -630A0100 -640A0100 -650A0100 -660A0100 -670A0100 -600B0000 -610B0000 -620B0000 -630B0000 -640B0000 -650B0000 -660B0000 -670B0000 -600B0100 -610B0100 -620B0100 -630B0100 -640B0100 -650B0100 -660B0100 -670B0100 -600C0000 -610C0000 -620C0000 -630C0000 -640C0000 -650C0000 -660C0000 -670C0000 -600C0100 -610C0100 -620C0100 -630C0100 -640C0100 -650C0100 -660C0100 -670C0100 -600D0000 -610D0000 -620D0000 -630D0000 -640D0000 -650D0000 -660D0000 -670D0000 -600D0100 -610D0100 -620D0100 -630D0100 -640D0100 -650D0100 -660D0100 -670D0100 -600E0000 -610E0000 -620E0000 -630E0000 -640E0000 -650E0000 -660E0000 -670E0000 -600E0100 -610E0100 -620E0100 -630E0100 -640E0100 -650E0100 -660E0100 -670E0100 -600F0000 -610F0000 -620F0000 -630F0000 -640F0000 -650F0000 -660F0000 -670F0000 -600F0100 -610F0100 -620F0100 -630F0100 -640F0100 -650F0100 -660F0100 -670F0100 -60100000 -61100000 -62100000 -63100000 -64100000 -65100000 -66100000 -67100000 -60100100 -61100100 -62100100 -63100100 -64100100 -65100100 -66100100 -67100100 -60110000 -61110000 -62110000 -63110000 -64110000 -65110000 -66110000 -67110000 -60110100 -61110100 -62110100 -63110100 -64110100 -65110100 -66110100 -67110100 -60120000 -61120000 -62120000 -63120000 -64120000 -65120000 -66120000 -67120000 -60120100 -61120100 -62120100 -63120100 -64120100 -65120100 -66120100 -67120100 -60130000 -61130000 -62130000 -63130000 -64130000 -65130000 -66130000 -67130000 -60130100 -61130100 -62130100 -63130100 -64130100 -65130100 -66130100 -67130100 -60140000 -61140000 -62140000 -63140000 -64140000 -65140000 -66140000 -67140000 -60140100 -61140100 -62140100 -63140100 -64140100 -65140100 -66140100 -67140100 -60150000 -61150000 -62150000 -63150000 -64150000 -65150000 -66150000 -67150000 -60150100 -61150100 -62150100 -63150100 -64150100 -65150100 -66150100 -67150100 -60160000 -61160000 -62160000 -63160000 -64160000 -65160000 -66160000 -67160000 -60160100 -61160100 -62160100 -63160100 -64160100 -65160100 -66160100 -67160100 -60170000 -61170000 -62170000 -63170000 -64170000 -65170000 -66170000 -67170000 -60170100 -61170100 -62170100 -63170100 -64170100 -65170100 -66170100 -67170100 -70000000 -71000000 -72000000 -73000000 -74000000 -75000000 -76000000 -77000000 -70000100 -71000100 -72000100 -73000100 -74000100 -75000100 -76000100 -77000100 -70000200 -71000200 -72000200 -73000200 -74000200 -75000200 -76000200 -77000200 -70000300 -71000300 -72000300 -73000300 -74000300 -75000300 -76000300 -77000300 -70000400 -71000400 -72000400 -73000400 -74000400 -75000400 -76000400 -77000400 -70000500 -71000500 -72000500 -73000500 -74000500 -75000500 -76000500 -77000500 -70000600 -71000600 -72000600 -73000600 -74000600 -75000600 -76000600 -77000600 -70000700 -71000700 -72000700 -73000700 -74000700 -75000700 -76000700 -77000700 -70000800 -71000800 -72000800 -73000800 -74000800 -75000800 -76000800 -77000800 -70000900 -71000900 -72000900 -73000900 -74000900 -75000900 -76000900 -77000900 -70000A00 -71000A00 -72000A00 -73000A00 -74000A00 -75000A00 -76000A00 -77000A00 -70000B00 -71000B00 -72000B00 -73000B00 -74000B00 -75000B00 -76000B00 -77000B00 -70000C00 -71000C00 -72000C00 -73000C00 -74000C00 -75000C00 -76000C00 -77000C00 -70000D00 -71000D00 -72000D00 -73000D00 -74000D00 -75000D00 -76000D00 -77000D00 -70000E00 -71000E00 -72000E00 -73000E00 -74000E00 -75000E00 -76000E00 -77000E00 -70000F00 -71000F00 -72000F00 -73000F00 -74000F00 -75000F00 -76000F00 -77000F00 -70001000 -71001000 -72001000 -73001000 -74001000 -75001000 -76001000 -77001000 -70001100 -71001100 -72001100 -73001100 -74001100 -75001100 -76001100 -77001100 -70001200 -71001200 -72001200 -73001200 -74001200 -75001200 -76001200 -77001200 -70001300 -71001300 -72001300 -73001300 -74001300 -75001300 -76001300 -77001300 -70001400 -71001400 -72001400 -73001400 -74001400 -75001400 -76001400 -77001400 -70001500 -71001500 -72001500 -73001500 -74001500 -75001500 -76001500 -77001500 -70001600 -71001600 -72001600 -73001600 -74001600 -75001600 -76001600 -77001600 -70001700 -71001700 -72001700 -73001700 -74001700 -75001700 -76001700 -77001700 -70010000 -71010000 -72010000 -73010000 -74010000 -75010000 -76010000 -77010000 -70010162 -71010121 -72010100 -73010160 -74010162 -75010124 -76010100 -77010160 -70010200 -71010200 -72010200 -73010200 -74010200 -75010200 -76010200 -77010200 -70010300 -71010300 -72010300 -73010300 -74010300 -75010300 -76010300 -77010300 -70010400 -71010400 -72010400 -73010400 -74010400 -75010400 -76010400 -77010400 -70010500 -71010500 -72010500 -73010500 -74010500 -75010500 -76010500 -77010500 -70010600 -71010600 -72010600 -73010600 -74010600 -75010600 -76010600 -77010600 -70010700 -71010700 -72010700 -73010700 -74010700 -75010700 -76010700 -77010700 -70010800 -71010800 -72010800 -73010800 -74010800 -75010800 -76010800 -77010800 -70010900 -71010900 -72010900 -73010900 -74010900 -75010900 -76010900 -77010900 -70010A00 -71010A00 -72010A00 -73010A00 -74010A00 -75010A00 -76010A00 -77010A00 -70010B00 -71010B00 -72010B00 -73010B00 -74010B00 -75010B00 -76010B00 -77010B00 -70010C00 -71010C00 -72010C00 -73010C00 -74010C00 -75010C00 -76010C00 -77010C00 -70010D00 -71010D00 -72010D00 -73010D00 -74010D00 -75010D00 -76010D00 -77010D00 -70010E00 -71010E00 -72010E00 -73010E00 -74010E00 -75010E00 -76010E00 -77010E00 -70010F00 -71010F00 -72010F00 -73010F00 -74010F00 -75010F00 -76010F00 -77010F00 -70011000 -71011000 -72011000 -73011000 -74011000 -75011000 -76011000 -77011000 -70011100 -71011100 -72011100 -73011100 -74011100 -75011100 -76011100 -77011100 -70011200 -71011200 -72011200 -73011200 -74011200 -75011200 -76011200 -77011200 -70011300 -71011300 -72011300 -73011300 -74011300 -75011300 -76011300 -77011300 -70011400 -71011400 -72011400 -73011400 -74011400 -75011400 -76011400 -77011400 -70011500 -71011500 -72011500 -73011500 -74011500 -75011500 -76011500 -77011500 -70011600 -71011600 -72011600 -73011600 -74011600 -75011600 -76011600 -77011600 -70011700 -71011700 -72011700 -73011700 -74011700 -75011700 -76011700 -77011700 -50000000 -510000FC -50000100 -510001FF -50000200 -510002FF -50000300 -510003FF -50000400 -510004FF -50000500 -510005FF -50000600 -510006FF -50000700 -510007F0 -50000800 -510008FF -50000900 -510009FF -50000A00 -51000AFF -50000B00 -51000BFF -50000C00 -51000CFF -50000D00 -51000DFF -50000E00 -51000EFF -50000F00 -51000FFF -50001000 -510010FF -50001100 -510011FF -50001200 -510012FF -50001300 -510013FF -50001400 -510014FF -50001500 -510015FF -50001600 -510016FF -50001700 -510017FC -00000001 -01000000 -02000000 -03000000 -04000000 -05000000 -06000000 -07000000 -08000000 -09000000 -00000101 -01000100 -02000100 -03000100 -04000100 -05000100 -06000100 -07000100 -08000100 -09000100 -00000201 -01000200 -02000200 -03000200 -04000200 -05000200 -06000200 -07000200 -08000200 -09000200 -00000301 -01000300 -02000300 -03000300 -04000300 -05000300 -06000300 -07000300 -08000300 -09000300 -00000401 -01000400 -02000400 -03000400 -04000400 -05000400 -06000400 -07000400 -08000400 -09000400 -00000501 -01000500 -02000500 -03000500 -04000500 -05000500 -06000500 -07000500 -08000500 -09000500 -00000601 -01000600 -02000600 -03000600 -04000600 -05000600 -06000600 -07000600 -08000600 -09000600 -00000701 -01000700 -02000700 -03000700 -04000700 -05000700 -06000700 -07000700 -08000700 -09000700 -00000801 -01000800 -02000800 -03000800 -04000800 -05000800 -06000800 -07000800 -08000800 -09000800 -00000901 -01000900 -02000900 -03000900 -04000900 -05000900 -06000900 -07000900 -08000900 -09000900 -00000A01 -01000A00 -02000A00 -03000A00 -04000A00 -05000A00 -06000A00 -07000A00 -08000A00 -09000A00 -00000B01 -01000B00 -02000B00 -03000B00 -04000B00 -05000B00 -06000B00 -07000B00 -08000B00 -09000B00 -00000C01 -01000C00 -02000C00 -03000C00 -04000C00 -05000C00 -06000C00 -07000C00 -08000C00 -09000C00 -00000D01 -01000D00 -02000D00 -03000D00 -04000D00 -05000D00 -06000D00 -07000D00 -08000D00 -09000D00 -00000E01 -01000E00 -02000E00 -03000E00 -04000E00 -05000E00 -06000E00 -07000E00 -08000E00 -09000E00 -00000F01 -01000F00 -02000F00 -03000F00 -04000F00 -05000F00 -06000F00 -07000F00 -08000F00 -09000F00 -00001001 -01001000 -02001000 -03001000 -04001000 -05001000 -06001000 -07001000 -08001000 -09001000 -00001101 -01001100 -02001100 -03001100 -04001100 -05001100 -06001100 -07001100 -08001100 -09001100 -00001201 -01001200 -02001200 -03001200 -04001200 -05001200 -06001200 -07001200 -08001200 -09001200 -00001301 -01001300 -02001300 -03001300 -04001300 -05001300 -06001300 -07001300 -08001300 -09001300 -00001401 -01001400 -02001400 -03001400 -04001400 -05001400 -06001400 -07001400 -08001400 -09001400 -00001501 -01001500 -02001500 -03001500 -04001500 -05001500 -06001500 -07001500 -08001500 -09001500 -00001601 -01001600 -02001600 -03001600 -04001600 -05001600 -06001600 -07001600 -08001600 -09001600 -00001701 -01001700 -02001700 -03001700 -04001700 -05001700 -06001700 -07001700 -08001700 -09001700 -00010001 -01010000 -02010000 -03010000 -04010000 -05010000 -06010000 -07010000 -08010000 -09010000 -00010101 -01010100 -02010100 -03010100 -04010100 -05010100 -06010100 -07010100 -08010100 -09010100 -00010201 -01010200 -02010200 -03010200 -04010200 -05010200 -06010200 -07010200 -08010200 -09010200 -00010301 -01010300 -02010300 -03010300 -04010300 -05010300 -06010300 -07010300 -08010300 -09010300 -00010401 -01010400 -02010400 -03010400 -04010400 -05010400 -06010400 -07010400 -08010400 -09010400 -00010501 -01010500 -02010500 -03010500 -04010500 -05010500 -06010500 -07010500 -08010500 -09010500 -00010601 -01010600 -02010600 -03010600 -04010600 -05010600 -06010600 -07010600 -08010600 -09010600 -00010701 -01010700 -02010700 -03010700 -04010700 -05010700 -06010700 -07010700 -08010700 -09010700 -00010801 -01010800 -02010800 -03010800 -04010800 -05010800 -06010800 -07010800 -08010800 -09010800 -00010901 -01010900 -02010900 -03010900 -04010900 -05010900 -06010900 -07010900 -08010900 -09010900 -00010A01 -01010A00 -02010A00 -03010A00 -04010A00 -05010A00 -06010A00 -07010A00 -08010A00 -09010A00 -00010B01 -01010B00 -02010B00 -03010B00 -04010B00 -05010B00 -06010B00 -07010B00 -08010B00 -09010B00 -00010C01 -01010C00 -02010C00 -03010C00 -04010C00 -05010C00 -06010C00 -07010C00 -08010C00 -09010C00 -00010D01 -01010D00 -02010D00 -03010D00 -04010D00 -05010D00 -06010D00 -07010D00 -08010D00 -09010D00 -00010E01 -01010E00 -02010E00 -03010E00 -04010E00 -05010E00 -06010E00 -07010E00 -08010E00 -09010E00 -00010F01 -01010F00 -02010F00 -03010F00 -04010F00 -05010F00 -06010F00 -07010F00 -08010F00 -09010F00 -00011001 -01011000 -02011000 -03011000 -04011000 -05011000 -06011000 -07011000 -08011000 -09011000 -00011101 -01011100 -02011100 -03011100 -04011100 -05011100 -06011100 -07011100 -08011100 -09011100 -00011201 -01011200 -02011200 -03011200 -04011200 -05011200 -06011200 -07011200 -08011200 -09011200 -00011301 -01011300 -02011300 -03011300 -04011300 -05011300 -06011300 -07011300 -08011300 -09011300 -00011401 -01011400 -02011400 -03011400 -04011400 -05011400 -06011400 -07011400 -08011400 -09011400 -00011501 -01011500 -02011500 -03011500 -04011500 -05011500 -06011500 -07011500 -08011500 -09011500 -00011601 -01011600 -02011600 -03011600 -04011600 -05011600 -06011600 -07011600 -08011600 -09011600 -00011701 -01011700 -02011700 -03011700 -04011700 -05011700 -06011700 -07011700 -08011700 -09011700 -00020001 -01020000 -02020000 -03020000 -04020000 -05020000 -06020000 -07020000 -08020000 -09020000 -00020101 -01020100 -02020100 -03020100 -04020100 -05020100 -06020100 -07020100 -08020100 -09020100 -00020201 -01020200 -02020200 -03020200 -04020200 -05020200 -06020200 -07020200 -08020200 -09020200 -00020301 -01020300 -02020300 -03020300 -04020300 -05020300 -06020300 -07020300 -08020300 -09020300 -00020401 -01020400 -02020400 -03020400 -04020400 -05020400 -06020400 -07020400 -08020400 -09020400 -00020501 -01020500 -02020500 -03020500 -04020500 -05020500 -06020500 -07020500 -08020500 -09020500 -00020601 -01020600 -02020600 -03020600 -04020600 -05020600 -06020600 -07020600 -08020600 -09020600 -00020701 -01020700 -02020700 -03020700 -04020700 -05020700 -06020700 -07020700 -08020700 -09020700 -00020801 -01020800 -02020800 -03020800 -04020800 -05020800 -06020800 -07020800 -08020800 -09020800 -00020901 -01020900 -02020900 -03020900 -04020900 -05020900 -06020900 -07020900 -08020900 -09020900 -00020A01 -01020A00 -02020A00 -03020A00 -04020A00 -05020A00 -06020A00 -07020A00 -08020A00 -09020A00 -00020B01 -01020B00 -02020B00 -03020B00 -04020B00 -05020B00 -06020B00 -07020B00 -08020B00 -09020B00 -00020C01 -01020C00 -02020C00 -03020C00 -04020C00 -05020C00 -06020C00 -07020C00 -08020C00 -09020C00 -00020D01 -01020D00 -02020D00 -03020D00 -04020D00 -05020D00 -06020D00 -07020D00 -08020D00 -09020D00 -00020E01 -01020E00 -02020E00 -03020E00 -04020E00 -05020E00 -06020E00 -07020E00 -08020E00 -09020E00 -00020F01 -01020F00 -02020F00 -03020F00 -04020F00 -05020F00 -06020F00 -07020F00 -08020F00 -09020F00 -00021001 -01021000 -02021000 -03021000 -04021000 -05021000 -06021000 -07021000 -08021000 -09021000 -00021101 -01021100 -02021100 -03021100 -04021100 -05021100 -06021100 -07021100 -08021100 -09021100 -00021201 -01021200 -02021200 -03021200 -04021200 -05021200 -06021200 -07021200 -08021200 -09021200 -00021301 -01021300 -02021300 -03021300 -04021300 -05021300 -06021300 -07021300 -08021300 -09021300 -00021401 -01021400 -02021400 -03021400 -04021400 -05021400 -06021400 -07021400 -08021400 -09021400 -00021501 -01021500 -02021500 -03021500 -04021500 -05021500 -06021500 -07021500 -08021500 -09021500 -00021601 -01021600 -02021600 -03021600 -04021600 -05021600 -06021600 -07021600 -08021600 -09021600 -00021701 -01021700 -02021700 -03021700 -04021700 -05021700 -06021700 -07021700 -08021700 -09021700 -00030001 -01030000 -02030000 -03030000 -04030000 -05030000 -06030000 -07030000 -08030000 -09030000 -00030101 -01030100 -02030100 -03030100 -04030100 -05030100 -06030100 -07030100 -08030100 -09030100 -00030201 -01030200 -02030200 -03030200 -04030200 -05030200 -06030200 -07030200 -08030200 -09030200 -00030301 -01030300 -02030300 -03030300 -04030300 -05030300 -06030300 -07030300 -08030300 -09030300 -00030401 -01030400 -02030400 -03030400 -04030400 -05030400 -06030400 -07030400 -08030400 -09030400 -00030501 -01030500 -02030500 -03030500 -04030500 -05030500 -06030500 -07030500 -08030500 -09030500 -00030601 -01030600 -02030600 -03030600 -04030600 -05030600 -06030600 -07030600 -08030600 -09030600 -00030701 -01030700 -02030700 -03030700 -04030700 -05030700 -06030700 -07030700 -08030700 -09030700 -00030801 -01030800 -02030800 -03030800 -04030800 -05030800 -06030800 -07030800 -08030800 -09030800 -00030901 -01030900 -02030900 -03030900 -04030900 -05030900 -06030900 -07030900 -08030900 -09030900 -00030A01 -01030A00 -02030A00 -03030A00 -04030A00 -05030A00 -06030A00 -07030A00 -08030A00 -09030A00 -00030B01 -01030B00 -02030B00 -03030B00 -04030B00 -05030B00 -06030B00 -07030B00 -08030B00 -09030B00 -00030C01 -01030C00 -02030C00 -03030C00 -04030C00 -05030C00 -06030C00 -07030C00 -08030C00 -09030C00 -00030D01 -01030D00 -02030D00 -03030D00 -04030D00 -05030D00 -06030D00 -07030D00 -08030D00 -09030D00 -00030E01 -01030E00 -02030E00 -03030E00 -04030E00 -05030E00 -06030E00 -07030E00 -08030E00 -09030E00 -00030F01 -01030F00 -02030F00 -03030F00 -04030F00 -05030F00 -06030F00 -07030F00 -08030F00 -09030F00 -00031001 -01031000 -02031000 -03031000 -04031000 -05031000 -06031000 -07031000 -08031000 -09031000 -00031101 -01031100 -02031100 -03031100 -04031100 -05031100 -06031100 -07031100 -08031100 -09031100 -00031201 -01031200 -02031200 -03031200 -04031200 -05031200 -06031200 -07031200 -08031200 -09031200 -00031301 -01031300 -02031300 -03031300 -04031300 -05031300 -06031300 -07031300 -08031300 -09031300 -00031401 -01031400 -02031400 -03031400 -04031400 -05031400 -06031400 -07031400 -08031400 -09031400 -00031501 -01031500 -02031500 -03031500 -04031500 -05031500 -06031500 -07031500 -08031500 -09031500 -00031601 -01031600 -02031600 -03031600 -04031600 -05031600 -06031600 -07031600 -08031600 -09031600 -00031701 -01031700 -02031700 -03031700 -04031700 -05031700 -06031700 -07031700 -08031700 -09031700 -00040001 -01040000 -02040000 -03040000 -04040000 -05040000 -06040000 -07040000 -08040000 -09040000 -00040101 -01040100 -02040100 -03040100 -04040100 -05040100 -06040100 -07040100 -08040100 -09040100 -00040201 -01040200 -02040200 -03040200 -04040200 -05040200 -06040200 -07040200 -08040200 -09040200 -00040301 -01040300 -02040300 -03040300 -04040300 -05040300 -06040300 -07040300 -08040300 -09040300 -00040401 -01040400 -02040400 -03040400 -04040400 -05040400 -06040400 -07040400 -08040400 -09040400 -00040501 -01040500 -02040500 -03040500 -04040500 -05040500 -06040500 -07040500 -08040500 -09040500 -00040601 -01040600 -02040600 -03040600 -04040600 -05040600 -06040600 -07040600 -08040600 -09040600 -00040701 -01040700 -02040700 -03040700 -04040700 -05040700 -06040700 -07040700 -08040700 -09040700 -00040801 -01040800 -02040800 -03040800 -04040800 -05040800 -06040800 -07040800 -08040800 -09040800 -00040901 -01040900 -02040900 -03040900 -04040900 -05040900 -06040900 -07040900 -08040900 -09040900 -00040A01 -01040A00 -02040A00 -03040A00 -04040A00 -05040A00 -06040A00 -07040A00 -08040A00 -09040A00 -00040B01 -01040B00 -02040B00 -03040B00 -04040B00 -05040B00 -06040B00 -07040B00 -08040B00 -09040B00 -00040C01 -01040C00 -02040C00 -03040C00 -04040C00 -05040C00 -06040C00 -07040C00 -08040C00 -09040C00 -00040D01 -01040D00 -02040D00 -03040D00 -04040D00 -05040D00 -06040D00 -07040D00 -08040D00 -09040D00 -00040E01 -01040E00 -02040E00 -03040E00 -04040E00 -05040E00 -06040E00 -07040E00 -08040E00 -09040E00 -00040F01 -01040F00 -02040F00 -03040F00 -04040F00 -05040F00 -06040F00 -07040F00 -08040F00 -09040F00 -00041001 -01041000 -02041000 -03041000 -04041000 -05041000 -06041000 -07041000 -08041000 -09041000 -00041101 -01041100 -02041100 -03041100 -04041100 -05041100 -06041100 -07041100 -08041100 -09041100 -00041201 -01041200 -02041200 -03041200 -04041200 -05041200 -06041200 -07041200 -08041200 -09041200 -00041301 -01041300 -02041300 -03041300 -04041300 -05041300 -06041300 -07041300 -08041300 -09041300 -00041401 -01041400 -02041400 -03041400 -04041400 -05041400 -06041400 -07041400 -08041400 -09041400 -00041501 -01041500 -02041500 -03041500 -04041500 -05041500 -06041500 -07041500 -08041500 -09041500 -00041601 -01041600 -02041600 -03041600 -04041600 -05041600 -06041600 -07041600 -08041600 -09041600 -00041701 -01041700 -02041700 -03041700 -04041700 -05041700 -06041700 -07041700 -08041700 -09041700 -00050001 -01050000 -02050000 -03050000 -04050000 -05050000 -06050000 -07050000 -08050000 -09050000 -00050101 -01050100 -02050100 -03050100 -04050100 -05050100 -06050100 -07050100 -08050100 -09050100 -00050201 -01050200 -02050200 -03050200 -04050200 -05050200 -06050200 -07050200 -08050200 -09050200 -00050301 -01050300 -02050300 -03050300 -04050300 -05050300 -06050300 -07050300 -08050300 -09050300 -00050401 -01050400 -02050400 -03050400 -04050400 -05050400 -06050400 -07050400 -08050400 -09050400 -00050501 -01050500 -02050500 -03050500 -04050500 -05050500 -06050500 -07050500 -08050500 -09050500 -00050601 -01050600 -02050600 -03050600 -04050600 -05050600 -06050600 -07050600 -08050600 -09050600 -00050701 -01050700 -02050700 -03050700 -04050700 -05050700 -06050700 -07050700 -08050700 -09050700 -00050801 -01050800 -02050800 -03050800 -04050800 -05050800 -06050800 -07050800 -08050800 -09050800 -00050901 -01050900 -02050900 -03050900 -04050900 -05050900 -06050900 -07050900 -08050900 -09050900 -00050A01 -01050A00 -02050A00 -03050A00 -04050A00 -05050A00 -06050A00 -07050A00 -08050A00 -09050A00 -00050B01 -01050B00 -02050B00 -03050B00 -04050B00 -05050B00 -06050B00 -07050B00 -08050B00 -09050B00 -00050C01 -01050C00 -02050C00 -03050C00 -04050C00 -05050C00 -06050C00 -07050C00 -08050C00 -09050C00 -00050D01 -01050D00 -02050D00 -03050D00 -04050D00 -05050D00 -06050D00 -07050D00 -08050D00 -09050D00 -00050E01 -01050E00 -02050E00 -03050E00 -04050E00 -05050E00 -06050E00 -07050E00 -08050E00 -09050E00 -00050F01 -01050F00 -02050F00 -03050F00 -04050F00 -05050F00 -06050F00 -07050F00 -08050F00 -09050F00 -00051001 -01051000 -02051000 -03051000 -04051000 -05051000 -06051000 -07051000 -08051000 -09051000 -00051101 -01051100 -02051100 -03051100 -04051100 -05051100 -06051100 -07051100 -08051100 -09051100 -00051201 -01051200 -02051200 -03051200 -04051200 -05051200 -06051200 -07051200 -08051200 -09051200 -00051301 -01051300 -02051300 -03051300 -04051300 -05051300 -06051300 -07051300 -08051300 -09051300 -00051401 -01051400 -02051400 -03051400 -04051400 -05051400 -06051400 -07051400 -08051400 -09051400 -00051501 -01051500 -02051500 -03051500 -04051500 -05051500 -06051500 -07051500 -08051500 -09051500 -00051601 -01051600 -02051600 -03051600 -04051600 -05051600 -06051600 -07051600 -08051600 -09051600 -00051701 -01051700 -02051700 -03051700 -04051700 -05051700 -06051700 -07051700 -08051700 -09051700 -00060001 -01060000 -02060000 -03060000 -04060000 -05060000 -06060000 -07060000 -08060000 -09060000 -00060101 -01060100 -02060100 -03060100 -04060100 -05060100 -06060100 -07060100 -08060100 -09060100 -00060201 -01060200 -02060200 -03060200 -04060200 -05060200 -06060200 -07060200 -08060200 -09060200 -00060301 -01060300 -02060300 -03060300 -04060300 -05060300 -06060300 -07060300 -08060300 -09060300 -00060401 -01060400 -02060400 -03060400 -04060400 -05060400 -06060400 -07060400 -08060400 -09060400 -00060501 -01060500 -02060500 -03060500 -04060500 -05060500 -06060500 -07060500 -08060500 -09060500 -00060601 -01060600 -02060600 -03060600 -04060600 -05060600 -06060600 -07060600 -08060600 -09060600 -00060701 -01060700 -02060700 -03060700 -04060700 -05060700 -06060700 -07060700 -08060700 -09060700 -00060801 -01060800 -02060800 -03060800 -04060800 -05060800 -06060800 -07060800 -08060800 -09060800 -00060901 -01060900 -02060900 -03060900 -04060900 -05060900 -06060900 -07060900 -08060900 -09060900 -00060A01 -01060A00 -02060A00 -03060A00 -04060A00 -05060A00 -06060A00 -07060A00 -08060A00 -09060A00 -00060B01 -01060B00 -02060B00 -03060B00 -04060B00 -05060B00 -06060B00 -07060B00 -08060B00 -09060B00 -00060C01 -01060C00 -02060C00 -03060C00 -04060C00 -05060C00 -06060C00 -07060C00 -08060C00 -09060C00 -00060D01 -01060D00 -02060D00 -03060D00 -04060D00 -05060D00 -06060D00 -07060D00 -08060D00 -09060D00 -00060E01 -01060E00 -02060E00 -03060E00 -04060E00 -05060E00 -06060E00 -07060E00 -08060E00 -09060E00 -00060F01 -01060F00 -02060F00 -03060F00 -04060F00 -05060F00 -06060F00 -07060F00 -08060F00 -09060F00 -00061001 -01061000 -02061000 -03061000 -04061000 -05061000 -06061000 -07061000 -08061000 -09061000 -00061101 -01061100 -02061100 -03061100 -04061100 -05061100 -06061100 -07061100 -08061100 -09061100 -00061201 -01061200 -02061200 -03061200 -04061200 -05061200 -06061200 -07061200 -08061200 -09061200 -00061301 -01061300 -02061300 -03061300 -04061300 -05061300 -06061300 -07061300 -08061300 -09061300 -00061401 -01061400 -02061400 -03061400 -04061400 -05061400 -06061400 -07061400 -08061400 -09061400 -00061501 -01061500 -02061500 -03061500 -04061500 -05061500 -06061500 -07061500 -08061500 -09061500 -00061601 -01061600 -02061600 -03061600 -04061600 -05061600 -06061600 -07061600 -08061600 -09061600 -00061701 -01061700 -02061700 -03061700 -04061700 -05061700 -06061700 -07061700 -08061700 -09061700 -00070001 -01070000 -02070000 -03070000 -04070000 -05070000 -06070000 -07070000 -08070000 -09070000 -00070101 -01070100 -02070100 -03070100 -04070100 -05070100 -06070100 -07070100 -08070100 -09070100 -00070201 -01070200 -02070200 -03070200 -04070200 -05070200 -06070200 -07070200 -08070200 -09070200 -00070301 -01070300 -02070300 -03070300 -04070300 -05070300 -06070300 -07070300 -08070300 -09070300 -00070401 -01070400 -02070400 -03070400 -04070400 -05070400 -06070400 -07070400 -08070400 -09070400 -00070501 -01070500 -02070500 -03070500 -04070500 -05070500 -06070500 -07070500 -08070500 -09070500 -00070601 -01070600 -02070600 -03070600 -04070600 -05070600 -06070600 -07070600 -08070600 -09070600 -00070701 -01070700 -02070700 -03070700 -04070700 -05070700 -06070700 -07070700 -08070700 -09070700 -00070801 -01070800 -02070800 -03070800 -04070800 -05070800 -06070800 -07070800 -08070800 -09070800 -00070901 -01070900 -02070900 -03070900 -04070900 -05070900 -06070900 -07070900 -08070900 -09070900 -00070A01 -01070A00 -02070A00 -03070A00 -04070A00 -05070A00 -06070A00 -07070A00 -08070A00 -09070A00 -00070B01 -01070B00 -02070B00 -03070B00 -04070B00 -05070B00 -06070B00 -07070B00 -08070B00 -09070B00 -00070C01 -01070C00 -02070C00 -03070C00 -04070C00 -05070C00 -06070C00 -07070C00 -08070C00 -09070C00 -00070D01 -01070D00 -02070D00 -03070D00 -04070D00 -05070D00 -06070D00 -07070D00 -08070D00 -09070D00 -00070E01 -01070E00 -02070E00 -03070E00 -04070E00 -05070E00 -06070E00 -07070E00 -08070E00 -09070E00 -00070F01 -01070F00 -02070F00 -03070F00 -04070F00 -05070F00 -06070F00 -07070F00 -08070F00 -09070F00 -00071001 -01071000 -02071000 -03071000 -04071000 -05071000 -06071000 -07071000 -08071000 -09071000 -00071101 -01071100 -02071100 -03071100 -04071100 -05071100 -06071100 -07071100 -08071100 -09071100 -00071201 -01071200 -02071200 -03071200 -04071200 -05071200 -06071200 -07071200 -08071200 -09071200 -00071301 -01071300 -02071300 -03071300 -04071300 -05071300 -06071300 -07071300 -08071300 -09071300 -00071401 -01071400 -02071400 -03071400 -04071400 -05071400 -06071400 -07071400 -08071400 -09071400 -00071501 -01071500 -02071500 -03071500 -04071500 -05071500 -06071500 -07071500 -08071500 -09071500 -00071601 -01071600 -02071600 -03071600 -04071600 -05071600 -06071600 -07071600 -08071600 -09071600 -00071701 -01071700 -02071700 -03071700 -04071700 -05071700 -06071700 -07071700 -08071700 -09071700 -00080001 -01080000 -02080000 -03080000 -04080000 -05080000 -06080000 -07080000 -08080000 -09080000 -00080101 -01080100 -02080100 -03080100 -04080100 -05080100 -06080100 -07080100 -08080100 -09080100 -00080201 -01080200 -02080200 -03080200 -04080200 -05080200 -06080200 -07080200 -08080200 -09080200 -00080301 -01080300 -02080300 -03080300 -04080300 -05080300 -06080300 -07080300 -08080300 -09080300 -00080401 -01080400 -02080400 -03080400 -04080400 -05080400 -06080400 -07080400 -08080400 -09080400 -00080501 -01080500 -02080500 -03080500 -04080500 -05080500 -06080500 -07080500 -08080500 -09080500 -00080601 -01080600 -02080600 -03080600 -04080600 -05080600 -06080600 -07080600 -08080600 -09080600 -00080701 -01080700 -02080700 -03080700 -04080700 -05080700 -06080700 -07080700 -08080700 -09080700 -00080801 -01080800 -02080800 -03080800 -04080800 -05080800 -06080800 -07080800 -08080800 -09080800 -00080901 -01080900 -02080900 -03080900 -04080900 -05080900 -06080900 -07080900 -08080900 -09080900 -00080A01 -01080A00 -02080A00 -03080A00 -04080A00 -05080A00 -06080A00 -07080A00 -08080A00 -09080A00 -00080B01 -01080B00 -02080B00 -03080B00 -04080B00 -05080B00 -06080B00 -07080B00 -08080B00 -09080B00 -00080C01 -01080C00 -02080C00 -03080C00 -04080C00 -05080C00 -06080C00 -07080C00 -08080C00 -09080C00 -00080D01 -01080D00 -02080D00 -03080D00 -04080D00 -05080D00 -06080D00 -07080D00 -08080D00 -09080D00 -00080E01 -01080E00 -02080E00 -03080E00 -04080E00 -05080E00 -06080E00 -07080E00 -08080E00 -09080E00 -00080F01 -01080F00 -02080F00 -03080F00 -04080F00 -05080F00 -06080F00 -07080F00 -08080F00 -09080F00 -00081001 -01081000 -02081000 -03081000 -04081000 -05081000 -06081000 -07081000 -08081000 -09081000 -00081101 -01081100 -02081100 -03081100 -04081100 -05081100 -06081100 -07081100 -08081100 -09081100 -00081201 -01081200 -02081200 -03081200 -04081200 -05081200 -06081200 -07081200 -08081200 -09081200 -00081301 -01081300 -02081300 -03081300 -04081300 -05081300 -06081300 -07081300 -08081300 -09081300 -00081401 -01081400 -02081400 -03081400 -04081400 -05081400 -06081400 -07081400 -08081400 -09081400 -00081501 -01081500 -02081500 -03081500 -04081500 -05081500 -06081500 -07081500 -08081500 -09081500 -00081601 -01081600 -02081600 -03081600 -04081600 -05081600 -06081600 -07081600 -08081600 -09081600 -00081701 -01081700 -02081700 -03081700 -04081700 -05081700 -06081700 -07081700 -08081700 -09081700 -00090001 -01090000 -02090000 -03090000 -04090000 -05090000 -06090000 -07090000 -08090000 -09090000 -00090101 -01090100 -02090100 -03090100 -04090100 -05090100 -06090100 -07090100 -08090100 -09090100 -00090201 -01090200 -02090200 -03090200 -04090200 -05090200 -06090200 -07090200 -08090200 -09090200 -00090301 -01090300 -02090300 -03090300 -04090300 -05090300 -06090300 -07090300 -08090300 -09090300 -00090401 -01090400 -02090400 -03090400 -04090400 -05090400 -06090400 -07090400 -08090400 -09090400 -00090501 -01090500 -02090500 -03090500 -04090500 -05090500 -06090500 -07090500 -08090500 -09090500 -00090601 -01090600 -02090600 -03090600 -04090600 -05090600 -06090600 -07090600 -08090600 -09090600 -00090701 -01090700 -02090700 -03090700 -04090700 -05090700 -06090700 -07090700 -08090700 -09090700 -00090801 -01090800 -02090800 -03090800 -04090800 -05090800 -06090800 -07090800 -08090800 -09090800 -00090901 -01090900 -02090900 -03090900 -04090900 -05090900 -06090900 -07090900 -08090900 -09090900 -00090A01 -01090A00 -02090A00 -03090A00 -04090A00 -05090A00 -06090A00 -07090A00 -08090A00 -09090A00 -00090B01 -01090B00 -02090B00 -03090B00 -04090B00 -05090B00 -06090B00 -07090B00 -08090B00 -09090B00 -00090C01 -01090C00 -02090C00 -03090C00 -04090C00 -05090C00 -06090C00 -07090C00 -08090C00 -09090C00 -00090D01 -01090D00 -02090D00 -03090D00 -04090D00 -05090D00 -06090D00 -07090D00 -08090D00 -09090D00 -00090E01 -01090E00 -02090E00 -03090E00 -04090E00 -05090E00 -06090E00 -07090E00 -08090E00 -09090E00 -00090F01 -01090F00 -02090F00 -03090F00 -04090F00 -05090F00 -06090F00 -07090F00 -08090F00 -09090F00 -00091001 -01091000 -02091000 -03091000 -04091000 -05091000 -06091000 -07091000 -08091000 -09091000 -00091101 -01091100 -02091100 -03091100 -04091100 -05091100 -06091100 -07091100 -08091100 -09091100 -00091201 -01091200 -02091200 -03091200 -04091200 -05091200 -06091200 -07091200 -08091200 -09091200 -00091301 -01091300 -02091300 -03091300 -04091300 -05091300 -06091300 -07091300 -08091300 -09091300 -00091401 -01091400 -02091400 -03091400 -04091400 -05091400 -06091400 -07091400 -08091400 -09091400 -00091501 -01091500 -02091500 -03091500 -04091500 -05091500 -06091500 -07091500 -08091500 -09091500 -00091601 -01091600 -02091600 -03091600 -04091600 -05091600 -06091600 -07091600 -08091600 -09091600 -00091701 -01091700 -02091700 -03091700 -04091700 -05091700 -06091700 -07091700 -08091700 -09091700 -000A0001 -010A0000 -020A0000 -030A0000 -040A0000 -050A0000 -060A0000 -070A0000 -080A0000 -090A0000 -000A0101 -010A0100 -020A0100 -030A0100 -040A0100 -050A0100 -060A0100 -070A0100 -080A0100 -090A0100 -000A0201 -010A0200 -020A0200 -030A0200 -040A0200 -050A0200 -060A0200 -070A0200 -080A0200 -090A0200 -000A0301 -010A0300 -020A0300 -030A0300 -040A0300 -050A0300 -060A0300 -070A0300 -080A0300 -090A0300 -000A0401 -010A0400 -020A0400 -030A0400 -040A0400 -050A0400 -060A0400 -070A0400 -080A0400 -090A0400 -000A0501 -010A0500 -020A0500 -030A0500 -040A0500 -050A0500 -060A0500 -070A0500 -080A0500 -090A0500 -000A0601 -010A0600 -020A0600 -030A0600 -040A0600 -050A0600 -060A0600 -070A0600 -080A0600 -090A0600 -000A0701 -010A0700 -020A0700 -030A0700 -040A0700 -050A0700 -060A0700 -070A0700 -080A0700 -090A0700 -000A0801 -010A0800 -020A0800 -030A0800 -040A0800 -050A0800 -060A0800 -070A0800 -080A0800 -090A0800 -000A0901 -010A0900 -020A0900 -030A0900 -040A0900 -050A0900 -060A0900 -070A0900 -080A0900 -090A0900 -000A0A01 -010A0A00 -020A0A00 -030A0A00 -040A0A00 -050A0A00 -060A0A00 -070A0A00 -080A0A00 -090A0A00 -000A0B01 -010A0B00 -020A0B00 -030A0B00 -040A0B00 -050A0B00 -060A0B00 -070A0B00 -080A0B00 -090A0B00 -000A0C01 -010A0C00 -020A0C00 -030A0C00 -040A0C00 -050A0C00 -060A0C00 -070A0C00 -080A0C00 -090A0C00 -000A0D01 -010A0D00 -020A0D00 -030A0D00 -040A0D00 -050A0D00 -060A0D00 -070A0D00 -080A0D00 -090A0D00 -000A0E01 -010A0E00 -020A0E00 -030A0E00 -040A0E00 -050A0E00 -060A0E00 -070A0E00 -080A0E00 -090A0E00 -000A0F01 -010A0F00 -020A0F00 -030A0F00 -040A0F00 -050A0F00 -060A0F00 -070A0F00 -080A0F00 -090A0F00 -000A1001 -010A1000 -020A1000 -030A1000 -040A1000 -050A1000 -060A1000 -070A1000 -080A1000 -090A1000 -000A1101 -010A1100 -020A1100 -030A1100 -040A1100 -050A1100 -060A1100 -070A1100 -080A1100 -090A1100 -000A1201 -010A1200 -020A1200 -030A1200 -040A1200 -050A1200 -060A1200 -070A1200 -080A1200 -090A1200 -000A1301 -010A1300 -020A1300 -030A1300 -040A1300 -050A1300 -060A1300 -070A1300 -080A1300 -090A1300 -000A1401 -010A1400 -020A1400 -030A1400 -040A1400 -050A1400 -060A1400 -070A1400 -080A1400 -090A1400 -000A1501 -010A1500 -020A1500 -030A1500 -040A1500 -050A1500 -060A1500 -070A1500 -080A1500 -090A1500 -000A1601 -010A1600 -020A1600 -030A1600 -040A1600 -050A1600 -060A1600 -070A1600 -080A1600 -090A1600 -000A1701 -010A1700 -020A1700 -030A1700 -040A1700 -050A1700 -060A1700 -070A1700 -080A1700 -090A1700 -000B0001 -010B0000 -020B0000 -030B0000 -040B0000 -050B0000 -060B0000 -070B0000 -080B0000 -090B0000 -000B0101 -010B0100 -020B0100 -030B0100 -040B0100 -050B0100 -060B0100 -070B0100 -080B0100 -090B0100 -000B0201 -010B0200 -020B0200 -030B0200 -040B0200 -050B0200 -060B0200 -070B0200 -080B0200 -090B0200 -000B0301 -010B0300 -020B0300 -030B0300 -040B0300 -050B0300 -060B0300 -070B0300 -080B0300 -090B0300 -000B0401 -010B0400 -020B0400 -030B0400 -040B0400 -050B0400 -060B0400 -070B0400 -080B0400 -090B0400 -000B0501 -010B0500 -020B0500 -030B0500 -040B0500 -050B0500 -060B0500 -070B0500 -080B0500 -090B0500 -000B0601 -010B0600 -020B0600 -030B0600 -040B0600 -050B0600 -060B0600 -070B0600 -080B0600 -090B0600 -000B0701 -010B0700 -020B0700 -030B0700 -040B0700 -050B0700 -060B0700 -070B0700 -080B0700 -090B0700 -000B0801 -010B0800 -020B0800 -030B0800 -040B0800 -050B0800 -060B0800 -070B0800 -080B0800 -090B0800 -000B0901 -010B0900 -020B0900 -030B0900 -040B0900 -050B0900 -060B0900 -070B0900 -080B0900 -090B0900 -000B0A01 -010B0A00 -020B0A00 -030B0A00 -040B0A00 -050B0A00 -060B0A00 -070B0A00 -080B0A00 -090B0A00 -000B0B01 -010B0B00 -020B0B00 -030B0B00 -040B0B00 -050B0B00 -060B0B00 -070B0B00 -080B0B00 -090B0B00 -000B0C01 -010B0C00 -020B0C00 -030B0C00 -040B0C00 -050B0C00 -060B0C00 -070B0C00 -080B0C00 -090B0C00 -000B0D01 -010B0D00 -020B0D00 -030B0D00 -040B0D00 -050B0D00 -060B0D00 -070B0D00 -080B0D00 -090B0D00 -000B0E01 -010B0E00 -020B0E00 -030B0E00 -040B0E00 -050B0E00 -060B0E00 -070B0E00 -080B0E00 -090B0E00 -000B0F01 -010B0F00 -020B0F00 -030B0F00 -040B0F00 -050B0F00 -060B0F00 -070B0F00 -080B0F00 -090B0F00 -000B1001 -010B1000 -020B1000 -030B1000 -040B1000 -050B1000 -060B1000 -070B1000 -080B1000 -090B1000 -000B1101 -010B1100 -020B1100 -030B1100 -040B1100 -050B1100 -060B1100 -070B1100 -080B1100 -090B1100 -000B1201 -010B1200 -020B1200 -030B1200 -040B1200 -050B1200 -060B1200 -070B1200 -080B1200 -090B1200 -000B1301 -010B1300 -020B1300 -030B1300 -040B1300 -050B1300 -060B1300 -070B1300 -080B1300 -090B1300 -000B1401 -010B1400 -020B1400 -030B1400 -040B1400 -050B1400 -060B1400 -070B1400 -080B1400 -090B1400 -000B1501 -010B1500 -020B1500 -030B1500 -040B1500 -050B1500 -060B1500 -070B1500 -080B1500 -090B1500 -000B1601 -010B1600 -020B1600 -030B1600 -040B1600 -050B1600 -060B1600 -070B1600 -080B1600 -090B1600 -000B1701 -010B1700 -020B1700 -030B1700 -040B1700 -050B1700 -060B1700 -070B1700 -080B1700 -090B1700 -000C0001 -010C0000 -020C0000 -030C0000 -040C0000 -050C0000 -060C0000 -070C0000 -080C0000 -090C0000 -000C0101 -010C0100 -020C0100 -030C0100 -040C0100 -050C0100 -060C0100 -070C0100 -080C0100 -090C0100 -000C0201 -010C0200 -020C0200 -030C0200 -040C0200 -050C0200 -060C0200 -070C0200 -080C0200 -090C0200 -000C0301 -010C0300 -020C0300 -030C0300 -040C0300 -050C0300 -060C0300 -070C0300 -080C0300 -090C0300 -000C0401 -010C0400 -020C0400 -030C0400 -040C0400 -050C0400 -060C0400 -070C0400 -080C0400 -090C0400 -000C0501 -010C0500 -020C0500 -030C0500 -040C0500 -050C0500 -060C0500 -070C0500 -080C0500 -090C0500 -000C0601 -010C0600 -020C0600 -030C0600 -040C0600 -050C0600 -060C0600 -070C0600 -080C0600 -090C0600 -000C0701 -010C0700 -020C0700 -030C0700 -040C0700 -050C0700 -060C0700 -070C0700 -080C0700 -090C0700 -000C0801 -010C0800 -020C0800 -030C0800 -040C0800 -050C0800 -060C0800 -070C0800 -080C0800 -090C0800 -000C0901 -010C0900 -020C0900 -030C0900 -040C0900 -050C0900 -060C0900 -070C0900 -080C0900 -090C0900 -000C0A01 -010C0A00 -020C0A00 -030C0A00 -040C0A00 -050C0A00 -060C0A00 -070C0A00 -080C0A00 -090C0A00 -000C0B01 -010C0B00 -020C0B00 -030C0B00 -040C0B00 -050C0B00 -060C0B00 -070C0B00 -080C0B00 -090C0B00 -000C0C01 -010C0C00 -020C0C00 -030C0C00 -040C0C00 -050C0C00 -060C0C00 -070C0C00 -080C0C00 -090C0C00 -000C0D01 -010C0D00 -020C0D00 -030C0D00 -040C0D00 -050C0D00 -060C0D00 -070C0D00 -080C0D00 -090C0D00 -000C0E01 -010C0E00 -020C0E00 -030C0E00 -040C0E00 -050C0E00 -060C0E00 -070C0E00 -080C0E00 -090C0E00 -000C0F01 -010C0F00 -020C0F00 -030C0F00 -040C0F00 -050C0F00 -060C0F00 -070C0F00 -080C0F00 -090C0F00 -000C1001 -010C1000 -020C1000 -030C1000 -040C1000 -050C1000 -060C1000 -070C1000 -080C1000 -090C1000 -000C1101 -010C1100 -020C1100 -030C1100 -040C1100 -050C1100 -060C1100 -070C1100 -080C1100 -090C1100 -000C1201 -010C1200 -020C1200 -030C1200 -040C1200 -050C1200 -060C1200 -070C1200 -080C1200 -090C1200 -000C1301 -010C1300 -020C1300 -030C1300 -040C1300 -050C1300 -060C1300 -070C1300 -080C1300 -090C1300 -000C1401 -010C1400 -020C1400 -030C1400 -040C1400 -050C1400 -060C1400 -070C1400 -080C1400 -090C1400 -000C1501 -010C1500 -020C1500 -030C1500 -040C1500 -050C1500 -060C1500 -070C1500 -080C1500 -090C1500 -000C1601 -010C1600 -020C1600 -030C1600 -040C1600 -050C1600 -060C1600 -070C1600 -080C1600 -090C1600 -000C1701 -010C1700 -020C1700 -030C1700 -040C1700 -050C1700 -060C1700 -070C1700 -080C1700 -090C1700 -000D0001 -010D0000 -020D0000 -030D0000 -040D0000 -050D0000 -060D0000 -070D0000 -080D0000 -090D0000 -000D0101 -010D0100 -020D0100 -030D0100 -040D0100 -050D0100 -060D0100 -070D0100 -080D0100 -090D0100 -000D0201 -010D0200 -020D0200 -030D0200 -040D0200 -050D0200 -060D0200 -070D0200 -080D0200 -090D0200 -000D0301 -010D0300 -020D0300 -030D0300 -040D0300 -050D0300 -060D0300 -070D0300 -080D0300 -090D0300 -000D0401 -010D0400 -020D0400 -030D0400 -040D0400 -050D0400 -060D0400 -070D0400 -080D0400 -090D0400 -000D0501 -010D0500 -020D0500 -030D0500 -040D0500 -050D0500 -060D0500 -070D0500 -080D0500 -090D0500 -000D0601 -010D0600 -020D0600 -030D0600 -040D0600 -050D0600 -060D0600 -070D0600 -080D0600 -090D0600 -000D0701 -010D0700 -020D0700 -030D0700 -040D0700 -050D0700 -060D0700 -070D0700 -080D0700 -090D0700 -000D0801 -010D0800 -020D0800 -030D0800 -040D0800 -050D0800 -060D0800 -070D0800 -080D0800 -090D0800 -000D0901 -010D0900 -020D0900 -030D0900 -040D0900 -050D0900 -060D0900 -070D0900 -080D0900 -090D0900 -000D0A01 -010D0A00 -020D0A00 -030D0A00 -040D0A00 -050D0A00 -060D0A00 -070D0A00 -080D0A00 -090D0A00 -000D0B01 -010D0B00 -020D0B00 -030D0B00 -040D0B00 -050D0B00 -060D0B00 -070D0B00 -080D0B00 -090D0B00 -000D0C01 -010D0C00 -020D0C00 -030D0C00 -040D0C00 -050D0C00 -060D0C00 -070D0C00 -080D0C00 -090D0C00 -000D0D01 -010D0D00 -020D0D00 -030D0D00 -040D0D00 -050D0D00 -060D0D00 -070D0D00 -080D0D00 -090D0D00 -000D0E01 -010D0E00 -020D0E00 -030D0E00 -040D0E00 -050D0E00 -060D0E00 -070D0E00 -080D0E00 -090D0E00 -000D0F01 -010D0F00 -020D0F00 -030D0F00 -040D0F00 -050D0F00 -060D0F00 -070D0F00 -080D0F00 -090D0F00 -000D1001 -010D1000 -020D1000 -030D1000 -040D1000 -050D1000 -060D1000 -070D1000 -080D1000 -090D1000 -000D1101 -010D1100 -020D1100 -030D1100 -040D1100 -050D1100 -060D1100 -070D1100 -080D1100 -090D1100 -000D1201 -010D1200 -020D1200 -030D1200 -040D1200 -050D1200 -060D1200 -070D1200 -080D1200 -090D1200 -000D1301 -010D1300 -020D1300 -030D1300 -040D1300 -050D1300 -060D1300 -070D1300 -080D1300 -090D1300 -000D1401 -010D1400 -020D1400 -030D1400 -040D1400 -050D1400 -060D1400 -070D1400 -080D1400 -090D1400 -000D1501 -010D1500 -020D1500 -030D1500 -040D1500 -050D1500 -060D1500 -070D1500 -080D1500 -090D1500 -000D1601 -010D1600 -020D1600 -030D1600 -040D1600 -050D1600 -060D1600 -070D1600 -080D1600 -090D1600 -000D1701 -010D1700 -020D1700 -030D1700 -040D1700 -050D1700 -060D1700 -070D1700 -080D1700 -090D1700 -000E0001 -010E0000 -020E0000 -030E0000 -040E0000 -050E0000 -060E0000 -070E0000 -080E0000 -090E0000 -000E0101 -010E0100 -020E0100 -030E0100 -040E0100 -050E0100 -060E0100 -070E0100 -080E0100 -090E0100 -000E0201 -010E0200 -020E0200 -030E0200 -040E0200 -050E0200 -060E0200 -070E0200 -080E0200 -090E0200 -000E0301 -010E0300 -020E0300 -030E0300 -040E0300 -050E0300 -060E0300 -070E0300 -080E0300 -090E0300 -000E0401 -010E0400 -020E0400 -030E0400 -040E0400 -050E0400 -060E0400 -070E0400 -080E0400 -090E0400 -000E0501 -010E0500 -020E0500 -030E0500 -040E0500 -050E0500 -060E0500 -070E0500 -080E0500 -090E0500 -000E0601 -010E0600 -020E0600 -030E0600 -040E0600 -050E0600 -060E0600 -070E0600 -080E0600 -090E0600 -000E0701 -010E0700 -020E0700 -030E0700 -040E0700 -050E0700 -060E0700 -070E0700 -080E0700 -090E0700 -000E0801 -010E0800 -020E0800 -030E0800 -040E0800 -050E0800 -060E0800 -070E0800 -080E0800 -090E0800 -000E0901 -010E0900 -020E0900 -030E0900 -040E0900 -050E0900 -060E0900 -070E0900 -080E0900 -090E0900 -000E0A01 -010E0A00 -020E0A00 -030E0A00 -040E0A00 -050E0A00 -060E0A00 -070E0A00 -080E0A00 -090E0A00 -000E0B01 -010E0B00 -020E0B00 -030E0B00 -040E0B00 -050E0B00 -060E0B00 -070E0B00 -080E0B00 -090E0B00 -000E0C01 -010E0C00 -020E0C00 -030E0C00 -040E0C00 -050E0C00 -060E0C00 -070E0C00 -080E0C00 -090E0C00 -000E0D01 -010E0D00 -020E0D00 -030E0D00 -040E0D00 -050E0D00 -060E0D00 -070E0D00 -080E0D00 -090E0D00 -000E0E01 -010E0E00 -020E0E00 -030E0E00 -040E0E00 -050E0E00 -060E0E00 -070E0E00 -080E0E00 -090E0E00 -000E0F01 -010E0F00 -020E0F00 -030E0F00 -040E0F00 -050E0F00 -060E0F00 -070E0F00 -080E0F00 -090E0F00 -000E1001 -010E1000 -020E1000 -030E1000 -040E1000 -050E1000 -060E1000 -070E1000 -080E1000 -090E1000 -000E1101 -010E1100 -020E1100 -030E1100 -040E1100 -050E1100 -060E1100 -070E1100 -080E1100 -090E1100 -000E1201 -010E1200 -020E1200 -030E1200 -040E1200 -050E1200 -060E1200 -070E1200 -080E1200 -090E1200 -000E1301 -010E1300 -020E1300 -030E1300 -040E1300 -050E1300 -060E1300 -070E1300 -080E1300 -090E1300 -000E1401 -010E1400 -020E1400 -030E1400 -040E1400 -050E1400 -060E1400 -070E1400 -080E1400 -090E1400 -000E1501 -010E1500 -020E1500 -030E1500 -040E1500 -050E1500 -060E1500 -070E1500 -080E1500 -090E1500 -000E1601 -010E1600 -020E1600 -030E1600 -040E1600 -050E1600 -060E1600 -070E1600 -080E1600 -090E1600 -000E1701 -010E1700 -020E1700 -030E1700 -040E1700 -050E1700 -060E1700 -070E1700 -080E1700 -090E1700 -000F0001 -010F0000 -020F0000 -030F0000 -040F0000 -050F0000 -060F0000 -070F0000 -080F0000 -090F0000 -000F0101 -010F0100 -020F0100 -030F0100 -040F0100 -050F0100 -060F0100 -070F0100 -080F0100 -090F0100 -000F0201 -010F0200 -020F0200 -030F0200 -040F0200 -050F0200 -060F0200 -070F0200 -080F0200 -090F0200 -000F0301 -010F0300 -020F0300 -030F0300 -040F0300 -050F0300 -060F0300 -070F0300 -080F0300 -090F0300 -000F0401 -010F0400 -020F0400 -030F0400 -040F0400 -050F0400 -060F0400 -070F0400 -080F0400 -090F0400 -000F0501 -010F0500 -020F0500 -030F0500 -040F0500 -050F0500 -060F0500 -070F0500 -080F0500 -090F0500 -000F0601 -010F0600 -020F0600 -030F0600 -040F0600 -050F0600 -060F0600 -070F0600 -080F0600 -090F0600 -000F0701 -010F0700 -020F0700 -030F0700 -040F0700 -050F0700 -060F0700 -070F0700 -080F0700 -090F0700 -000F0801 -010F0800 -020F0800 -030F0800 -040F0800 -050F0800 -060F0800 -070F0800 -080F0800 -090F0800 -000F0901 -010F0900 -020F0900 -030F0900 -040F0900 -050F0900 -060F0900 -070F0900 -080F0900 -090F0900 -000F0A01 -010F0A00 -020F0A00 -030F0A00 -040F0A00 -050F0A00 -060F0A00 -070F0A00 -080F0A00 -090F0A00 -000F0B01 -010F0B00 -020F0B00 -030F0B00 -040F0B00 -050F0B00 -060F0B00 -070F0B00 -080F0B00 -090F0B00 -000F0C01 -010F0C00 -020F0C00 -030F0C00 -040F0C00 -050F0C00 -060F0C00 -070F0C00 -080F0C00 -090F0C00 -000F0D01 -010F0D00 -020F0D00 -030F0D00 -040F0D00 -050F0D00 -060F0D00 -070F0D00 -080F0D00 -090F0D00 -000F0E01 -010F0E00 -020F0E00 -030F0E00 -040F0E00 -050F0E00 -060F0E00 -070F0E00 -080F0E00 -090F0E00 -000F0F01 -010F0F00 -020F0F00 -030F0F00 -040F0F00 -050F0F00 -060F0F00 -070F0F00 -080F0F00 -090F0F00 -000F1001 -010F1000 -020F1000 -030F1000 -040F1000 -050F1000 -060F1000 -070F1000 -080F1000 -090F1000 -000F1101 -010F1100 -020F1100 -030F1100 -040F1100 -050F1100 -060F1100 -070F1100 -080F1100 -090F1100 -000F1201 -010F1200 -020F1200 -030F1200 -040F1200 -050F1200 -060F1200 -070F1200 -080F1200 -090F1200 -000F1301 -010F1300 -020F1300 -030F1300 -040F1300 -050F1300 -060F1300 -070F1300 -080F1300 -090F1300 -000F1401 -010F1400 -020F1400 -030F1400 -040F1400 -050F1400 -060F1400 -070F1400 -080F1400 -090F1400 -000F1501 -010F1500 -020F1500 -030F1500 -040F1500 -050F1500 -060F1500 -070F1500 -080F1500 -090F1500 -000F1601 -010F1600 -020F1600 -030F1600 -040F1600 -050F1600 -060F1600 -070F1600 -080F1600 -090F1600 -000F1701 -010F1700 -020F1700 -030F1700 -040F1700 -050F1700 -060F1700 -070F1700 -080F1700 -090F1700 -00100001 -01100000 -02100000 -03100000 -04100000 -05100000 -06100000 -07100000 -08100000 -09100000 -00100101 -01100100 -02100100 -03100100 -04100100 -05100100 -06100100 -07100100 -08100100 -09100100 -00100201 -01100200 -02100200 -03100200 -04100200 -05100200 -06100200 -07100200 -08100200 -09100200 -00100301 -01100300 -02100300 -03100300 -04100300 -05100300 -06100300 -07100300 -08100300 -09100300 -00100401 -01100400 -02100400 -03100400 -04100400 -05100400 -06100400 -07100400 -08100400 -09100400 -00100501 -01100500 -02100500 -03100500 -04100500 -05100500 -06100500 -07100500 -08100500 -09100500 -00100601 -01100600 -02100600 -03100600 -04100600 -05100600 -06100600 -07100600 -08100600 -09100600 -00100701 -01100700 -02100700 -03100700 -04100700 -05100700 -06100700 -07100700 -08100700 -09100700 -00100801 -01100800 -02100800 -03100800 -04100800 -05100800 -06100800 -07100800 -08100800 -09100800 -00100901 -01100900 -02100900 -03100900 -04100900 -05100900 -06100900 -07100900 -08100900 -09100900 -00100A01 -01100A00 -02100A00 -03100A00 -04100A00 -05100A00 -06100A00 -07100A00 -08100A00 -09100A00 -00100B01 -01100B00 -02100B00 -03100B00 -04100B00 -05100B00 -06100B00 -07100B00 -08100B00 -09100B00 -00100C01 -01100C00 -02100C00 -03100C00 -04100C00 -05100C00 -06100C00 -07100C00 -08100C00 -09100C00 -00100D01 -01100D00 -02100D00 -03100D00 -04100D00 -05100D00 -06100D00 -07100D00 -08100D00 -09100D00 -00100E01 -01100E00 -02100E00 -03100E00 -04100E00 -05100E00 -06100E00 -07100E00 -08100E00 -09100E00 -00100F01 -01100F00 -02100F00 -03100F00 -04100F00 -05100F00 -06100F00 -07100F00 -08100F00 -09100F00 -00101001 -01101000 -02101000 -03101000 -04101000 -05101000 -06101000 -07101000 -08101000 -09101000 -00101101 -01101100 -02101100 -03101100 -04101100 -05101100 -06101100 -07101100 -08101100 -09101100 -00101201 -01101200 -02101200 -03101200 -04101200 -05101200 -06101200 -07101200 -08101200 -09101200 -00101301 -01101300 -02101300 -03101300 -04101300 -05101300 -06101300 -07101300 -08101300 -09101300 -00101401 -01101400 -02101400 -03101400 -04101400 -05101400 -06101400 -07101400 -08101400 -09101400 -00101501 -01101500 -02101500 -03101500 -04101500 -05101500 -06101500 -07101500 -08101500 -09101500 -00101601 -01101600 -02101600 -03101600 -04101600 -05101600 -06101600 -07101600 -08101600 -09101600 -00101701 -01101700 -02101700 -03101700 -04101700 -05101700 -06101700 -07101700 -08101700 -09101700 -00110001 -01110000 -02110000 -03110000 -04110000 -05110000 -06110000 -07110000 -08110000 -09110000 -00110101 -01110100 -02110100 -03110100 -04110100 -05110100 -06110100 -07110100 -08110100 -09110100 -00110201 -01110200 -02110200 -03110200 -04110200 -05110200 -06110200 -07110200 -08110200 -09110200 -00110301 -01110300 -02110300 -03110300 -04110300 -05110300 -06110300 -07110300 -08110300 -09110300 -00110401 -01110400 -02110400 -03110400 -04110400 -05110400 -06110400 -07110400 -08110400 -09110400 -00110501 -01110500 -02110500 -03110500 -04110500 -05110500 -06110500 -07110500 -08110500 -09110500 -00110601 -01110600 -02110600 -03110600 -04110600 -05110600 -06110600 -07110600 -08110600 -09110600 -00110701 -01110700 -02110700 -03110700 -04110700 -05110700 -06110700 -07110700 -08110700 -09110700 -00110801 -01110800 -02110800 -03110800 -04110800 -05110800 -06110800 -07110800 -08110800 -09110800 -00110901 -01110900 -02110900 -03110900 -04110900 -05110900 -06110900 -07110900 -08110900 -09110900 -00110A01 -01110A00 -02110A00 -03110A00 -04110A00 -05110A00 -06110A00 -07110A00 -08110A00 -09110A00 -00110B01 -01110B00 -02110B00 -03110B00 -04110B00 -05110B00 -06110B00 -07110B00 -08110B00 -09110B00 -00110C01 -01110C00 -02110C00 -03110C00 -04110C00 -05110C00 -06110C00 -07110C00 -08110C00 -09110C00 -00110D01 -01110D00 -02110D00 -03110D00 -04110D00 -05110D00 -06110D00 -07110D00 -08110D00 -09110D00 -00110E01 -01110E00 -02110E00 -03110E00 -04110E00 -05110E00 -06110E00 -07110E00 -08110E00 -09110E00 -00110F01 -01110F00 -02110F00 -03110F00 -04110F00 -05110F00 -06110F00 -07110F00 -08110F00 -09110F00 -00111001 -01111000 -02111000 -03111000 -04111000 -05111000 -06111000 -07111000 -08111000 -09111000 -00111101 -01111100 -02111100 -03111100 -04111100 -05111100 -06111100 -07111100 -08111100 -09111100 -00111201 -01111200 -02111200 -03111200 -04111200 -05111200 -06111200 -07111200 -08111200 -09111200 -00111301 -01111300 -02111300 -03111300 -04111300 -05111300 -06111300 -07111300 -08111300 -09111300 -00111401 -01111400 -02111400 -03111400 -04111400 -05111400 -06111400 -07111400 -08111400 -09111400 -00111501 -01111500 -02111500 -03111500 -04111500 -05111500 -06111500 -07111500 -08111500 -09111500 -00111601 -01111600 -02111600 -03111600 -04111600 -05111600 -06111600 -07111600 -08111600 -09111600 -00111701 -01111700 -02111700 -03111700 -04111700 -05111700 -06111700 -07111700 -08111700 -09111700 -00120001 -01120000 -02120000 -03120000 -04120000 -05120000 -06120000 -07120000 -08120000 -09120000 -00120101 -01120100 -02120100 -03120100 -04120100 -05120100 -06120100 -07120100 -08120100 -09120100 -00120201 -01120200 -02120200 -03120200 -04120200 -05120200 -06120200 -07120200 -08120200 -09120200 -00120301 -01120300 -02120300 -03120300 -04120300 -05120300 -06120300 -07120300 -08120300 -09120300 -00120401 -01120400 -02120400 -03120400 -04120400 -05120400 -06120400 -07120400 -08120400 -09120400 -00120501 -01120500 -02120500 -03120500 -04120500 -05120500 -06120500 -07120500 -08120500 -09120500 -00120601 -01120600 -02120600 -03120600 -04120600 -05120600 -06120600 -07120600 -08120600 -09120600 -00120701 -01120700 -02120700 -03120700 -04120700 -05120700 -06120700 -07120700 -08120700 -09120700 -00120801 -01120800 -02120800 -03120800 -04120800 -05120800 -06120800 -07120800 -08120800 -09120800 -00120901 -01120900 -02120900 -03120900 -04120900 -05120900 -06120900 -07120900 -08120900 -09120900 -00120A01 -01120A00 -02120A00 -03120A00 -04120A00 -05120A00 -06120A00 -07120A00 -08120A00 -09120A00 -00120B01 -01120B00 -02120B00 -03120B00 -04120B00 -05120B00 -06120B00 -07120B00 -08120B00 -09120B00 -00120C01 -01120C00 -02120C00 -03120C00 -04120C00 -05120C00 -06120C00 -07120C00 -08120C00 -09120C00 -00120D01 -01120D00 -02120D00 -03120D00 -04120D00 -05120D00 -06120D00 -07120D00 -08120D00 -09120D00 -00120E01 -01120E00 -02120E00 -03120E00 -04120E00 -05120E00 -06120E00 -07120E00 -08120E00 -09120E00 -00120F01 -01120F00 -02120F00 -03120F00 -04120F00 -05120F00 -06120F00 -07120F00 -08120F00 -09120F00 -00121001 -01121000 -02121000 -03121000 -04121000 -05121000 -06121000 -07121000 -08121000 -09121000 -00121101 -01121100 -02121100 -03121100 -04121100 -05121100 -06121100 -07121100 -08121100 -09121100 -00121201 -01121200 -02121200 -03121200 -04121200 -05121200 -06121200 -07121200 -08121200 -09121200 -00121301 -01121300 -02121300 -03121300 -04121300 -05121300 -06121300 -07121300 -08121300 -09121300 -00121401 -01121400 -02121400 -03121400 -04121400 -05121400 -06121400 -07121400 -08121400 -09121400 -00121501 -01121500 -02121500 -03121500 -04121500 -05121500 -06121500 -07121500 -08121500 -09121500 -00121601 -01121600 -02121600 -03121600 -04121600 -05121600 -06121600 -07121600 -08121600 -09121600 -00121701 -01121700 -02121700 -03121700 -04121700 -05121700 -06121700 -07121700 -08121700 -09121700 -00130001 -01130000 -02130000 -03130000 -04130000 -05130000 -06130000 -07130000 -08130000 -09130000 -00130101 -01130100 -02130100 -03130100 -04130100 -05130100 -06130100 -07130100 -08130100 -09130100 -00130201 -01130200 -02130200 -03130200 -04130200 -05130200 -06130200 -07130200 -08130200 -09130200 -00130301 -01130300 -02130300 -03130300 -04130300 -05130300 -06130300 -07130300 -08130300 -09130300 -00130401 -01130400 -02130400 -03130400 -04130400 -05130400 -06130400 -07130400 -08130400 -09130400 -00130501 -01130500 -02130500 -03130500 -04130500 -05130500 -06130500 -07130500 -08130500 -09130500 -00130601 -01130600 -02130600 -03130600 -04130600 -05130600 -06130600 -07130600 -08130600 -09130600 -00130701 -01130700 -02130700 -03130700 -04130700 -05130700 -06130700 -07130700 -08130700 -09130700 -00130801 -01130800 -02130800 -03130800 -04130800 -05130800 -06130800 -07130800 -08130800 -09130800 -00130901 -01130900 -02130900 -03130900 -04130900 -05130900 -06130900 -07130900 -08130900 -09130900 -00130A01 -01130A00 -02130A00 -03130A00 -04130A00 -05130A00 -06130A00 -07130A00 -08130A00 -09130A00 -00130B01 -01130B00 -02130B00 -03130B00 -04130B00 -05130B00 -06130B00 -07130B00 -08130B00 -09130B00 -00130C01 -01130C00 -02130C00 -03130C00 -04130C00 -05130C00 -06130C00 -07130C00 -08130C00 -09130C00 -00130D01 -01130D00 -02130D00 -03130D00 -04130D00 -05130D00 -06130D00 -07130D00 -08130D00 -09130D00 -00130E01 -01130E00 -02130E00 -03130E00 -04130E00 -05130E00 -06130E00 -07130E00 -08130E00 -09130E00 -00130F01 -01130F00 -02130F00 -03130F00 -04130F00 -05130F00 -06130F00 -07130F00 -08130F00 -09130F00 -00131001 -01131000 -02131000 -03131000 -04131000 -05131000 -06131000 -07131000 -08131000 -09131000 -00131101 -01131100 -02131100 -03131100 -04131100 -05131100 -06131100 -07131100 -08131100 -09131100 -00131201 -01131200 -02131200 -03131200 -04131200 -05131200 -06131200 -07131200 -08131200 -09131200 -00131301 -01131300 -02131300 -03131300 -04131300 -05131300 -06131300 -07131300 -08131300 -09131300 -00131401 -01131400 -02131400 -03131400 -04131400 -05131400 -06131400 -07131400 -08131400 -09131400 -00131501 -01131500 -02131500 -03131500 -04131500 -05131500 -06131500 -07131500 -08131500 -09131500 -00131601 -01131600 -02131600 -03131600 -04131600 -05131600 -06131600 -07131600 -08131600 -09131600 -00131701 -01131700 -02131700 -03131700 -04131700 -05131700 -06131700 -07131700 -08131700 -09131700 -00140001 -01140000 -02140000 -03140000 -04140000 -05140000 -06140000 -07140000 -08140000 -09140000 -00140101 -01140100 -02140100 -03140100 -04140100 -05140100 -06140100 -07140100 -08140100 -09140100 -00140201 -01140200 -02140200 -03140200 -04140200 -05140200 -06140200 -07140200 -08140200 -09140200 -00140301 -01140300 -02140300 -03140300 -04140300 -05140300 -06140300 -07140300 -08140300 -09140300 -00140401 -01140400 -02140400 -03140400 -04140400 -05140400 -06140400 -07140400 -08140400 -09140400 -00140501 -01140500 -02140500 -03140500 -04140500 -05140500 -06140500 -07140500 -08140500 -09140500 -00140601 -01140600 -02140600 -03140600 -04140600 -05140600 -06140600 -07140600 -08140600 -09140600 -00140701 -01140700 -02140700 -03140700 -04140700 -05140700 -06140700 -07140700 -08140700 -09140700 -00140801 -01140800 -02140800 -03140800 -04140800 -05140800 -06140800 -07140800 -08140800 -09140800 -00140901 -01140900 -02140900 -03140900 -04140900 -05140900 -06140900 -07140900 -08140900 -09140900 -00140A01 -01140A00 -02140A00 -03140A00 -04140A00 -05140A00 -06140A00 -07140A00 -08140A00 -09140A00 -00140B01 -01140B00 -02140B00 -03140B00 -04140B00 -05140B00 -06140B00 -07140B00 -08140B00 -09140B00 -00140C01 -01140C00 -02140C00 -03140C00 -04140C00 -05140C00 -06140C00 -07140C00 -08140C00 -09140C00 -00140D01 -01140D00 -02140D00 -03140D00 -04140D00 -05140D00 -06140D00 -07140D00 -08140D00 -09140D00 -00140E01 -01140E00 -02140E00 -03140E00 -04140E00 -05140E00 -06140E00 -07140E00 -08140E00 -09140E00 -00140F01 -01140F00 -02140F00 -03140F00 -04140F00 -05140F00 -06140F00 -07140F00 -08140F00 -09140F00 -00141001 -01141000 -02141000 -03141000 -04141000 -05141000 -06141000 -07141000 -08141000 -09141000 -00141101 -01141100 -02141100 -03141100 -04141100 -05141100 -06141100 -07141100 -08141100 -09141100 -00141201 -01141200 -02141200 -03141200 -04141200 -05141200 -06141200 -07141200 -08141200 -09141200 -00141301 -01141300 -02141300 -03141300 -04141300 -05141300 -06141300 -07141300 -08141300 -09141300 -00141401 -01141400 -02141400 -03141400 -04141400 -05141400 -06141400 -07141400 -08141400 -09141400 -00141501 -01141500 -02141500 -03141500 -04141500 -05141500 -06141500 -07141500 -08141500 -09141500 -00141601 -01141600 -02141600 -03141600 -04141600 -05141600 -06141600 -07141600 -08141600 -09141600 -00141701 -01141700 -02141700 -03141700 -04141700 -05141700 -06141700 -07141700 -08141700 -09141700 -00150001 -01150000 -02150000 -03150000 -04150000 -05150000 -06150000 -07150000 -08150000 -09150000 -00150101 -01150100 -02150100 -03150100 -04150100 -05150100 -06150100 -07150100 -08150100 -09150100 -00150201 -01150200 -02150200 -03150200 -04150200 -05150200 -06150200 -07150200 -08150200 -09150200 -00150301 -01150300 -02150300 -03150300 -04150300 -05150300 -06150300 -07150300 -08150300 -09150300 -00150401 -01150400 -02150400 -03150400 -04150400 -05150400 -06150400 -07150400 -08150400 -09150400 -00150501 -01150500 -02150500 -03150500 -04150500 -05150500 -06150500 -07150500 -08150500 -09150500 -00150601 -01150600 -02150600 -03150600 -04150600 -05150600 -06150600 -07150600 -08150600 -09150600 -00150701 -01150700 -02150700 -03150700 -04150700 -05150700 -06150700 -07150700 -08150700 -09150700 -00150801 -01150800 -02150800 -03150800 -04150800 -05150800 -06150800 -07150800 -08150800 -09150800 -00150901 -01150900 -02150900 -03150900 -04150900 -05150900 -06150900 -07150900 -08150900 -09150900 -00150A01 -01150A00 -02150A00 -03150A00 -04150A00 -05150A00 -06150A00 -07150A00 -08150A00 -09150A00 -00150B01 -01150B00 -02150B00 -03150B00 -04150B00 -05150B00 -06150B00 -07150B00 -08150B00 -09150B00 -00150C01 -01150C00 -02150C00 -03150C00 -04150C00 -05150C00 -06150C00 -07150C00 -08150C00 -09150C00 -00150D01 -01150D00 -02150D00 -03150D00 -04150D00 -05150D00 -06150D00 -07150D00 -08150D00 -09150D00 -00150E01 -01150E00 -02150E00 -03150E00 -04150E00 -05150E00 -06150E00 -07150E00 -08150E00 -09150E00 -00150F01 -01150F00 -02150F00 -03150F00 -04150F00 -05150F00 -06150F00 -07150F00 -08150F00 -09150F00 -00151001 -01151000 -02151000 -03151000 -04151000 -05151000 -06151000 -07151000 -08151000 -09151000 -00151101 -01151100 -02151100 -03151100 -04151100 -05151100 -06151100 -07151100 -08151100 -09151100 -00151201 -01151200 -02151200 -03151200 -04151200 -05151200 -06151200 -07151200 -08151200 -09151200 -00151301 -01151300 -02151300 -03151300 -04151300 -05151300 -06151300 -07151300 -08151300 -09151300 -00151401 -01151400 -02151400 -03151400 -04151400 -05151400 -06151400 -07151400 -08151400 -09151400 -00151501 -01151500 -02151500 -03151500 -04151500 -05151500 -06151500 -07151500 -08151500 -09151500 -00151601 -01151600 -02151600 -03151600 -04151600 -05151600 -06151600 -07151600 -08151600 -09151600 -00151701 -01151700 -02151700 -03151700 -04151700 -05151700 -06151700 -07151700 -08151700 -09151700 -00160001 -01160000 -02160000 -03160000 -04160000 -05160000 -06160000 -07160000 -08160000 -09160000 -00160101 -01160100 -02160100 -03160100 -04160100 -05160100 -06160100 -07160100 -08160100 -09160100 -00160201 -01160200 -02160200 -03160200 -04160200 -05160200 -06160200 -07160200 -08160200 -09160200 -00160301 -01160300 -02160300 -03160300 -04160300 -05160300 -06160300 -07160300 -08160300 -09160300 -00160401 -01160400 -02160400 -03160400 -04160400 -05160400 -06160400 -07160400 -08160400 -09160400 -00160501 -01160500 -02160500 -03160500 -04160500 -05160500 -06160500 -07160500 -08160500 -09160500 -00160601 -01160600 -02160600 -03160600 -04160600 -05160600 -06160600 -07160600 -08160600 -09160600 -00160701 -01160700 -02160700 -03160700 -04160700 -05160700 -06160700 -07160700 -08160700 -09160700 -00160801 -01160800 -02160800 -03160800 -04160800 -05160800 -06160800 -07160800 -08160800 -09160800 -00160901 -01160900 -02160900 -03160900 -04160900 -05160900 -06160900 -07160900 -08160900 -09160900 -00160A01 -01160A00 -02160A00 -03160A00 -04160A00 -05160A00 -06160A00 -07160A00 -08160A00 -09160A00 -00160B01 -01160B00 -02160B00 -03160B00 -04160B00 -05160B00 -06160B00 -07160B00 -08160B00 -09160B00 -00160C01 -01160C00 -02160C00 -03160C00 -04160C00 -05160C00 -06160C00 -07160C00 -08160C00 -09160C00 -00160D01 -01160D00 -02160D00 -03160D00 -04160D00 -05160D00 -06160D00 -07160D00 -08160D00 -09160D00 -00160E01 -01160E00 -02160E00 -03160E00 -04160E00 -05160E00 -06160E00 -07160E00 -08160E00 -09160E00 -00160F01 -01160F00 -02160F00 -03160F00 -04160F00 -05160F00 -06160F00 -07160F00 -08160F00 -09160F00 -00161001 -01161000 -02161000 -03161000 -04161000 -05161000 -06161000 -07161000 -08161000 -09161000 -00161101 -01161100 -02161100 -03161100 -04161100 -05161100 -06161100 -07161100 -08161100 -09161100 -00161201 -01161200 -02161200 -03161200 -04161200 -05161200 -06161200 -07161200 -08161200 -09161200 -00161301 -01161300 -02161300 -03161300 -04161300 -05161300 -06161300 -07161300 -08161300 -09161300 -00161401 -01161400 -02161400 -03161400 -04161400 -05161400 -06161400 -07161400 -08161400 -09161400 -00161501 -01161500 -02161500 -03161500 -04161500 -05161500 -06161500 -07161500 -08161500 -09161500 -00161601 -01161600 -02161600 -03161600 -04161600 -05161600 -06161600 -07161600 -08161600 -09161600 -00161701 -01161700 -02161700 -03161700 -04161700 -05161700 -06161700 -07161700 -08161700 -09161700 -00170001 -01170000 -02170000 -03170000 -04170000 -05170000 -06170000 -07170000 -08170000 -09170000 -00170101 -01170100 -02170100 -03170100 -04170100 -05170100 -061701FF -07170100 -08170100 -09170100 -00170201 -01170200 -02170200 -03170200 -04170200 -05170200 -06170200 -07170200 -08170200 -09170200 -00170301 -01170300 -02170300 -03170300 -04170300 -05170300 -06170300 -07170300 -08170300 -09170300 -00170401 -01170400 -02170400 -03170400 -04170400 -05170400 -06170400 -07170400 -08170400 -09170400 -00170501 -01170500 -02170500 -03170500 -04170500 -05170500 -06170500 -07170500 -08170500 -09170500 -00170601 -01170600 -02170600 -03170600 -04170600 -05170600 -06170600 -07170600 -08170600 -09170600 -00170701 -01170700 -02170700 -03170700 -04170700 -05170700 -06170700 -07170700 -08170700 -09170700 -00170801 -01170800 -02170800 -03170800 -04170800 -05170800 -06170800 -07170800 -08170800 -09170800 -00170901 -01170900 -02170900 -03170900 -04170900 -05170900 -06170900 -07170900 -08170900 -09170900 -00170A01 -01170A00 -02170A00 -03170A00 -04170A00 -05170A00 -06170A00 -07170A00 -08170A00 -09170A00 -00170B01 -01170B00 -02170B00 -03170B00 -04170B00 -05170B00 -06170B00 -07170B00 -08170B00 -09170B00 -00170C01 -01170C00 -02170C00 -03170C00 -04170C00 -05170C00 -06170C00 -07170C00 -08170C00 -09170C00 -00170D01 -01170D00 -02170D00 -03170D00 -04170D00 -05170D00 -06170D00 -07170D00 -08170D00 -09170D00 -00170E01 -01170E00 -02170E00 -03170E00 -04170E00 -05170E00 -06170E00 -07170E00 -08170E00 -09170E00 -00170F01 -01170F00 -02170F00 -03170F00 -04170F00 -05170F00 -06170F00 -07170F00 -08170F00 -09170F00 -00171001 -01171000 -02171000 -03171000 -04171000 -05171000 -06171000 -07171000 -08171000 -09171000 -00171101 -01171100 -02171100 -03171100 -04171100 -05171100 -06171100 -07171100 -08171100 -09171100 -00171201 -01171200 -02171200 -03171200 -04171200 -05171200 -06171200 -07171200 -08171200 -09171200 -00171301 -01171300 -02171300 -03171300 -04171300 -05171300 -06171300 -07171300 -08171300 -09171300 -00171401 -01171400 -02171400 -03171400 -04171400 -05171400 -06171400 -07171400 -08171400 -09171400 -00171501 -01171500 -02171500 -03171500 -04171500 -05171500 -06171500 -07171500 -08171500 -09171500 -00171601 -01171600 -02171600 -03171600 -04171600 -05171600 -06171600 -07171600 -08171600 -09171600 -00171701 -01171700 -02171700 -03171700 -04171700 -05171700 -06171700 -07171700 -08171700 -09171700 diff --git a/bitstreams/slipway.apj b/bitstreams/slipway.apj deleted file mode 100644 index 3a73cae..0000000 --- a/bitstreams/slipway.apj +++ /dev/null @@ -1,381 +0,0 @@ -Project(#fileName:->'V:\slipway\bitstreams\slipway.apj' #prjName:->'slipway.apj' #prjDir:->'v:\slipway\bitstreams' #parts:->PartList(#parts:->OrderedCollection(PartInstance(#name:->'U1' #part:-> -Part(#partCode:->'AT94K10-25DQC' #plugIn:->1.1 #properties:->PartProperties(#application:->'Commercial' #package:->'208PQFP' #productFamily:->'Atmel-FPSLIC' #architecture:->'Atmel-AT94K' #speedGrade:->'-25') #toolFlows:->ToolFlows( - ToolFlow(#name:->'Mentor-VHDL' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'VHDL File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assemble file') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'Vhdl Files'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'Vhdl file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif file'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'Vhdl file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'Vhdl file'))) - #windowsDescrAsText:->'VHDL Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl')) #imagesList:-> - ImagesList( - PartImage(#text:->'AT94K FPGA Core: The AT94K core contains array of 8-sided core cells which implements ultra fast design, without using any busing resources. This core is capable of implementing Cache logic and the inbuild FreeRAM can implements RAM without using logic resources.' #imageName:->'94k10.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection('Mentor-VHDL'-> - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'vhd' #descriptionToText:->'Vhdl file'))) - #windowsDescrAsText:->'VHDL Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#true #toolBarIcon:->'' #visibleFromSymbol:->#false) 'Mentor-VHDL'-> - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif file'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#true #toolBarIcon:->'' #visibleFromSymbol:->#true)) #topLeftX:->29 #topLeftY:->30 #rightBottomX:->196 #rightBottomY:->220 #toolBarGrName:->'') - PartImage(#text:->'FPGA West IOs: The west side IOs are 3.3v and 33 MHz PCI compliant. All IO pads are registered IOs and can be programmed indivitually.' #imageName:->'westio.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->0 #topLeftY:->30 #rightBottomX:->21 #rightBottomY:->259 #toolBarGrName:->'') - PartImage(#text:->'FPGA South IOs: The south side IOs are 3.3v and 33 MHz PCI compliant. All IO pads are registered IOs and can be programmed indivitually.' #imageName:->'southio.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->30 #topLeftY:->230 #rightBottomX:->220 #rightBottomY:->251 #toolBarGrName:->'') - PartImage(#text:->'FPGA North IOs: The north side IOs are 3.3v and 33 MHz PCI compliant. All IO pads are registered IOs and can be programmed indivitually.' #imageName:->'northio.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->30 #topLeftY:->0 #rightBottomX:->199 #rightBottomY:->21 #toolBarGrName:->'') - PartImage(#text:->'Dual port Data SRAM interface: This Data SRAM interface component define the interface between the FPGA Core and the data SRAM inside the AVR . The FPGA core can directly access the data SRAM without interrupting AVR microcontroller.' #imageName:->'sram.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->196 #topLeftY:->157 #rightBottomX:->224 #rightBottomY:->220 #toolBarGrName:->'') - PartImage(#text:->'AVR Interface: This AVR interface component define the interface between the AVR CPU and FPGA Core. The FPGA core can not directly interact with AVR and its peripheral, it has to use the AVR bus architecture to interact with them. ' #imageName:->'avrbus.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->196 #topLeftY:->30 #rightBottomX:->223 #rightBottomY:->97 #toolBarGrName:->'') - PartImage(#text:->'AVR CPU: The AVR CPU is a 8-bit RISC microcontroller and it can executes over 30 MIPS. The AVR data bus interfaces directly into the FPGA and treats the FPGA as a large I/O device and can program the FPGA on-the-fly to create Cache logic configuration.' #imageName:->'avrcpu.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->250 #topLeftY:->33 #rightBottomX:->290 #rightBottomY:->77 #toolBarGrName:->'') - PartImage(#text:->'UART1: AVR microcontroller has two programmable Serial Universal Asynchronous Receiver and Transmitter. These serial data ports are used to communicate with external serial input/output devices.' #imageName:->'uart1.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->300 #topLeftY:->35 #rightBottomX:->340 #rightBottomY:->77 #toolBarGrName:->'') - PartImage(#text:->'UART2: AVR microcontroller has two programmable Serial Universal Asynchronous Receiver and Transmitter(UART). These serial data ports are used to communicate with external serial input/output devices.' #imageName:->'uart2.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->350 #topLeftY:->35 #rightBottomX:->390 #rightBottomY:->77 #toolBarGrName:->'') - PartImage(#text:->'Two wire serial interface: AVR support Insdustry standard two-wire interface. This serial bus is a bi-directional two-wire serial communication bus and it will carry information between the ICs connected to them.' #imageName:->'i2c.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->400 #topLeftY:->35 #rightBottomX:->450 #rightBottomY:->77 #toolBarGrName:->'') - PartImage(#text:->'Program SRAM: This Program SRAM is used by the AVR RISC microcontroller for program instruction storage. During configuration download, the configuration logic load the program instructions in to this SRAM. The FPGA core user logic can not directly access this SRAM.' #imageName:->'progsram.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->251 #topLeftY:->107 #rightBottomX:->350 #rightBottomY:->150 #toolBarGrName:->'') - PartImage(#text:->'Timer/Counter2: The Timer/Counter2 is a 8-bit general purpose counter and it has its own prescaling timer. This counter can be reset by setting the corresponding control bits in the Special Functions IO register.' #imageName:->'count2.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->358 #topLeftY:->107 #rightBottomX:->400 #rightBottomY:->150 #toolBarGrName:->'') - PartImage(#text:->'WatchDog Timer: The WatchDog Timer is clocked from a separate on-chip oscillator which runs at 1MHz. The watchdog timer reset interval can be adjusted by controlling the watchdog Timer prescaler.' #imageName:->'wdog.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->408 #topLeftY:->107 #rightBottomX:->450 #rightBottomY:->150 #toolBarGrName:->'') - PartImage(#text:->'Data SRAM: This dual port data SRAM resides inside the AVR and it is used for data storage. The FPGA user logic can directly access this data SRAM without interrupting AVR bus. Both FPGA and AVR have full read and write access to this SRAM.' #imageName:->'dpram.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->250 #topLeftY:->180 #rightBottomX:->335 #rightBottomY:->222 #toolBarGrName:->'') - PartImage(#text:->'Timer/Counter0: The Timer/Counter0 is a 8-bit general purpose counter and it has its own prescaling timer. This counter can be reset by setting the corresponding control bits in the Special Functions IO register.' #imageName:->'count1.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->346 #topLeftY:->180 #rightBottomX:->388 #rightBottomY:->222 #toolBarGrName:->'') - PartImage(#text:->'Timer/Counter1: The Timer/Counter1 is a 16-bit general purpose counter and it has its own prescaling timer. This counter can be reset by setting the corresponding control bits in the Special Functions IO register.' #imageName:->'count3.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->396 #topLeftY:->180 #rightBottomX:->448 #rightBottomY:->222 #toolBarGrName:->'') - PartImage(#text:->'Port D: Port D is an 8-bit parallel bidirectional IO port.' #imageName:->'portd.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->473 #topLeftY:->33 #rightBottomX:->503 #rightBottomY:->95 #toolBarGrName:->'') - PartImage(#text:->'Port E: Port E is an 8-bit parallel bidirectional IO port.' #imageName:->'porte.bmp' #colorName:->'black' #actionName:->'' #webPage:->'%FIGARO_HOME%\examples\at94k\Resources\samplecode.htm' #toolsRestore:->OrderedCollection() #topLeftX:->473 #topLeftY:->113 #rightBottomX:->503 #rightBottomY:->175 #toolBarGrName:->'') - PartImage(#text:->'bus1' #imageName:->'bus1.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->221 #topLeftY:->33 #rightBottomX:->250 #rightBottomY:->235 #toolBarGrName:->'') - PartImage(#text:->'bus2' #imageName:->'bus2.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->250 #topLeftY:->77 #rightBottomX:->450 #rightBottomY:->110 #toolBarGrName:->'') - PartImage(#text:->'bus3' #imageName:->'bus3.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->250 #topLeftY:->162 #rightBottomX:->450 #rightBottomY:->182 #toolBarGrName:->'') - PartImage(#text:->'bus4' #imageName:->'bus4.bmp' #colorName:->'black' #actionName:->'' #webPage:->'' #toolsRestore:->OrderedCollection() #topLeftX:->450 #topLeftY:->42 #rightBottomX:->480 #rightBottomY:->183 #toolBarGrName:->''))) #tools:->OrderedCollection(ToolInstance(#name:->'AT94K Device Options' #tool:-> - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection()) ToolInstance(#name:->'HDL Synthesis' #tool:-> - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection(DesignFileInstance(#fileName:->'v:\slipway\bitstreams\stupid.v' #designFile:-> - DesignFile(#path:->'v:\slipway\bitstreams\' #ext:->'v' #descriptionToText:->'Verilog File')))) ToolInstance(#name:->'Software Compiler' #tool:-> - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection()) ToolInstance(#name:->'AVR-FPGA Interface' #tool:-> - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection()) ToolInstance(#name:->'Pre-layout Coverification' #tool:-> - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection(DesignFileInstance(#fileName:->'v:\slipway\bitstreams\stupid_pretb.v' #designFile:-> - DesignFile(#path:->'v:\slipway\bitstreams\' #ext:->'v' #descriptionToText:->'')))) ToolInstance(#name:->'FPGA Place and Router' #tool:-> - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'stupid' #designFiles:->OrderedCollection(DesignFileInstance(#fileName:->'v:\slipway\bitstreams\stupid.edf' #designFile:-> - DesignFile(#path:->'v:\slipway\bitstreams\' #ext:->'edf' #descriptionToText:->'')))) ToolInstance(#name:->'Post-layout Coverification' #tool:-> - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'stupid' #designFiles:->OrderedCollection()) ToolInstance(#name:->'HDL Simulator - ModelSim' #tool:-> - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection()) ToolInstance(#name:->'Software Debugger' #tool:-> - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) #toolFlow:-> - ToolFlow(#name:->'Mentor-Verilog' #tools:->List( - Tool(#name:->'AT94K Device Options' #windowsCommand:->Command(#commandLine:->'$at94koptions' #designFiles:->List()) - #windowsDescrAsText:->'AT94K Device Options' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'HDL Synthesis' #windowsCommand:->Command(#commandLine:->'at94kleonardo.pcl' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'HDL Design Entry and synthesis' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Software Compiler' #windowsCommand:->Command(#commandLine:->'wavrasm' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'asm' #descriptionToText:->'Assembly File') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'c' #descriptionToText:->'''C'' Files') - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'AVR-FPGA Interface' #windowsCommand:->Command(#commandLine:->'$at94kavrfpgainterface' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog File'))) - #windowsDescrAsText:->'Define AVR and FPGA Interface and Generate the functional test bench model.' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Pre-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kprelayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Pre-layout Hardware and Software coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'FPGA Place and Router' #windowsCommand:->Command(#commandLine:->'$at94kfigaro' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'edf' #descriptionToText:->'edif File'))) - #windowsDescrAsText:->'FPGA Place and Router' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'Post-layout Coverification' #windowsCommand:->Command(#commandLine:->'$at94kpostlayoutcoverify' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Post-layout Hardware and Software Coverification' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#true) - Tool(#name:->'HDL Simulator - ModelSim' #windowsCommand:->Command(#commandLine:->'%FIGARO_HOME%\modeltech\win32aoem\modelsim.exe' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'v' #descriptionToText:->'Verilog file'))) - #windowsDescrAsText:->'Verilog Design Compiler' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false) - Tool(#name:->'Software Debugger' #windowsCommand:->Command(#commandLine:->'avrstudio' #designFiles:->List( - DesignFile(#path:->'%DESIGN_DIRECTORY%\' #ext:->'obj' #descriptionToText:->'Object Files'))) - #windowsDescrAsText:->'Software Debugger' #unixCommand:->Command(#commandLine:->'' #designFiles:->List()) #unixDescrAsText:->'' #isPartImageToolFromSymbol:->#false #toolBarIcon:->'' #visibleFromSymbol:->#false)) #descriptionToText:->'Hardware/Software coverification' #flowParcel:->'flow1.pcl') #designDirectory:->'v:\slipway\bitstreams' #designName:->'' #designFiles:->OrderedCollection())) #version:->1.1 #properties:->Dictionary(#FREQ->2 #B19->false #B4->false #SRAMWRITE->false #COMMANDFILENAME->'' #TESTBENCHFILE->'v:\stupid\stupid_pretb.v' #B18->false #USERLIBRARYLIST->List('v:\stupid\user94k.lib') #FPGABITSTREAM->false #FPGABITSTREAMNAME->'v:\stupid\stupid.bst' #B20->false #B30->true #LOADDATARAM->false #AVRPORTEDRIVE->1 #B16->false #COMBINEDBITSTREAMFILENAME->'v:\slipway\build\slipway_drone_complete.bst' #DATARAMFILETYPE->'Atmel Text Format' #B31->true #EXTINT3->1 #UART1PINS->1 #PROTECTAVRPROGRAMSRAM->false #GCK6SOURCE->#avr #AVRHEXFILE->true #OPENTYPE->#EDIF #B17->false #AVRRESETPINDISABLE->true #EXTINT1->1 #FGDFILENAME->'' #B25->false #AVRHEXFILENAME->'v:\slipway\build\slipway_drone.hex' #EDIFFILENAME->'V:\stupid\stupid.edf' #UART0PINS->0 #TOSCPADBIASRESISTOR->false #EXTINT2->1 #CACHEWRITE->true #EXECUTECOMMANDFILE->false #DENSITY->'1M' #PROGRAMSIZE->16 #XTALPADBIASRESISTOR->true #B2->true #B6->false #AVRPORTDDRIVE->1 #GENERATETESTBENCH->true #XTAL2PAD->false #B24->false #CPS->false #JTAG->true #B13->false #USERDEFINEDFILENAME->'' #EXTINT0->1 #PROTECTAVRBOOTBLOCK->false #B27->true #B3->false #B26->true #B21->false))))) \ No newline at end of file diff --git a/bitstreams/slipway_drone.bst b/bitstreams/slipway_drone.bst deleted file mode 100644 index 7356aff..0000000 --- a/bitstreams/slipway_drone.bst +++ /dev/null @@ -1,8441 +0,0 @@ -00000000 -10110111 -11001100 -00000000 -10000000 -00001101 -00000010 -01011011 -00000000 -00000000 -11010100 -00000000 -00000000 -11010111 -01010001 -11101111 -00000000 -00001001 -00000000 -00001000 -10000000 -00000000 -00001000 -10000111 -00001100 -01001000 -00001100 -01100110 -00001100 -01100011 -00001100 -01100011 -00000000 -00001000 -10001000 -00000000 -00001000 -10001111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000001 -00001000 -10000000 -00000001 -00001000 -10000111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000001 -00001000 -10001000 -00000001 -00001000 -10001111 -00001100 -01100011 -00001100 -01100011 -00001100 -10001100 -00001100 -01100011 -00000010 -00001000 -10000000 -00000010 -00001000 -10000111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000010 -00001000 -10001000 -00000010 -00001000 -10001111 -00001100 -01100011 -00001100 -00101000 -00001100 -10111110 -00001100 -01100011 -00000011 -00001000 -10000000 -00000011 -00001000 -10000111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000011 -00001000 -10001000 -00000011 -00001000 -10001111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000100 -00001000 -10000000 -00000100 -00001000 -10000111 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00001100 -01100011 -00000100 -00001000 -10001000 -00000100 -00001000 -10001111 -00010001 -00011111 -11001111 -11011111 -11011110 -11001101 -00010000 -10100000 -00000101 -00001000 -10000000 -00000101 -00001000 -10000111 -10110000 -11101010 -11110010 -00000010 -00000101 -00001101 -10100000 -10110001 -00000101 -00001000 -10001000 -00000101 -00001000 -10001111 -11011001 -00011000 -10100000 -10110000 -00000001 -00011101 -10101100 -10110001 -00000110 -00001000 -10000000 -00000110 -00001000 -10000111 -11100001 -00001100 -11011000 -00001100 -00000000 -00101001 -00110011 -00101111 -00000110 -00001000 -10001000 -00000110 -00001000 -10001111 -00100000 -10001001 -10001010 -10001000 -10001010 -01100111 -00010001 -01011001 -00000111 -00001000 -10000000 -00000111 -00001000 -10000111 -00001000 -01011001 -00001000 -00100000 -01100110 -00110000 -01100111 -01000000 -00000111 -00001000 -10001000 -00000111 -00001000 -10001111 -01101000 -01010000 -01101001 -00101111 -00111111 -01001111 -01011111 -00100000 -00001000 -00001000 -10000000 -00001000 -00001000 -10000111 -01100110 -00110000 -01100111 -01000000 -01101000 -01010000 -01101001 -10001001 -00001000 -00001000 -10001000 -00001000 -00001000 -10001111 -00010001 -00111111 -00001000 -00111111 -00001000 -00000001 -00100100 -10000000 -00001001 -00001000 -10000000 -00001001 -00001000 -10000111 -10010010 -00010100 -10000000 -10010000 -00001000 -10010111 -00001000 -10010000 -00001001 -00001000 -10001000 -00001001 -00001000 -10001111 -10000001 -10011111 -00001000 -00100000 -01111000 -00110000 -01111001 -10000000 -00001010 -00001000 -10000000 -00001010 -00001000 -10000111 -01110100 -10010000 -01110101 -00101000 -00111001 -01011100 -00100000 -01110100 -00001010 -00001000 -10001000 -00001010 -00001000 -10001111 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -00101000 -00111001 -00001011 -00001000 -10000000 -00001011 -00001000 -10000111 -00001010 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -00001011 -00001000 -10001000 -00001011 -00001000 -10001111 -01110101 -00101000 -00111001 -11001001 -00001000 -00100000 -01110100 -00110000 -00001100 -00001000 -10000000 -00001100 -00001000 -10000111 -01110101 -10000000 -01111000 -10010000 -01111001 -01000000 -01010000 -00101000 -00001100 -00001000 -10001000 -00001100 -00001000 -10001111 -00111001 -00010001 -01000001 -01010000 -11001010 -00001000 -00100000 -01111000 -00001101 -00001000 -10000000 -00001101 -00001000 -10000111 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -00001101 -00001000 -10001000 -00001101 -00001000 -10001111 -01101001 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -00001110 -00001000 -10000000 -00001110 -00001000 -10000111 -01110101 -00101000 -00111001 -10000100 -10000000 -01110100 -10010000 -01110101 -00001110 -00001000 -10001000 -00001110 -00001000 -10001111 -00100000 -01111000 -00110000 -01111001 -01000000 -01010000 -10000010 -10010011 -00001111 -00001000 -10000000 -00001111 -00001000 -10000111 -10000000 -10010010 -10101100 -00001111 -10000000 -01111000 -10010000 -01111001 -00001111 -00001000 -10001000 -00001111 -00001000 -10001111 -00100000 -01110100 -00110000 -01110101 -01000000 -01010000 -10000010 -10010011 -00010000 -00001000 -10000000 -00010000 -00001000 -10000111 -10000001 -10010010 -00101100 -01000001 -01010000 -00000010 -01000000 -01010000 -00010000 -00001000 -10001000 -00010000 -00001000 -10001111 -11001010 -00001000 -00100000 -01111010 -00110000 -01111011 -10000000 -01110110 -00010001 -00001000 -10000000 -00010001 -00001000 -10000111 -10010000 -01110111 -01000000 -01010000 -00101000 -00111001 -00010001 -01000001 -00010001 -00001000 -10001000 -00010001 -00001000 -10001111 -01010000 -11001010 -00001000 -00100000 -01110110 -00110000 -01110111 -10000000 -00010010 -00001000 -10000000 -00010010 -00001000 -10000111 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -00100000 -01110110 -00010010 -00001000 -10001000 -00010010 -00001000 -10001111 -00110000 -01110111 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -00010011 -00001000 -10000000 -00010011 -00001000 -10000111 -10000100 -10000000 -01111010 -10010000 -01111011 -00100000 -01110110 -00110000 -00010011 -00001000 -10001000 -00010011 -00001000 -10001111 -01110111 -01000000 -01010000 -10000010 -10010011 -10000000 -10010010 -10101100 -00010100 -00001000 -10000000 -00010100 -00001000 -10000111 -00001111 -10000000 -01110110 -10010000 -01110111 -00100000 -01111010 -00110000 -00010100 -00001000 -10001000 -00010100 -00001000 -10001111 -01111011 -01000000 -01010000 -10000010 -10010011 -10000001 -10010010 -00101100 -00010101 -00001000 -10000000 -00010101 -00001000 -10000111 -01000001 -01010000 -00000010 -01000000 -01010000 -11001010 -00001000 -10001001 -00010101 -00001000 -10001000 -00010101 -00001000 -10001111 -00101001 -10000000 -10000011 -10000001 -10000100 -00001000 -00010100 -00010011 -00010110 -00001000 -10000000 -00010110 -00001000 -10000111 -00001000 -01001000 -01101001 -10001010 -00101011 -00001000 -00011111 -00001111 -00010110 -00001000 -10001000 -00010110 -00001000 -10001111 -00001111 -00001111 -00010001 -10001111 -10011111 -10101111 -10111111 -10000000 -00010111 -00001000 -10000000 -00010111 -00001000 -10000111 -01110000 -10010000 -01110001 -10100000 -01110010 -10110000 -01110011 -00000001 -00010111 -00001000 -10001000 -00010111 -00001000 -10001111 -10100001 -10110001 -10000000 -01110000 -10010000 -01110001 -10100000 -01110010 -00011000 -00001000 -10000000 -00011000 -00001000 -10000111 -10110000 -01110011 -01111000 -10111111 -10101111 -10011111 -10001111 -00001111 -00011000 -00001000 -10001000 -00011000 -00001000 -10001111 -00001111 -00001111 -00011111 -00011000 -00011111 -00001111 -00001111 -00001111 -00011001 -00001000 -10000000 -00011001 -00001000 -10000111 -00010001 -10001111 -10011111 -10101111 -10111111 -10000000 -01101100 -10010000 -00011001 -00001000 -10001000 -00011001 -00001000 -10001111 -01101101 -10100000 -01101110 -10110000 -01101111 -00000001 -10100001 -10110001 -00011010 -00001000 -10000000 -00011010 -00001000 -10000111 -10000000 -01101100 -10010000 -01101101 -10100000 -01101110 -10110000 -01101111 -00011010 -00001000 -10001000 -00011010 -00001000 -10001111 -10000000 -01100000 -10010000 -01100001 -10001001 -00101001 -00111101 -00000010 -00011011 -00001000 -10000000 -00011011 -00001000 -10000111 -00111101 -00000001 -00111101 -00011101 -00011100 -01111000 -10111111 -10101111 -00011011 -00001000 -10001000 -00011011 -00001000 -10001111 -10011111 -10001111 -00001111 -00001111 -00001111 -00011111 -00011000 -00100001 -00011100 -00001000 -10000000 -00011100 -00001000 -10000111 -00110000 -00110000 -01100001 -00100000 -01100000 -00100111 -10001001 -00001001 -00011100 -00001000 -10001000 -00011100 -00001000 -10001111 -00111010 -01100111 -00001001 -00111011 -01000101 -00001001 -00111101 -11111111 -00011101 -00001000 -10000000 -00011101 -00001000 -10000111 -10011000 -10000000 -10001010 -00110000 -10001001 -10011001 -10000111 -10010000 -00011101 -00001000 -10001000 -00011101 -00001000 -10001111 -11000000 -00001000 -10001001 -10000001 -10000110 -00111000 -10001001 -10011001 -00011110 -00001000 -10000000 -00011110 -00001000 -10000111 -10000111 -10010000 -10000111 -10010000 -00001000 -10001001 -10000001 -10000110 -00011110 -00001000 -10001000 -00011110 -00001000 -10001111 -00110000 -10001001 -10011001 -10000111 -10010000 -11000111 -00001000 -10001111 -00011111 -00001000 -10000000 -00011111 -00001000 -10000111 -10011111 -00001000 -00100000 -01111000 -00110000 -01111001 -00101111 -00111111 -00011111 -00001000 -10001000 -00011111 -00001000 -10001111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -01110100 -00100000 -00001000 -10000000 -00100000 -00001000 -10000111 -10010000 -01110101 -01000000 -01010000 -00101000 -00111001 -00010001 -01000001 -00100000 -00001000 -10001000 -00100000 -00001000 -10001111 -01010000 -11001010 -00001000 -00100000 -01110110 -00110000 -01110111 -00101111 -00100001 -00001000 -10000000 -00100001 -00001000 -10000111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -00100001 -00001000 -10001000 -00100001 -00001000 -10001111 -01111010 -10010000 -01111011 -01000000 -01010000 -00101000 -00111001 -00010001 -00100010 -00001000 -10000000 -00100010 -00001000 -10000111 -01000001 -01010000 -11001010 -00001000 -00010100 -00010011 -10000100 -10010000 -00100010 -00001000 -10001000 -00100010 -00001000 -10001111 -10010011 -10010001 -10010100 -10011001 -00001000 -00111011 -00100000 -01110100 -00100011 -00001000 -10000000 -00100011 -00001000 -10000111 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -00100011 -00001000 -10001000 -00100011 -00001000 -10001111 -00001001 -01011110 -00111011 -11100000 -01110100 -11110000 -01110101 -11100110 -00100100 -00001000 -10000000 -00100100 -00001000 -10000111 -11111011 -11100000 -10000000 -01110100 -10010000 -01110101 -00000001 -00100100 -00100100 -00001000 -10001000 -00100100 -00001000 -10001111 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -01110101 -10000000 -00100101 -00001000 -10000000 -00100101 -00001000 -10000111 -01110100 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -00100101 -00001000 -10001000 -00100101 -00001000 -10001111 -01110101 -00101000 -00111001 -11001001 -00100000 -01111000 -00110000 -01111001 -00100110 -00001000 -10000000 -00100110 -00001000 -10000111 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -00011100 -10000000 -00100110 -00001000 -10001000 -00100110 -00001000 -10001111 -01111000 -10010000 -01111001 -00100000 -01110100 -00110000 -01110101 -10000010 -00100111 -00001000 -10000000 -00100111 -00001000 -10000111 -10010011 -10000001 -10010010 -00001100 -01000100 -10000000 -01100110 -10010000 -00100111 -00001000 -10001000 -00100111 -00001000 -10001111 -01100111 -10100000 -01101000 -10110000 -01101001 -00000001 -10100001 -10110001 -00101000 -00001000 -10000000 -00101000 -00001000 -10000111 -10000000 -01100110 -10010000 -01100111 -10100000 -01101000 -10110000 -01101001 -00101000 -00001000 -10001000 -00101000 -00001000 -10001111 -00111111 -00101111 -10000000 -01110100 -10010000 -01110101 -00100000 -01111000 -00101001 -00001000 -10000000 -00101001 -00001000 -10000111 -00110000 -01111001 -10000010 -10010011 -10000000 -10010010 -11110100 -00100001 -00101001 -00001000 -10001000 -00101001 -00001000 -10001111 -01000000 -01100110 -01010000 -01100111 -01100000 -01101000 -01110000 -01101001 -00101010 -00001000 -10000000 -00101010 -00001000 -10000111 -01001111 -01011111 -01101111 -01111111 -00111111 -00100000 -01110100 -00110000 -00101010 -00001000 -10001000 -00101010 -00001000 -10001111 -01110101 -10000000 -01111000 -10010000 -01111001 -00101000 -00111001 -10000001 -00101011 -00001000 -10000000 -00101011 -00001000 -10000111 -01000000 -01100110 -01010000 -01100111 -01100000 -01101000 -01110000 -01101001 -00101011 -00001000 -10001000 -00101011 -00001000 -10001111 -10000001 -10001110 -10011001 -10000111 -10010000 -00001000 -00011111 -00001111 -00101100 -00001000 -10000000 -00101100 -00001000 -10000111 -00001111 -00001111 -00010001 -00101111 -00111111 -10001111 -10011111 -11101111 -00101100 -00001000 -10001000 -00101100 -00001000 -10001111 -11111111 -00100000 -01111010 -00110000 -01111011 -10000000 -01110110 -10010000 -00101101 -00001000 -10000000 -00101101 -00001000 -10000111 -01110111 -00101000 -00111001 -11010001 -11100000 -01111010 -11110000 -01111011 -00101101 -00001000 -10001000 -00101101 -00001000 -10001111 -11101000 -11111111 -11100000 -10000000 -01111010 -10010000 -01111011 -00000001 -00101110 -00001000 -10000000 -00101110 -00001000 -10000111 -00100100 -10000000 -10010010 -00111100 -10010000 -01111011 -10000000 -01111010 -00101110 -00001000 -10001000 -00101110 -00001000 -10001111 -11101100 -01111000 -00000100 -10000000 -10010000 -11110110 -01010101 -11111111 -00101111 -00001000 -10000000 -00101111 -00001000 -10000111 -11101111 -10011111 -10001111 -00111111 -00101111 -00001111 -00001111 -00001111 -00101111 -00001000 -10001000 -00101111 -00001000 -10001111 -00011111 -00011000 -01001000 -00111010 -00100000 -01110110 -00110000 -01110111 -00110000 -00001000 -10000000 -00110000 -00001000 -10000111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -00110000 -00001000 -10001000 -00110000 -00001000 -10001111 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -00111010 -00110001 -00001000 -10000000 -00110001 -00001000 -10000111 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -01000000 -10000000 -00110001 -00001000 -10001000 -00110001 -00001000 -10001111 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -00010100 -00110010 -00001000 -10000000 -00110010 -00001000 -10000111 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -00001000 -00110010 -00001000 -10001000 -00110010 -00001000 -10001111 -00011111 -00001111 -00001111 -00001111 -00010001 -00101111 -00111111 -10001111 -00110011 -00001000 -10000000 -00110011 -00001000 -10000111 -10011111 -10101111 -10111111 -11101111 -11111111 -01011100 -00001001 -10000001 -00110011 -00001000 -10001000 -00110011 -00001000 -10001111 -10010000 -10010000 -01100001 -10000000 -01100000 -10000111 -00111101 -11111111 -00110100 -00001000 -10000000 -00110100 -00001000 -10000111 -01011011 -00001011 -10000001 -10010000 -10010000 -01100001 -10000000 -01100000 -00110100 -00001000 -10001000 -00110100 -00001000 -10001111 -10000111 -00111010 -00111011 -00111101 -11111111 -00100000 -01111000 -00110000 -00110101 -00001000 -10000000 -00110101 -00001000 -10000111 -01111001 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110101 -00001000 -10001000 -00110101 -00001000 -10001111 -00110000 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -11100001 -00110110 -00001000 -10000000 -00110110 -00001000 -10000111 -11100000 -01111000 -11110000 -01111001 -10001100 -11100110 -11111011 -10000000 -00110110 -00001000 -10001000 -00110110 -00001000 -10001111 -10000000 -01111000 -10010000 -01111001 -00000001 -00100100 -10000000 -10010010 -00110111 -00001000 -10000000 -00110111 -00001000 -10000111 -00010100 -10000000 -10010000 -10010000 -01111001 -10000000 -01111000 -00100000 -00110111 -00001000 -10001000 -00110111 -00001000 -10001111 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -00101000 -00111000 -00001000 -10000000 -00111000 -00001000 -10000111 -00111001 -00001001 -01000100 -00100000 -01111000 -00110000 -01111001 -10000000 -00111000 -00001000 -10001000 -00111000 -00001000 -10001111 -01110100 -10010000 -01110101 -00101000 -00111001 -11000100 -10000000 -01110100 -00111001 -00001000 -10000000 -00111001 -00001000 -10000111 -10010000 -01110101 -00100000 -01111000 -00110000 -01111001 -10000010 -10010011 -00111001 -00001000 -10001000 -00111001 -00001000 -10001111 -10000000 -10010010 -01100100 -00010111 -10000001 -10010000 -10010000 -01100001 -00111010 -00001000 -10000000 -00111010 -00001000 -10000111 -10000000 -01100000 -10000111 -00111010 -00111101 -11111111 -10000000 -01111000 -00111010 -00001000 -10001000 -00111010 -00001000 -10001111 -10010000 -01111001 -00100000 -01110100 -00110000 -01110101 -10000010 -10010011 -00111011 -00001000 -10000000 -00111011 -00001000 -10000111 -10000001 -10010010 -10100100 -10000000 -01100110 -10010000 -01100111 -10100000 -00111011 -00001000 -10001000 -00111011 -00001000 -10001111 -01101000 -10110000 -01101001 -00000001 -10100001 -10110001 -10000000 -01100110 -00111100 -00001000 -10000000 -00111100 -00001000 -10000111 -10010000 -01100111 -10100000 -01101000 -10110000 -01101001 -00111111 -10001111 -00111100 -00001000 -10001000 -00111100 -00001000 -10001111 -10000000 -10001111 -01111000 -11111111 -11101111 -10111111 -10101111 -10011111 -00111101 -00001000 -10000000 -00111101 -00001000 -10000111 -10001111 -00111111 -00101111 -00001111 -00001111 -00001111 -00011111 -00011000 -00111101 -00001000 -10001000 -00111101 -00001000 -10001111 -11001111 -11011111 -11011110 -11001101 -10001100 -10000110 -00010111 -00111101 -00111110 -00001000 -10000000 -00111110 -00001000 -10000111 -00010000 -01110101 -00010000 -01110100 -00010000 -01111001 -00010000 -01111000 -00111110 -00001000 -10001000 -00111110 -00001000 -10001111 -00010000 -01111011 -00010000 -01111010 -00010000 -01110111 -00010000 -01110110 -00111111 -00001000 -10000000 -00111111 -00001000 -10000111 -00010000 -10000001 -10001001 -10001010 -10001000 -10001010 -01011001 -10001111 -00111111 -00001000 -10001000 -00111111 -00001000 -10001111 -10001011 -00011111 -01111000 -00011101 -00011100 -10001000 -10001111 -10001000 -01000000 -00001000 -10000000 -01000000 -00001000 -10000111 -10001001 -10000000 -10001001 -10000011 -10001110 -10000000 -01100110 -10010000 -01000000 -00001000 -10001000 -01000000 -00001000 -10001111 -01100111 -10100000 -01101000 -10110000 -01101001 -00111111 -00000010 -10100001 -01000001 -00001000 -10000000 -01000001 -00001000 -10000111 -10110001 -10000000 -01100110 -10010000 -01100111 -10100000 -01101000 -10110000 -01000001 -00001000 -10001000 -01000001 -00001000 -10001111 -01101001 -00111111 -11000000 -11010000 -00111011 -00100000 -01110100 -00110000 -01000010 -00001000 -10000000 -01000010 -00001000 -10000111 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -00001001 -01000010 -00001000 -10001000 -01000010 -00001000 -10001111 -00001100 -00111011 -11100000 -01110100 -11110000 -01110101 -11100110 -11111011 -01000011 -00001000 -10000000 -01000011 -00001000 -10000111 -11100000 -10000000 -01110100 -10010000 -01110101 -00000001 -00100100 -10000000 -01000011 -00001000 -10001000 -01000011 -00001000 -10001111 -10010010 -00010100 -10000000 -10010000 -10010000 -01110101 -10000000 -01110100 -01000100 -00001000 -10000000 -01000100 -00001000 -10000111 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -01000100 -00001000 -10001000 -01000100 -00001000 -10001111 -00101000 -00111001 -00001001 -00010001 -00100000 -01111000 -00110000 -01111001 -01000101 -00001000 -10000000 -01000101 -00001000 -10000111 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -00001100 -11110111 -01000101 -00001000 -10001000 -01000101 -00001000 -10001111 -10000000 -01110100 -10010000 -01110101 -00100000 -01111000 -00110000 -01111001 -01000110 -00001000 -10000000 -01000110 -00001000 -10000111 -10000010 -10010011 -10000000 -10010010 -00001100 -11110111 -11111111 -11100111 -01000110 -00001000 -10001000 -01000110 -00001000 -10001111 -11110000 -11100001 -00001001 -11111000 -11100010 -00001100 -11110001 -11100010 -01000111 -00001000 -10000000 -01000111 -00001000 -10000111 -00001001 -10110111 -11100011 -00001001 -10100111 -01000000 -01110000 -01010000 -01000111 -00001000 -10001000 -01000111 -00001000 -10001111 -01110001 -01100000 -01110010 -01110000 -01110011 -00010000 -01110000 -00010000 -01001000 -00001000 -10000000 -01001000 -00001000 -10000111 -01110001 -00010000 -01110010 -00010000 -01110011 -10000111 -10111011 -10000111 -01001000 -00001000 -10001000 -01001000 -00001000 -10001111 -10110000 -10011011 -10101011 -10101000 -00111010 -00100000 -01110110 -00110000 -01001001 -00001000 -10000000 -01001001 -00001000 -10000111 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -01001001 -00001000 -10001000 -01001001 -00001000 -10001111 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -01001010 -00001000 -10000000 -01001010 -00001000 -10000111 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -10100000 -01001010 -00001000 -10001000 -01001010 -00001000 -10001111 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -01001011 -00001000 -10000000 -01001011 -00001000 -10000111 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -01001011 -00001000 -10001000 -01001011 -00001000 -10001111 -11001011 -10111011 -10010111 -10110000 -10101011 -10101000 -00111010 -00100000 -01001100 -00001000 -10000000 -01001100 -00001000 -10000111 -01110110 -00110000 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -01001100 -00001000 -10001000 -01001100 -00001000 -10001111 -00010100 -00100000 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -01001101 -00001000 -10000000 -01001101 -00001000 -10000111 -00111001 -01101001 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -01001101 -00001000 -10001000 -01001101 -00001000 -10001111 -11111111 -10100000 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -01001110 -00001000 -10000000 -01001110 -00001000 -10000111 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01001110 -00001000 -10001000 -01001110 -00001000 -10001111 -01110110 -01010101 -10111011 -01110111 -10111010 -10100111 -10010110 -10000101 -01001111 -00001000 -10000000 -01001111 -00001000 -10000111 -10101000 -00111010 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -01001111 -00001000 -10001000 -01001111 -00001000 -10001111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -01010000 -00001000 -10000000 -01010000 -00001000 -10000111 -10010000 -01111011 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -01010000 -00001000 -10001000 -01010000 -00001000 -10001111 -11110000 -01110111 -11101000 -11111111 -10100000 -10000000 -01110110 -10010000 -01010001 -00001000 -10000000 -01010001 -00001000 -10000111 -01110111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -01010001 -00001000 -10001000 -01010001 -00001000 -10001111 -10010000 -01110111 -10000000 -01110110 -01010101 -00111010 -00100000 -01110110 -01010010 -00001000 -10000000 -01010010 -00001000 -10000111 -00110000 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -01010010 -00001000 -10001000 -01010010 -00001000 -10001111 -00100000 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01010011 -00001000 -10000000 -01010011 -00001000 -10000111 -01101001 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -01010011 -00001000 -10001000 -01010011 -00001000 -10001111 -01000000 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -01010100 -00001000 -10000000 -01010100 -00001000 -10000111 -10010010 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010100 -00001000 -10001000 -01010100 -00001000 -10001111 -01010101 -10001100 -10011101 -01111100 -00000000 -00010001 -01000000 -01101100 -01010101 -00001000 -10000000 -01010101 -00001000 -10000111 -01010000 -01101101 -01100000 -01101110 -01110000 -01101111 -00011110 -10001000 -01010101 -00001000 -10001000 -01010101 -00001000 -10001111 -10001111 -10001000 -10001001 -10000000 -10001001 -00010000 -01101100 -00010000 -01010110 -00001000 -10000000 -01010110 -00001000 -10000111 -01101101 -00010000 -01101110 -00010000 -01101111 -00011101 -00011100 -10000011 -01010110 -00001000 -10001000 -01010110 -00001000 -10001111 -10001110 -10111011 -01110111 -10111010 -10100111 -10010110 -10000101 -10101000 -01010111 -00001000 -10000000 -01010111 -00001000 -10000111 -00111010 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -10000100 -01010111 -00001000 -10001000 -01010111 -00001000 -10001111 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -10010000 -01011000 -00001000 -10000000 -01011000 -00001000 -10000111 -01111011 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -11110000 -01011000 -00001000 -10001000 -01011000 -00001000 -10001111 -01110111 -11101000 -11111111 -10100000 -10000000 -01110110 -10010000 -01110111 -01011001 -00001000 -10000000 -01011001 -00001000 -10000111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -01011001 -00001000 -10001000 -01011001 -00001000 -10001111 -01110111 -10000000 -01110110 -01010101 -00111010 -00100000 -01110110 -00110000 -01011010 -00001000 -10000000 -01011010 -00001000 -10000111 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -01011010 -00001000 -10001000 -01011010 -00001000 -10001111 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -01011011 -00001000 -10000000 -01011011 -00001000 -10000111 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -01000000 -01011011 -00001000 -10001000 -01011011 -00001000 -10001111 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -01011100 -00001000 -10000000 -01011100 -00001000 -10000111 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -01011100 -00001000 -10001000 -01011100 -00001000 -10001111 -10111011 -00010111 -10111010 -10100001 -10010000 -10001111 -01001000 -00111010 -01011101 -00001000 -10000000 -01011101 -00001000 -10000111 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -10000100 -00100000 -01011101 -00001000 -10001000 -01011101 -00001000 -10001111 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -10010000 -01111011 -01011110 -00001000 -10000000 -01011110 -00001000 -10000111 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -11110000 -01110111 -01011110 -00001000 -10001000 -01011110 -00001000 -10001111 -11101000 -11111111 -01000000 -10000000 -01110110 -10010000 -01110111 -00000001 -01011111 -00001000 -10000000 -01011111 -00001000 -10000111 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -01110111 -01011111 -00001000 -10001000 -01011111 -00001000 -10001111 -10000000 -01110110 -01010101 -01001110 -00111010 -00100000 -01110110 -00110000 -01100000 -00001000 -10000000 -01100000 -00001000 -10000111 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -01100000 -00001000 -10001000 -01100000 -00001000 -10001111 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -01100001 -00001000 -10000000 -01100001 -00001000 -10000111 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -01000000 -01100001 -00001000 -10001000 -01100001 -00001000 -10001111 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -01100010 -00001000 -10000000 -01100010 -00001000 -10000111 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -01100010 -00001000 -10001000 -01100010 -00001000 -10001111 -00111011 -00100000 -01110100 -00110000 -01110101 -10000000 -01111000 -10010000 -01100011 -00001000 -10000000 -01100011 -00001000 -10000111 -01111001 -10000010 -10010011 -00001001 -11110100 -00111111 -00100000 -01110100 -01100011 -00001000 -10001000 -01100011 -00001000 -10001111 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -01100100 -00001000 -10000000 -01100100 -00001000 -10000111 -00001001 -11100111 -00111111 -00100000 -01110100 -00110000 -01110101 -10000000 -01100100 -00001000 -10001000 -01100100 -00001000 -10001111 -01111000 -10010000 -01111001 -10000010 -10010011 -00111001 -11011010 -10000000 -01100101 -00001000 -10000000 -01100101 -00001000 -10000111 -01111000 -10010000 -01111001 -00100000 -01110100 -00110000 -01110101 -10000010 -01100101 -00001000 -10001000 -01100101 -00001000 -10001111 -10010011 -10000001 -10010010 -00001100 -00001001 -00111111 -11111111 -11100111 -01100110 -00001000 -10000000 -01100110 -00001000 -10000111 -11110000 -11100001 -00001001 -00001000 -00111011 -00100000 -01110100 -00110000 -01100110 -00001000 -10001000 -01100110 -00001000 -10001111 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -00001001 -01100111 -00001000 -10000000 -01100111 -00001000 -10000111 -00111010 -00111011 -11100000 -01110100 -11110000 -01110101 -11100110 -11111011 -01100111 -00001000 -10001000 -01100111 -00001000 -10001111 -11100000 -10000000 -01110100 -10010000 -01110101 -00000001 -00100100 -10000000 -01101000 -00001000 -10000000 -01101000 -00001000 -10000111 -10010010 -00010100 -10000000 -10010000 -10010000 -01110101 -10000000 -01110100 -01101000 -00001000 -10001000 -01101000 -00001000 -10001111 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -01101001 -00001000 -10000000 -01101001 -00001000 -10000111 -00101000 -00111001 -00001001 -10010011 -00100000 -01111000 -00110000 -01111001 -01101001 -00001000 -10001000 -01101001 -00001000 -10001111 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -00001100 -01111001 -01101010 -00001000 -10000000 -01101010 -00001000 -10000111 -10000000 -01110100 -10010000 -01110101 -00100000 -01111000 -00110000 -01111001 -01101010 -00001000 -10001000 -01101010 -00001000 -10001111 -10000010 -10010011 -10000000 -10010010 -00001100 -01111001 -10101110 -10111011 -01101011 -00001000 -10000000 -01101011 -00001000 -10000111 -10100111 -10110000 -00111011 -00100000 -01110100 -00110000 -01110101 -10000000 -01101011 -00001000 -10001000 -01101011 -00001000 -10001111 -01111000 -10010000 -01111001 -10000010 -10010011 -00001001 -00000110 -00111011 -01101100 -00001000 -10000000 -01101100 -00001000 -10000111 -11100000 -01110100 -11110000 -01110101 -11100110 -11111011 -11100000 -10000000 -01101100 -00001000 -10001000 -01101100 -00001000 -10001111 -01110100 -10010000 -01110101 -00000001 -00100100 -10000000 -10010010 -00010100 -01101101 -00001000 -10000000 -01101101 -00001000 -10000111 -10000000 -10010000 -10010000 -01110101 -10000000 -01110100 -00100000 -01111000 -01101101 -00001000 -10001000 -01101101 -00001000 -10001111 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -01101110 -00001000 -10000000 -01101110 -00001000 -10000111 -00001001 -00110101 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -01101110 -00001000 -10001000 -01101110 -00001000 -10001111 -10010000 -01110101 -00101000 -00111001 -00001100 -00011011 -10000000 -01110100 -01101111 -00001000 -10000000 -01101111 -00001000 -10000111 -10010000 -01110101 -00100000 -01111000 -00110000 -01111001 -10000010 -10010011 -01101111 -00001000 -10001000 -01101111 -00001000 -10001111 -10000000 -10010010 -00001100 -00011011 -01101110 -01110111 -01100111 -01110000 -01110000 -00001000 -10000000 -01110000 -00001000 -10000111 -00111011 -00100000 -01110100 -00110000 -01110101 -10000000 -01111000 -10010000 -01110000 -00001000 -10001000 -01110000 -00001000 -10001111 -01111001 -10000010 -10010011 -00001001 -11010010 -00111011 -11100000 -01110100 -01110001 -00001000 -10000000 -01110001 -00001000 -10000111 -11110000 -01110101 -11100110 -11111011 -11100000 -10000000 -01110100 -10010000 -01110001 -00001000 -10001000 -01110001 -00001000 -10001111 -01110101 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -01110010 -00001000 -10000000 -01110010 -00001000 -10000111 -10010000 -01110101 -10000000 -01110100 -00100000 -01111000 -00110000 -01111001 -01110010 -00001000 -10001000 -01110010 -00001000 -10001111 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -00001001 -11010111 -01110011 -00001000 -10000000 -01110011 -00001000 -10000111 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -01110011 -00001000 -10001000 -01110011 -00001000 -10001111 -00101000 -00111001 -00001100 -10111101 -10000000 -01110100 -10010000 -01110101 -01110100 -00001000 -10000000 -01110100 -00001000 -10000111 -00100000 -01111000 -00110000 -01111001 -10000010 -10010011 -10000000 -10010010 -01110100 -00001000 -10001000 -01110100 -00001000 -10001111 -00001100 -10111101 -01001110 -01010101 -01000111 -01010000 -00111011 -00100000 -01110101 -00001000 -10000000 -01110101 -00001000 -10000111 -01110100 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -01110101 -00001000 -10001000 -01110101 -00001000 -10001111 -10010011 -01100001 -00111111 -00100000 -01110100 -00110000 -01110101 -10000000 -01110110 -00001000 -10000000 -01110110 -00001000 -10000111 -01111000 -10010000 -01111001 -00101000 -00111001 -10100001 -00111011 -11100000 -01110110 -00001000 -10001000 -01110110 -00001000 -10001111 -01110100 -11110000 -01110101 -11100110 -11111011 -11100000 -10000000 -01110100 -01110111 -00001000 -10000000 -01110111 -00001000 -10000111 -10010000 -01110101 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -01110111 -00001000 -10001000 -01110111 -00001000 -10001111 -10010000 -10010000 -01110101 -10000000 -01110100 -00100000 -01111000 -00110000 -01111000 -00001000 -10000000 -01111000 -00001000 -10000111 -01111001 -10000000 -01110100 -10010000 -01110101 -00101000 -00111001 -11001001 -01111000 -00001000 -10001000 -01111000 -00001000 -10001111 -00100000 -01111000 -00110000 -01111001 -10000000 -01110100 -10010000 -01110101 -01111001 -00001000 -10000000 -01111001 -00001000 -10000111 -00101000 -00111001 -00001100 -11000001 -10000000 -01110100 -10010000 -01110101 -01111001 -00001000 -10001000 -01111001 -00001000 -10001111 -00100000 -01111000 -00110000 -01111001 -10000010 -10010011 -10000000 -10010010 -01111010 -00001000 -10000000 -01111010 -00001000 -10000111 -00001100 -00111111 -01001000 -01101001 -10101010 -10001110 -10011001 -10000111 -01111010 -00001000 -10001000 -01111010 -00001000 -10001111 -10010000 -10001011 -01110001 -00111111 -00100000 -01110100 -00110000 -01110101 -01111011 -00001000 -10000000 -01111011 -00001000 -10000111 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -00001001 -10111001 -01111011 -00001000 -10001000 -01111011 -00001000 -10001111 -00111111 -00100000 -01110100 -00110000 -01110101 -10000000 -01111000 -10010000 -01111100 -00001000 -10000000 -01111100 -00001000 -10000111 -01111001 -10000010 -10010011 -00111001 -10101100 -00111111 -00100000 -01110100 -01111100 -00001000 -10001000 -01111100 -00001000 -10001111 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -10000010 -10010011 -01111101 -00001000 -10000000 -01111101 -00001000 -10000111 -00001001 -11101101 -00111111 -00100000 -01110100 -00110000 -01110101 -10000000 -01111101 -00001000 -10001000 -01111101 -00001000 -10001111 -01111000 -10010000 -01111001 -10000010 -10010011 -00111001 -11100000 -00111111 -01111110 -00001000 -10000000 -01111110 -00001000 -10000111 -00100000 -01110100 -00110000 -01110101 -10000000 -01111000 -10010000 -01111001 -01111110 -00001000 -10001000 -01111110 -00001000 -10001111 -00101000 -00111001 -00001001 -00100001 -00111111 -00100000 -01110100 -00110000 -01111111 -00001000 -10000000 -01111111 -00001000 -10000111 -01110101 -10000000 -01111000 -10010000 -01111001 -00101000 -00111001 -00111001 -01111111 -00001000 -10001000 -01111111 -00001000 -10001111 -00010100 -10000000 -01111000 -10010000 -01111001 -00100000 -01110100 -00110000 -00000000 -00000111 -10000000 -00000000 -00000111 -10000111 -01110101 -10000010 -10010011 -10000001 -10010010 -00001100 -01000011 -00111111 -00000000 -00000111 -10001000 -00000000 -00000111 -10001111 -01000001 -10000000 -01111000 -10010000 -01111001 -00100000 -01110100 -00110000 -00000001 -00000111 -10000000 -00000001 -00000111 -10000111 -01110101 -10000010 -10010011 -10000001 -10010010 -00001100 -11100101 -00111111 -00000001 -00000111 -10001000 -00000001 -00000111 -10001111 -11100011 -10000000 -01111000 -10010000 -01111001 -00100000 -01110100 -00110000 -00000010 -00000111 -10000000 -00000010 -00000111 -10000111 -01110101 -10000010 -10010011 -10000001 -10010010 -00001100 -10000111 -00111111 -00000010 -00000111 -10001000 -00000010 -00000111 -10001111 -10000101 -00010100 -00010011 -10000100 -10010000 -10010011 -10010001 -10010100 -00000011 -00000111 -10000000 -00000011 -00000111 -10000111 -01001000 -00111010 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -00000011 -00000111 -10001000 -00000011 -00000111 -10001111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -00000100 -00000111 -10000000 -00000100 -00000111 -10000111 -10010000 -01111011 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -00000100 -00000111 -10001000 -00000100 -00000111 -10001111 -11110000 -01110111 -11101000 -11111111 -01000000 -10000000 -01110110 -10010000 -00000101 -00000111 -10000000 -00000101 -00000111 -10000111 -01110111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -00000101 -00000111 -10001000 -00000101 -00000111 -10001111 -10010000 -01110111 -10000000 -01110110 -01010101 -11000001 -11010000 -10111100 -00000110 -00000111 -10000000 -00000110 -00000111 -10000111 -11101110 -00001001 -10111001 -00111010 -00100000 -01110110 -00110000 -01110111 -00000110 -00000111 -10001000 -00000110 -00000111 -10001111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -00000111 -00000111 -10000000 -00000111 -00000111 -10000111 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -00111010 -00000111 -00000111 -10001000 -00000111 -00000111 -10001111 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -10001111 -10000000 -00001000 -00000111 -10000000 -00001000 -00000111 -10000111 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -00001000 -00000111 -10001000 -00001000 -00000111 -10001111 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -00001001 -00000111 -10000000 -00001001 -00000111 -10000111 -00111010 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -10000100 -00001001 -00000111 -10001000 -00001001 -00000111 -10001111 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -10010000 -00001010 -00000111 -10000000 -00001010 -00000111 -10000111 -01111011 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -11110000 -00001010 -00000111 -10001000 -00001010 -00000111 -10001111 -01110111 -11101000 -11111111 -10000010 -10000000 -10000000 -01110110 -10010000 -00001011 -00000111 -10000000 -00001011 -00000111 -10000111 -01110111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -00001011 -00000111 -10001000 -00001011 -00000111 -10001111 -10010000 -01110111 -10000000 -01110110 -01010101 -00111010 -00100000 -01110110 -00001100 -00000111 -10000000 -00001100 -00000111 -10000111 -00110000 -01110111 -00101111 -00111111 -10000100 -00100000 -00111000 -00010100 -00001100 -00000111 -10001000 -00001100 -00000111 -10001111 -00100000 -00110000 -10000000 -01111010 -10010000 -01111011 -00101000 -00111001 -00001101 -00000111 -10000000 -00001101 -00000111 -10000111 -01101001 -00111010 -11100000 -01110110 -11110000 -01110111 -11101000 -11111111 -00001101 -00000111 -10001000 -00001101 -00000111 -10001111 -10001001 -10000000 -10000000 -01110110 -10010000 -01110111 -00000001 -00100100 -00001110 -00000111 -10000000 -00001110 -00000111 -10000111 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -01110111 -10000000 -00001110 -00000111 -10001000 -00001110 -00000111 -10001111 -01110110 -01010101 -00111010 -00100000 -01110110 -00110000 -01110111 -00101111 -00001111 -00000111 -10000000 -00001111 -00000111 -10000111 -00111111 -10000100 -00100000 -00111000 -00010100 -00100000 -00110000 -10000000 -00001111 -00000111 -10001000 -00001111 -00000111 -10001111 -01111010 -10010000 -01111011 -00101000 -00111001 -01101001 -00111010 -11100000 -00010000 -00000111 -10000000 -00010000 -00000111 -10000111 -01110110 -11110000 -01110111 -11101000 -11111111 -10000100 -10000000 -10000000 -00010000 -00000111 -10001000 -00010000 -00000111 -10001111 -01110110 -10010000 -01110111 -00000001 -00100100 -10000000 -10010010 -00010100 -00010001 -00000111 -10000000 -00010001 -00000111 -10000111 -10000000 -10010000 -10010000 -01110111 -10000000 -01110110 -01010101 -00111010 -00010001 -00000111 -10001000 -00010001 -00000111 -10001111 -00100000 -01110110 -00110000 -01110111 -00101111 -00111111 -10000100 -00100000 -00010010 -00000111 -10000000 -00010010 -00000111 -10000111 -00111000 -00010100 -00100000 -00110000 -10000000 -01111010 -10010000 -01111011 -00010010 -00000111 -10001000 -00010010 -00000111 -10001111 -00101000 -00111001 -01101001 -00111010 -11100000 -01110110 -11110000 -01110111 -00010011 -00000111 -10000000 -00010011 -00000111 -10000111 -11101000 -11111111 -10000011 -10000000 -10000000 -01110110 -10010000 -01110111 -00010011 -00000111 -10001000 -00010011 -00000111 -10001111 -00000001 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 -00010100 -00000111 -10000000 -00010100 -00000111 -10000111 -01110111 -10000000 -01110110 -01010101 -10000000 -10000011 -10000001 -10000100 -00010100 -00000111 -10001000 -00010100 -00000111 -10001111 -00100000 -00001001 -11010001 -10000001 -10010000 -10010000 -01100001 -10000000 -00010101 -00000111 -10000000 -00010101 -00000111 -10000111 -01100000 -10000111 -00111011 -00111101 -11111111 -10000000 -01111000 -10010000 -00010101 -00000111 -10001000 -00010101 -00000111 -10001111 -01111001 -00100000 -01110100 -00110000 -01110101 -10000010 -10010011 -10000001 -00010110 -00000111 -10000000 -00010110 -00000111 -10000100 -10010010 -00001100 -00111111 -00111111 -00111101 -10000000 -00001000 -10000000 -10000000 -00001000 -10000111 -10010100 -00000000 -10010100 -00000001 -10010100 -00000000 -10010100 -00000000 -10000000 -00001000 -10001000 -10000000 -00001000 -10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000001 -00001000 -10000000 -10000001 -00001000 -10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000001 -00001000 -10001000 -10000001 -00001000 -10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000001 -10010100 -00000000 -10000010 -00001000 -10000000 -10000010 -00001000 -10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000010 -00001000 -10001000 -10000010 -00001000 -10001111 -10010100 -00000000 -10010100 -00000011 -10010100 -00000010 -10010100 -00000000 -10000011 -00001000 -10000000 -10000011 -00001000 -10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000011 -00001000 -10001000 -10000011 -00001000 -10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000100 -00001000 -10000000 -10000100 -00001000 -10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000100 -00001000 -10001000 -10000100 -00001000 -10001111 -00100100 -10111110 -11101111 -11100000 -10111111 -10111111 -11100000 -11100110 -10000101 -00001000 -10000000 -10000101 -00001000 -10000111 -11100000 -11101100 -11100001 -11000000 -10010000 -10010010 -00110110 -00000111 -10000101 -00001000 -10001000 -10000101 -00001000 -10001111 -11110111 -11100000 -11100110 -11100000 -11000000 -10010010 -00110111 -00000111 -10000110 -00001000 -10000000 -10000110 -00001000 -10000111 -11110111 -10010100 -00000011 -10010100 -00000000 -00101111 -00100111 -01110000 -10000110 -00001000 -10001000 -10000110 -00001000 -10001111 -10111101 -10111001 -10110001 -01101001 -10111001 -00101011 -11110000 -10011010 -10000111 -00001000 -10000000 -10000111 -00001000 -10000111 -10010101 -10011000 -10010101 -10010001 -00000000 -10010001 -00000000 -10010001 -10000111 -00001000 -10001000 -10000111 -00001000 -10001111 -00000000 -10010001 -00000000 -01011111 -01001111 -01001111 -01001111 -10010011 -10001000 -00001000 -10000000 -10001000 -00001000 -10000111 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -00101011 -10001000 -00001000 -10001000 -10001000 -00001000 -10001111 -11110000 -10011000 -10010101 -10011010 -10010101 -10010110 -11100000 -00110000 -10001001 -00001000 -10000000 -10001001 -00001000 -10000111 -00000111 -11110000 -11100000 -11100000 -10010101 -11111111 -10010101 -10010101 -10001001 -00001000 -10001000 -10001001 -00001000 -10001111 -10010101 -01001111 -10010101 -10010001 -00000100 -10010001 -00000100 -10010001 -10001010 -00001000 -10000000 -10001010 -00001000 -10000111 -00000000 -10010001 -00000000 -00010111 -00000111 -11110100 -10010001 -00000000 -10001010 -00001000 -10001000 -10001010 -00001000 -10001111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -10001011 -00001000 -10000000 -10001011 -00001000 -10000111 -11000000 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10001011 -00001000 -10001000 -10001011 -00001000 -10001111 -00000000 -00011011 -00001011 -00000001 -10010101 -10010001 -00000000 -10010001 -10001100 -00001000 -10000000 -10001100 -00001000 -10000111 -00000000 -10010001 -00000100 -10010001 -00000100 -11100000 -11100000 -00010111 -10001100 -00001000 -10001000 -10001100 -00001000 -10001111 -00000111 -11110100 -11100000 -11100000 -00000001 -10010101 -10010001 -00000100 -10001101 -00001000 -10000000 -10001101 -00001000 -10000111 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -10001101 -00001000 -10001000 -10001101 -00001000 -10001111 -11110001 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10001110 -00001000 -10000000 -10001110 -00001000 -10000111 -00000000 -00010111 -00000111 -11110100 -10010001 -00000000 -10010001 -00000000 -10001110 -00001000 -10001000 -10001110 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -11100000 -11100000 -00011011 -00001011 -10001111 -00001000 -10000000 -10001111 -00001000 -10000111 -01010000 -01000000 -11110100 -11000000 -10010001 -00000100 -10010001 -00000100 -10001111 -00001000 -10001000 -10001111 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -11100000 -11100000 -00011011 -00001011 -10010000 -00001000 -10000000 -10010000 -00001000 -10000111 -01010000 -01000000 -11110000 -11100000 -11100000 -11000000 -11100000 -11100000 -10010000 -00001000 -10001000 -10010000 -00001000 -10001111 -00000001 -10010101 -10010001 -00001000 -10010001 -00001000 -10010001 -00000000 -10010001 -00001000 -10000000 -10010001 -00001000 -10000111 -10010001 -00000000 -11100000 -11100000 -00010111 -00000111 -11110100 -11100000 -10010001 -00001000 -10001000 -10010001 -00001000 -10001111 -11100000 -00000001 -10010101 -10010001 -00000000 -10010001 -00000000 -10010001 -10010010 -00001000 -10000000 -10010010 -00001000 -10000111 -00001000 -10010001 -00001000 -00010111 -00000111 -11110001 -10010001 -00000000 -10010010 -00001000 -10001000 -10010010 -00001000 -10001111 -10010001 -00000000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -10010011 -00001000 -10000000 -10010011 -00001000 -10000111 -11110100 -10010001 -00001000 -10010001 -00001000 -10010001 -00000000 -10010001 -10010011 -00001000 -10001000 -10010011 -00001000 -10001111 -00000000 -11100000 -11100000 -00011011 -00001011 -01010000 -01000000 -11110100 -10010100 -00001000 -10000000 -10010100 -00001000 -10000111 -11000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00001000 -10010001 -10010100 -00001000 -10001000 -10010100 -00001000 -10001111 -00001000 -11100000 -11100000 -00011011 -00001011 -01010000 -01000000 -11110000 -10010101 -00001000 -10000000 -10010101 -00001000 -10000111 -11100000 -11100000 -11000000 -11100000 -11100000 -00000001 -10010101 -00101011 -10010101 -00001000 -10001000 -10010101 -00001000 -10001111 -11110000 -11101000 -10111011 -11100000 -10111011 -10010101 -10111010 -10111010 -10010110 -00001000 -10000000 -10010110 -00001000 -10000111 -10010101 -10111011 -10111011 -10111011 -10111011 -10010101 -10010010 -10010010 -10010110 -00001000 -10001000 -10010110 -00001000 -10001111 -10110110 -10010010 -00100100 -10010011 -10010011 -10010011 -10010011 -10010001 -10010111 -00001000 -10000000 -10010111 -00001000 -10000111 -00000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000000 -10010110 -10010111 -00001000 -10001000 -10010111 -00001000 -10001111 -00011101 -00011101 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10011000 -00001000 -10000000 -10011000 -00001000 -10000111 -10010011 -00000000 -10010100 -10010001 -10010001 -10010001 -10010001 -10010000 -10011000 -00001000 -10001000 -10011000 -00001000 -10001111 -10111110 -10010000 -10010000 -10010101 -10010010 -10010010 -10110110 -10010010 -10011001 -00001000 -10000000 -10011001 -00001000 -10000111 -00100100 -10010011 -10010011 -10010011 -10010011 -10010001 -00000000 -10010001 -10011001 -00001000 -10001000 -10011001 -00001000 -10001111 -00000000 -10010001 -00000000 -10010001 -00000000 -10010110 -00011101 -00011101 -10011010 -00001000 -10000000 -10011010 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10011010 -00001000 -10001000 -10011010 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -00101011 -11110100 -10011001 -11000000 -10011011 -00001000 -10000000 -10011011 -00001000 -10000111 -10011010 -11000000 -10011000 -10111100 -10111100 -10010100 -10010001 -10010001 -10011011 -00001000 -10001000 -10011011 -00001000 -10001111 -10010001 -10010001 -10010000 -10111110 -10010000 -10010000 -10010101 -11100000 -10011100 -00001000 -10000000 -10011100 -00001000 -10000111 -11100000 -10010011 -00000000 -10010011 -00000000 -10110001 -00101011 -11110000 -10011100 -00001000 -10001000 -10011100 -00001000 -10001111 -10011010 -00101011 -11110000 -10011010 -00101011 -11110000 -10011010 -11001111 -10011101 -00001000 -10000000 -10011101 -00001000 -10000111 -00101111 -01010011 -00110000 -11110100 -00101111 -00100111 -11111101 -10010101 -10011101 -00001000 -10001000 -10011101 -00001000 -10001111 -10010111 -10010101 -00101111 -01010110 -00110000 -11110100 -00101111 -00100111 -10011110 -00001000 -10000000 -10011110 -00001000 -10000111 -11111101 -10010101 -01010101 -01000000 -10010101 -00101111 -01010100 -00110000 -10011110 -00001000 -10001000 -10011110 -00001000 -10001111 -11110100 -00101111 -00100111 -11111101 -10010101 -10010111 -10010101 -11101111 -10011111 -00001000 -10000000 -10011111 -00001000 -10000111 -11101111 -10010101 -10010001 -00000100 -10010001 -00000100 -01011111 -01001111 -10011111 -00001000 -10001000 -10011111 -00001000 -10001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -00000000 -10100000 -00001000 -10000000 -10100000 -00001000 -10000111 -10010001 -00000000 -11100000 -11100000 -00010111 -00000111 -11110100 -11100000 -10100000 -00001000 -10001000 -10100000 -00001000 -10001111 -11100000 -00000001 -10010101 -10010001 -00000000 -10010001 -00000000 -01011111 -10100001 -00001000 -10000000 -10100001 -00001000 -10000111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -10100001 -00001000 -10001000 -10100001 -00001000 -10001111 -00001000 -10010001 -00001000 -11100000 -11100000 -00010111 -00000111 -11110100 -10100010 -00001000 -10000000 -10100010 -00001000 -10000111 -11100000 -11100000 -00000001 -10010101 -10111010 -10111010 -10110011 -11101000 -10100010 -00001000 -10001000 -10100010 -00001000 -10001111 -10111011 -11100000 -10111011 -00100111 -10010101 -10011010 -10010001 -00000000 -10100011 -00001000 -10000000 -10100011 -00001000 -10000111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -10100011 -00001000 -10001000 -10100011 -00001000 -10001111 -11110100 -11000000 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -10100100 -00001000 -10000000 -10100100 -00001000 -10000111 -01001111 -10000001 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -10100100 -00001000 -10001000 -10100100 -00001000 -10001111 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -10100101 -00001000 -10000000 -10100101 -00001000 -10000111 -00000000 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10100101 -00001000 -10001000 -10100101 -00001000 -10001111 -00000000 -00010111 -00000111 -11110000 -10010001 -00000100 -10010001 -00000100 -10100110 -00001000 -10000000 -10100110 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110001 -10010001 -10100110 -00001000 -10001000 -10100110 -00001000 -10001111 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -10100111 -00001000 -10000000 -10100111 -00001000 -10000111 -00001011 -01010000 -01000000 -11110000 -11000000 -10010001 -00000000 -10010001 -10100111 -00001000 -10001000 -10100111 -00001000 -10001111 -00000000 -10010001 -00000000 -10010001 -00000000 -10010110 -00011101 -00011101 -10101000 -00001000 -10000000 -10101000 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10101000 -00001000 -10001000 -10101000 -00001000 -10001111 -10011000 -11000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10101001 -00001000 -10000000 -10101001 -00001000 -10000111 -10010001 -00000100 -00011011 -00001011 -01010000 -01000000 -11110110 -11000000 -10101001 -00001000 -10001000 -10101001 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000000 -10101010 -00001000 -10000000 -10101010 -00001000 -10000111 -01011111 -01001111 -01001111 -01001111 -10011000 -10010001 -00000000 -10010001 -10101010 -00001000 -10001000 -10101010 -00001000 -10001111 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -10101011 -00001000 -10000000 -10101011 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10101011 -00001000 -10001000 -10101011 -00001000 -10001111 -11001111 -00101111 -00100111 -11111101 -10010101 -10010101 -10010010 -10010010 -10101100 -00001000 -10000000 -10101100 -00001000 -10000111 -10110110 -10010010 -00100100 -10010011 -10010011 -10010011 -10010011 -10010011 -10101100 -00001000 -10001000 -10101100 -00001000 -10001111 -10010011 -10010001 -00001000 -10010001 -00001000 -10010001 -00000000 -10010001 -10101101 -00001000 -10000000 -10101101 -00001000 -10000111 -00000000 -00010111 -00000111 -11110000 -10010001 -00001000 -10010001 -00001000 -10101101 -00001000 -10001000 -10101101 -00001000 -10001111 -01011000 -01001111 -10000001 -10010001 -00001000 -10010001 -00001000 -10010110 -10101110 -00001000 -10000000 -10101110 -00001000 -10000111 -11100000 -00110000 -00000111 -11110100 -10010011 -00001000 -10010011 -00001000 -10101110 -00001000 -10001000 -10101110 -00001000 -10001111 -10111001 -10010100 -11000000 -11100000 -11100000 -11001111 -10011000 -10010001 -10101111 -00001000 -10000000 -10101111 -00001000 -10000111 -10010001 -10010001 -10010001 -10010001 -10010001 -10010000 -10111110 -10010000 -10101111 -00001000 -10001000 -10101111 -00001000 -10001111 -10010000 -10010101 -00101111 -10011010 -10010001 -00000000 -10010001 -00000000 -10110000 -00001000 -10000000 -10110000 -00001000 -10000111 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10110000 -00001000 -10001000 -10110000 -00001000 -10001111 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -10011000 -10110001 -00001000 -10000000 -10110001 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10000011 -10010001 -10110001 -00001000 -10001000 -10110001 -00001000 -10001111 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -10110010 -00001000 -10000000 -10110010 -00001000 -10000111 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -10010101 -10110010 -00001000 -10001000 -10110010 -00001000 -10001111 -10010010 -10010010 -10110110 -10010010 -00100100 -10010011 -10010011 -10010011 -10110011 -00001000 -10000000 -10110011 -00001000 -10000111 -10010011 -10010011 -10010011 -10010011 -10010011 -10011011 -11000000 -11100000 -10110011 -00001000 -10001000 -10110011 -00001000 -10001111 -11100000 -10010011 -00000000 -10010011 -00000000 -10110001 -10011010 -11001111 -10110100 -00001000 -10000000 -10110100 -00001000 -10000111 -10011011 -11000000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10110100 -00001000 -10001000 -10110100 -00001000 -10001111 -10110001 -10011010 -10011010 -10011010 -11001111 -10010001 -00000100 -10010001 -10110101 -00001000 -10000000 -10110101 -00001000 -10000111 -00000100 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -10110101 -00001000 -10001000 -10110101 -00001000 -10001111 -11100000 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110001 -10110110 -00001000 -10000000 -10110110 -00001000 -10000111 -10010001 -00000100 -10010001 -00000100 -10110001 -01011000 -01001111 -10000011 -10110110 -00001000 -10001000 -10110110 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -10010110 -11100000 -00110000 -00000111 -10110111 -00001000 -10000000 -10110111 -00001000 -10000111 -11110000 -11100000 -11100000 -10010011 -00000100 -10010011 -00000100 -10010001 -10110111 -00001000 -10001000 -10110111 -00001000 -10001111 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -10111000 -00001000 -10000000 -10111000 -00001000 -10000111 -00000111 -11110100 -11000000 -10010001 -00000100 -10010001 -00000100 -10010001 -10111000 -00001000 -10001000 -10111000 -00001000 -10001111 -00000000 -10010001 -00000000 -00010111 -00000111 -11110100 -10010001 -00000000 -10111001 -00001000 -10000000 -10111001 -00001000 -10000111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -10111001 -00001000 -10001000 -10111001 -00001000 -10001111 -01010000 -01000000 -11110101 -11000000 -11100000 -11100000 -10010011 -00000000 -10111010 -00001000 -10000000 -10111010 -00001000 -10000111 -10010011 -00000000 -10110001 -10011010 -10011010 -11001111 -10010001 -00000100 -10111010 -00001000 -10001000 -10111010 -00001000 -10001111 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -00001011 -10111011 -00001000 -10000000 -10111011 -00001000 -10000111 -01010000 -01000000 -11110000 -10010001 -00000000 -10010001 -00000000 -10010001 -10111011 -00001000 -10001000 -10111011 -00001000 -10001111 -00000000 -10010001 -00000000 -10010110 -00011101 -00011101 -10010011 -00000000 -10111100 -00001000 -10000000 -10111100 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10011010 -10110111 -10111100 -00001000 -10001000 -10111100 -00001000 -10001111 -01101000 -10111111 -10010100 -10010001 -10010001 -10010001 -10010001 -10010001 -10111101 -00001000 -10000000 -10111101 -00001000 -10000111 -10010001 -10010001 -10010001 -10010000 -10111110 -10010000 -10010000 -10010101 -10111101 -00001000 -10001000 -10111101 -00001000 -10001111 -11101111 -11100000 -10111111 -10111111 -11101010 -10111001 -10111000 -10011010 -10111110 -00001000 -10000000 -10111110 -00001000 -10000111 -10010010 -00000000 -10010010 -00000000 -10010010 -00000100 -10010010 -00000100 -10111110 -00001000 -10001000 -10111110 -00001000 -10001111 -10010010 -00001000 -10010010 -00001000 -10010010 -00000000 -10010010 -00000000 -10111111 -00001000 -10000000 -10111111 -00001000 -10000111 -10111100 -11100000 -10111001 -10110001 -01101001 -10111001 -10011000 -11101111 -10111111 -00001000 -10001000 -10111111 -00001000 -10001111 -10111111 -10111110 -10010100 -10111100 -10111100 -10110111 -01110111 -10111111 -11000000 -00001000 -10000000 -11000000 -00001000 -10000111 -10110111 -01101000 -10111111 -11100000 -10111101 -10010001 -00000000 -10010001 -11000000 -00001000 -10001000 -11000000 -00001000 -10001111 -00000000 -10010001 -00000000 -10010001 -00000000 -10011010 -10010110 -00011101 -11000001 -00001000 -10000000 -11000001 -00001000 -10000111 -00011101 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -11000001 -00001000 -10001000 -11000001 -00001000 -10001111 -00000000 -10011000 -11100000 -11100000 -10011010 -10010001 -00000000 -10010001 -11000010 -00001000 -10000000 -11000010 -00001000 -10000111 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110100 -11000010 -00001000 -10001000 -11000010 -00001000 -10001111 -11000010 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -11000011 -00001000 -10000000 -11000011 -00001000 -10000111 -10000001 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -11000011 -00001000 -10001000 -11000011 -00001000 -10001111 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -11000100 -00001000 -10000000 -11000100 -00001000 -10000111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -11000100 -00001000 -10001000 -11000100 -00001000 -10001111 -00010111 -00000111 -11110100 -11000010 -10010001 -00000100 -10010001 -00000100 -11000101 -00001000 -10000000 -11000101 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110000 -11000001 -11000101 -00001000 -10001000 -11000101 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -11000110 -00001000 -10000000 -11000110 -00001000 -10000111 -00011011 -00001011 -01010000 -01000000 -11110000 -11000001 -00100111 -11111101 -11000110 -00001000 -10001000 -11000110 -00001000 -10001111 -10010101 -00110000 -11110100 -11000001 -00110000 -11110100 -11000011 -00110000 -11000111 -00001000 -10000000 -11000111 -00001000 -10000111 -11110100 -11000011 -00110000 -11110000 -11001111 -10010001 -00000000 -10010001 -11000111 -00001000 -10001000 -11000111 -00001000 -10001111 -00000000 -10010001 -00000000 -10010001 -00000000 -10010010 -00000000 -10010010 -11001000 -00001000 -10000000 -11001000 -00001000 -10000111 -00000000 -10010010 -00000000 -10010010 -00000000 -00101111 -00100111 -11111101 -11001000 -00001000 -10001000 -11001000 -00001000 -10001111 -10010101 -00101111 -00101111 -00101111 -10011010 -10010001 -00000000 -10010001 -11001001 -00001000 -10000000 -11001001 -00001000 -10000111 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11001001 -00001000 -10001000 -11001001 -00001000 -10001111 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -11001010 -00001000 -10000000 -11001010 -00001000 -10000111 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10000011 -11001010 -00001000 -10001000 -11001010 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11001011 -00001000 -10000000 -11001011 -00001000 -10000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -11001011 -00001000 -10001000 -11001011 -00001000 -10001111 -00000001 -00100111 -11111101 -10010101 -00101111 -00101111 -10011010 -10010001 -11001100 -00001000 -10000000 -11001100 -00001000 -10000111 -00000000 -10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11001100 -00001000 -10001000 -11001100 -00001000 -10001111 -11110000 -11100000 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -11001101 -00001000 -10000000 -11001101 -00001000 -10000111 -00000111 -11110011 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -11001101 -00001000 -10001000 -11001101 -00001000 -10001111 -01001111 -10000011 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -11001110 -00001000 -10000000 -11001110 -00001000 -10000111 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -11001110 -00001000 -10001000 -11001110 -00001000 -10001111 -00000000 -10011010 -00100111 -11111101 -10010101 -00101111 -00101111 -00101111 -11001111 -00001000 -10000000 -11001111 -00001000 -10000111 -00101111 -10011010 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -11001111 -00001000 -10001000 -11001111 -00001000 -10001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -11010000 -00001000 -10000000 -11010000 -00001000 -10000111 -10010001 -00001000 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -11010000 -00001000 -10001000 -11010000 -00001000 -10001111 -10010001 -00000000 -01011000 -01001111 -10000011 -10010001 -00000000 -10010001 -11010001 -00001000 -10000000 -11010001 -00001000 -10000111 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -11010001 -00001000 -10001000 -11010001 -00001000 -10001111 -10010011 -00000000 -10010011 -00000000 -10011010 -10011010 -10010001 -00000000 -11010010 -00001000 -10000000 -11010010 -00001000 -10000111 -10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11010010 -00001000 -10001000 -11010010 -00001000 -10001111 -11100000 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11010011 -00001000 -10000000 -11010011 -00001000 -10000111 -11110011 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -11010011 -00001000 -10001000 -11010011 -00001000 -10001111 -10000011 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -11010100 -00001000 -10000000 -11010100 -00001000 -10000111 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -11010100 -00001000 -10001000 -11010100 -00001000 -10001111 -10011010 -10110101 -10110101 -00000001 -00100111 -00100111 -10010001 -00000000 -11010101 -00001000 -10000000 -11010101 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -10010001 -00000000 -10111100 -10110111 -11010101 -00001000 -10001000 -11010101 -00001000 -10001111 -01110111 -10111111 -10110111 -01101000 -10111111 -10010010 -00000000 -10010010 -11010110 -00001000 -10000000 -11010110 -00001000 -10000111 -00000000 -10010010 -00000000 -10010010 -00000000 -10111100 -10111100 -11100000 -11010110 -00001000 -10001000 -11010110 -00001000 -10001111 -10111101 -00100111 -11111101 -10010101 -00101111 -00101111 -00101111 -00101111 -11010111 -00001000 -10000000 -11010111 -00001000 -10000111 -10011010 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -11100000 -11010111 -00001000 -10001000 -11010111 -00001000 -10001111 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -10010001 -11011000 -00001000 -10000000 -11011000 -00001000 -10000111 -00001000 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -10010001 -11011000 -00001000 -10001000 -11011000 -00001000 -10001111 -00000000 -01011000 -01001111 -10000011 -10010001 -00000000 -10010001 -00000000 -11011001 -00001000 -10000000 -11011001 -00001000 -10000111 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -11011001 -00001000 -10001000 -11011001 -00001000 -10001111 -00000000 -10010011 -00000000 -10011010 -10011010 -10010001 -00000000 -10010001 -11011010 -00001000 -10000000 -11011010 -00001000 -10000111 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11011010 -00001000 -10001000 -11011010 -00001000 -10001111 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -11011011 -00001000 -10000000 -11011011 -00001000 -10000111 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10000011 -11011011 -00001000 -10001000 -11011011 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11011100 -00001000 -10000000 -11011100 -00001000 -10000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -11011100 -00001000 -10001000 -11011100 -00001000 -10001111 -00100111 -11111101 -10010101 -00101111 -00101111 -00101101 -00101111 -10011010 -11011101 -00001000 -10000000 -11011101 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -11011101 -00001000 -10001000 -11011101 -00001000 -10001111 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -10010001 -00001000 -11011110 -00001000 -10000000 -11011110 -00001000 -10000111 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -10010001 -00000000 -11011110 -00001000 -10001000 -11011110 -00001000 -10001111 -01011000 -01001111 -10000011 -10010001 -00000000 -10010001 -00000000 -10010110 -11011111 -00001000 -10000000 -11011111 -00001000 -10000111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -11011111 -00001000 -10001000 -11011111 -00001000 -10001111 -10010011 -00000000 -10011010 -00101101 -10011010 -10010001 -00000000 -10010001 -11100000 -00001000 -10000000 -11100000 -00001000 -10000111 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -00001000 -10001000 -11100000 -00001000 -10001111 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -11100001 -00001000 -10000000 -11100001 -00001000 -10000111 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10000011 -11100001 -00001000 -10001000 -11100001 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11100010 -00001000 -10000000 -11100010 -00001000 -10000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -11100010 -00001000 -10001000 -11100010 -00001000 -10001111 -10011010 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -11100011 -00001000 -10000000 -11100011 -00001000 -10000111 -00000100 -00010111 -00000111 -11110000 -11001101 -10011000 -10010001 -00000000 -11100011 -00001000 -10001000 -11100011 -00001000 -10001111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11100100 -00001000 -10000000 -11100100 -00001000 -10000111 -11110000 -11001101 -10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -11100100 -00001000 -10001000 -11100100 -00001000 -10001111 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -11001101 -10010001 -11100101 -00001000 -10000000 -11100101 -00001000 -10000111 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -11100101 -00001000 -10001000 -11100101 -00001000 -10001111 -00001011 -01010000 -01000000 -11110000 -11001110 -10011000 -00100111 -11111101 -11100110 -00001000 -10000000 -11100110 -00001000 -10000111 -10010101 -00110000 -11110000 -11001110 -10011010 -10010001 -00000000 -10010001 -11100110 -00001000 -10001000 -11100110 -00001000 -10001111 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110100 -11100111 -00001000 -10000000 -11100111 -00001000 -10000111 -11000001 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -11100111 -00001000 -10001000 -11100111 -00001000 -10001111 -10000001 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -11101000 -00001000 -10000000 -11101000 -00001000 -10000111 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -11101000 -00001000 -10001000 -11101000 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -11101001 -00001000 -10000000 -11101001 -00001000 -10000111 -00010111 -00000111 -11110100 -11000001 -10010001 -00000100 -10010001 -00000100 -11101001 -00001000 -10001000 -11101001 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110000 -11000001 -11101010 -00001000 -10000000 -11101010 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -11101010 -00001000 -10001000 -11101010 -00001000 -10001111 -00011011 -00001011 -01010000 -01000000 -11110000 -11000001 -00101111 -00100111 -11101011 -00001000 -10000000 -11101011 -00001000 -10000111 -11111101 -10010101 -10011010 -10010001 -00000000 -10010001 -00000000 -10010001 -11101011 -00001000 -10001000 -11101011 -00001000 -10001111 -00000100 -10010001 -00000100 -00010111 -00000111 -11110100 -11000001 -10011000 -11101100 -00001000 -10000000 -11101100 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10000001 -10010001 -11101100 -00001000 -10001000 -11101100 -00001000 -10001111 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11101101 -00001000 -10000000 -11101101 -00001000 -10000111 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10010001 -00000100 -11101101 -00001000 -10001000 -11101101 -00001000 -10001111 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11101110 -00001000 -10000000 -11101110 -00001000 -10000111 -11110100 -11000001 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -11101110 -00001000 -10001000 -11101110 -00001000 -10001111 -10010001 -00000000 -00010111 -00000111 -11110000 -11000001 -10010001 -00000000 -11101111 -00001000 -10000000 -11101111 -00001000 -10000111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -11101111 -00001000 -10001000 -11101111 -00001000 -10001111 -01010000 -01000000 -11110000 -11000001 -00101111 -00100111 -11111101 -10010101 -11110000 -00001000 -10000000 -11110000 -00001000 -10000111 -10011010 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -11110000 -00001000 -10001000 -11110000 -00001000 -10001111 -00000100 -00010111 -00000111 -11110100 -11000000 -10011000 -10010001 -00000000 -11110001 -00001000 -10000000 -11110001 -00001000 -10000111 -10010001 -00000000 -01011000 -01001111 -10000001 -10010001 -00000000 -10010001 -11110001 -00001000 -10001000 -11110001 -00001000 -10001111 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -11110010 -00001000 -10000000 -11110010 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 -10010001 -00000100 -10010001 -00000100 -11110010 -00001000 -10001000 -11110010 -00001000 -10001111 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110100 -11000000 -11110011 -00001000 -10000000 -11110011 -00001000 -10000111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -11110011 -00001000 -10001000 -11110011 -00001000 -10001111 -00010111 -00000111 -11110000 -11000000 -10010001 -00000000 -10010001 -00000000 -11110100 -00001000 -10000000 -11110100 -00001000 -10000111 -10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -01010000 -01000000 -11110100 -00001000 -10001000 -11110100 -00001000 -10001111 -11110000 -11000000 -00101111 -00100111 -11111101 -10010101 -10011010 -10010001 -11110101 -00001000 -10000000 -11110101 -00001000 -10000111 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -11110101 -00001000 -10001000 -11110101 -00001000 -10001111 -00000111 -11110100 -10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -11110110 -00001000 -10000000 -11110110 -00001000 -10000111 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -10011000 -10010001 -11110110 -00001000 -10001000 -11110110 -00001000 -10001111 -00000000 -10010001 -00000000 -01011000 -01001111 -10000001 -10010001 -00000000 -11110111 -00001000 -10000000 -11110111 -00001000 -10000111 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11110111 -00001000 -10001000 -11110111 -00001000 -10001111 -11100000 -10010011 -00000000 -10010011 -00000000 -10010001 -00000100 -10010001 -11111000 -00001000 -10000000 -11111000 -00001000 -10000111 -00000100 -10010001 -00000000 -10010001 -00000000 -00010111 -00000111 -11110000 -11111000 -00001000 -10001000 -11111000 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -00000000 -11111001 -00001000 -10000000 -11111001 -00001000 -10000111 -00010111 -00000111 -11110000 -11000001 -10010001 -00000000 -10010001 -00000000 -11111001 -00001000 -10001000 -11111001 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -01010000 -01000000 -11111010 -00001000 -10000000 -11111010 -00001000 -10000111 -11110000 -10011000 -10111011 -10111011 -10111011 -00101111 -00100111 -11111101 -11111010 -00001000 -10001000 -11111010 -00001000 -10001111 -10010101 -10111011 -11001100 -10011000 -10010001 -00000000 -10010001 -00000000 -11111011 -00001000 -10000000 -11111011 -00001000 -10000111 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110000 -11001110 -11111011 -00001000 -10001000 -11111011 -00001000 -10001111 -10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -11111100 -00001000 -10000000 -11111100 -00001000 -10000111 -00000100 -00010111 -00000111 -11110011 -11001110 -10011000 -10010001 -00000000 -11111100 -00001000 -10001000 -11111100 -00001000 -10001111 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11111101 -00001000 -10000000 -11111101 -00001000 -10000111 -11110000 -11001110 -10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -11111101 -00001000 -10001000 -11111101 -00001000 -10001111 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -11001110 -10011000 -11111110 -00001000 -10000000 -11111110 -00001000 -10000111 -10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -00000100 -11111110 -00001000 -10001000 -11111110 -00001000 -10001111 -00010111 -00000111 -11110000 -11001111 -10011000 -10010001 -00000000 -10010001 -11111111 -00001000 -10000000 -11111111 -00001000 -10000111 -00000000 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -11111111 -00001000 -10001000 -11111111 -00001000 -10001111 -11001111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10000000 -00000111 -10000000 -10000000 -00000111 -10000111 -00000000 -00011011 -00001011 -01010000 -01000000 -11110000 -11001111 -10011000 -10000000 -00000111 -10001000 -10000000 -00000111 -10001111 -11001111 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10000001 -00000111 -10000000 -10000001 -00000111 -10000111 -00000000 -00011011 -00001011 -01010000 -01000000 -11110000 -11001110 -10011000 -10000001 -00000111 -10001000 -10000001 -00000111 -10001111 -11001110 -10010001 -00000100 -10010001 -00000100 -10010001 -00000000 -10010001 -10000010 -00000111 -10000000 -10000010 -00000111 -10000111 -00000000 -00011011 -00001011 -01010000 -01000000 -11110000 -11001110 -10011000 -10000010 -00000111 -10001000 -10000010 -00000111 -10001111 -11001110 -10111010 -10111010 -10110011 -11101000 -10111011 -11100000 -10111011 -10000011 -00000111 -10000000 -10000011 -00000111 -10000111 -00101111 -10011010 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -10000011 -00000111 -10001000 -10000011 -00000111 -10001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -10000100 -00000111 -10000000 -10000100 -00000111 -10000111 -10010001 -00001000 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -10000100 -00000111 -10001000 -10000100 -00000111 -10001111 -10010001 -00000000 -01011000 -01001111 -10000011 -10010001 -00000000 -10010001 -10000101 -00000111 -10000000 -10000101 -00000111 -10000111 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10000101 -00000111 -10001000 -10000101 -00000111 -10001111 -10010011 -00000000 -10010011 -00000000 -10011010 -11100000 -11100000 -11001011 -10000110 -00000111 -10000000 -10000110 -00000111 -10000111 -00100011 -11110000 -11001011 -10011010 -10010001 -00000000 -10010001 -00000000 -10000110 -00000111 -10001000 -10000110 -00000111 -10001111 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10000111 -00000111 -10000000 -10000111 -00000111 -10000111 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -10011000 -10000111 -00000111 -10001000 -10000111 -00000111 -10001111 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -11100100 -10000011 -10001000 -00000111 -10000000 -10001000 -00000111 -10000111 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -10001000 -00000111 -10001000 -10001000 -00000111 -10001111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -10001001 -00000111 -10000000 -10001001 -00000111 -10000111 -10011010 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -11100000 -10001001 -00000111 -10001000 -10001001 -00000111 -10001111 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -10010001 -10001010 -00000111 -10000000 -10001010 -00000111 -10000111 -00001000 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -10010001 -10001010 -00000111 -10001000 -10001010 -00000111 -10001111 -00000000 -01011000 -01001111 -11100100 -10000011 -10010001 -00000000 -10010001 -10001011 -00000111 -10000000 -10001011 -00000111 -10000111 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10001011 -00000111 -10001000 -10001011 -00000111 -10001111 -10010011 -00000000 -10010011 -00000000 -10011010 -10011010 -10010001 -00000000 -10001100 -00000111 -10000000 -10001100 -00000111 -10000111 -10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -10001100 -00000111 -10001000 -10001100 -00000111 -10001111 -11100000 -11100000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 -10001101 -00000111 -10000000 -10001101 -00000111 -10000111 -11110011 -10011000 -10010001 -00000000 -10010001 -00000000 -01011000 -01001111 -10001101 -00000111 -10001000 -10001101 -00000111 -10001111 -11100100 -10000011 -10010001 -00000000 -10010001 -00000000 -10010110 -11100000 -10001110 -00000111 -10000000 -10001110 -00000111 -10000111 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 -10001110 -00000111 -10001000 -10001110 -00000111 -10001111 -00000000 -10011010 -10011010 -10010001 -00000000 -10010001 -00000000 -01011111 -10001111 -00000111 -10000000 -10001111 -00000111 -10000111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010001 -10001111 -00000111 -10001000 -10001111 -00000111 -10001111 -00001000 -10010001 -00001000 -00010111 -00000111 -11110011 -10011000 -10010001 -10010000 -00000111 -10000000 -10010000 -00000111 -10000111 -00000000 -10010001 -00000000 -01011000 -01001111 -11100101 -10000011 -10010001 -10010000 -00000111 -10001000 -10010000 -00000111 -10001111 -00000000 -10010001 -00000000 -10010110 -11100000 -00110000 -00000111 -11110000 -10010001 -00000111 -10000000 -10010001 -00000111 -10000111 -11100000 -11100000 -10010011 -00000000 -10010011 -00000000 -10011010 -10011010 -10010001 -00000111 -10001000 -10010001 -00000111 -10001111 -10010001 -00000000 -10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -10010010 -00000111 -10000000 -10010010 -00000111 -10000111 -00000111 -11110000 -11100000 -11100000 -10010001 -00001000 -10010001 -00001000 -10010010 -00000111 -10001000 -10010010 -00000111 -10001111 -00010111 -00000111 -11110011 -10011000 -10010001 -00000000 -10010001 -00000000 -10010011 -00000111 -10000000 -10010011 -00000111 -10000111 -01011000 -01001111 -11100101 -10000011 -10010001 -00000000 -10010001 -00000000 -10010011 -00000111 -10001000 -10010011 -00000111 -10001111 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -10010100 -00000111 -10000000 -10010100 -00000111 -10000111 -00000000 -10010011 -00000000 -10011010 -11101000 -10111011 -11100000 -10111011 -10010100 -00000111 -10001000 -10010100 -00000111 -10001111 -10010111 -11110100 -11001010 -11100000 -11100000 -10010011 -00000000 -10010011 -10010101 -00000111 -10000000 -10010101 -00000111 -10000111 -00000000 -10110001 -10011010 -10011010 -11001111 -10010001 -00000100 -10010001 -10010101 -00000111 -10001000 -10010101 -00000111 -10001111 -00000100 -10010001 -00000000 -10010001 -00000000 -00011011 -00001011 -01010000 -10010110 -00000111 -10000000 -10010110 -00000111 -10000100 -01000000 -11110000 -11001110 -10011000 -11001110 -11100111 diff --git a/doc/atmel.txt b/doc/atmel.txt deleted file mode 100644 index 58ca1a3..0000000 --- a/doc/atmel.txt +++ /dev/null @@ -1,304 +0,0 @@ -Summary - - This document describes the correlation between publicly documented - logic, I/O, and routing resources within the Atmel AT40k/94k family - of chips and bits in the bitstreams needed to program them. - - Our goal is to make this information available to the public without - restriction on its use, for the purpose of creating automated tools - which generate bitstreams. - - -Statement of Public Knowledge - - The Knowledge encapsulated in this document was derived by formal - scientific experimentation, using only information generally - available to the public. Extreme care which has been taken to - ensure that the process did not violate any copyright, trademark, - trade secret, or patent statutes. No licensing contracts or - non-disclosure agreements were entered into by the parties involved - in this endeavor, nor did they have access to any confidential - information. - - This document is part of the Public Domain; its authors surrender - claim to copyright on it. - - -Corrections - - If you find errors in this document, please correct them and add the - date and a short description of the correction to the table below. - This will assist in merging changes made in disjoint derivitaves. - - 2005.08.12 [gosset] Initial revision - 2006.03.12 [megacz] Swapped {L1,L0}->W, FB->{L0,L1}, {H0->L0,H1->L1} - 2006.03.13 [megacz] Fixed lowest bit of z=00000000; it should be "1" - 2006.03.13 [megacz] Corrected meaning of z-octet bits 2-4 in IOBs - 2006.03.13 [megacz] Added constant zeroes in IOB section - 2006.04.15 [megacz] un-swapped FB->{L0,L1} - -Background - - The Atmel AT40k Datasheet describes in great detail the resources - available in the AT40k as well as the FPGA portion of the AT94k - (which is functionally identical and uses the same binary - configuration format). - - The configuration space used to control these resources consists of - a collection of independent octets arranged in a sparse 24-bit - address space. This document correlates those bits with the - resources described in the Datasheet. - - The process of configuring the device consists of writing these - octets into the configuration memory. Once the desired - configuration octets are known, the procedures for loading them into - configuration memory are well documented in Atmel Documents 1009 and - 2313. - - Each data octet "D" has a 24-bit address, divided into three address - octets "X", "Y", and "Z". In general, the X and Y address octets - are related to the physical position of the resource, while the Z - octet is related to the type of resource being addressed. - -Notation - - We will use the notation A->B to indicate that setting the - corresponding configuration bit high causes source A to drive wire - B, and the notation A<>B to indicate that a pass gate between A and - B is enabled. The notation ~A or ~A->B indicates a configuration - bit controlling A or causing A to drive B is *active low* - (inverted). - - We will use the following terms to describe routing resources. - They vary slightly from Atmel's documentation, but are less - ambiguous. - - X, Y, W, Z The cell's inputs - XO, YO The X and Y outputs from the cell (to its neighbors) - N, S, E, W Orthogonal lines: connections to neighboring cells - NE,SE,NW,SW Diagonal lines: connections to neighboring cells - S0..S4 Quad lines: four-cell long routing lines - H0..H4 Horizontal quad lines - V0..V4 Vertical quad lines - L0..L4 Switchbox ports: the wires joining FB,H0..H4,V0..V4,X,Y,Z,W - G0a..G4b Global lines: eight-cell long routing lines, in two sets (a+b) - FB The cell's internal feedback line - R The cell's internal register - C The cell's "center" output; can drive the X or Y outputs - ZM The "Z-mux"; the mux which drives the cell's register input - WM The "W-mux"; the mux which drives the third input to the LUTs - XL, YL The output of the X,Y-LUTs - IA The "internal and" gate (W & Z) - - -Cartesian Resources - - Although the exact interpretation of the X and Y octets depends on - the resource type (Z octet), in most cases the X and Y octets are - the cartesian coordinates of the logic cell nearest to the desired - resource (0,0 is the lower-left hand logic cell). This section - describes the significance of the Z and D octets for such resources. - - Notes: - - The most significant four bits of the Z octet are 0000 for these bits - - If WZ->WM and FB->WM are both low, then W->WM. - - If ZM->R and YL->R are both low, then the XL->R - - The ZM->C and ZM->FB bits are used to bypass the register (when high). - - ~SET bit controls the set/reset behavior of the register; 0=set, 1=reset - - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |Z3:0| D octet | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0000| V4->L4 | H4->L4 | FB->L2 | FB->L3 | FB->L1 | FB->L0 | FB->L4 | 1 | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0001| ZM->R | YL->R | WZ->WM | FB->WM | ZM->C | ZM->FB | C->XO | C->YO | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0010| L4->Z | L4->Y | L3->Z | L2->Z | L1->Z | L0->Z | V4->OE | H4->OE | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0011| L2->W | L3->W | L4->W | L4->X | L0->W | L1->W |H2a<>V2a|H3b<>V3b| - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0100| N->Y | S->Y | W->Y | E->Y | L0->Y | L1->Y | L2->Y | L3->Y | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0101| SW->X | NE->X | SE->X | NW->X | L0->X | L1->X | L2->X | L3->X | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0110| X-LUT truth table, inverted | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0111| Y-LUT truth table, inverted | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |1000| V3->L3 | H3->L3 | H2->L2 | V2->L2 | V1->L1 | H0->L0 | V0->L0 | H1->L1 | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |1001|H1a<>V1a|H0a<>V0a|H0b<>V0b|H4a<>V4a|H4b<>V4b|H1b<>V1b|H3a<>V3a|H2b<>V2b| - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - ... - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - |0001| 1 | 1 | 1 | 1 | ~SET | 1 | 1 | 1 | - +----+--------+--------+--------+--------+--------+--------+--------+--------+ - - -Sector Resources - - Clocking, reset, and inter-sector repeaters are resources which are - not specific to a particular cell. As such, their X,Y addressing is - slightly different. These resources are addressed by the cartesian - coordinates of the cell above or to the right of the resource, with - an additional twist: for resources in vertical channels, the - X-coordinate is shifted right by two bits (divided by four); for - resources in horizontal channels, the Y-coordinate is shifted right - by two bits (divided by four). - - The most significant three bits of the Z-octet for a sector resource - are set to 001; the next bit (fourth most significant) is set to 0 - for horizontal channels and 1 for vertical channels. - - One sector wire and one global wire enter each side of each - repeater, for a total of four connections. Each connection has an - associated four-bit code which indicates if that connection is - driven by the repeater, and if so, which connection to the repeater - is used to drive it: - - 000 - driver disabled - 100 - source is global wire on the other side of the repeater - 010 - source is sector wire on the other side of the repeater - 001 - source is other connection on the same side of the repeater - - Example: a code of 001 for the left-hand side sector wire driver - means that the source of the driver should be the left hand side - global wire. A code of 010 for the top sector wire driver means that - the source of the driver should be the bottom sector wire. - - CC = column clock - CR = column reset - SC = sector clock - CC+ = sector clock of the sector below this one - InvSC = invert the clock source (CC or S4) before driving SC - - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | Z octet | D octet | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0000 | 1 | 0 | Left/Top G4 | Left/Top S4 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0001 | 0 | S4->CR | Right/Bottom G4 | Right/Bottom S4 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0010 | 1 | 0 | Left/Top G3 | Left/Top S3 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0011 | 1 | 1 | Right/Bottom G3 | Right/Bottom S3 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0100 | 1 | 0 | Left/Top G2 | Left/Top S2 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0101 | SC->CC+| S3->SC | Right/Bottom G2 | Right/Bottom S2 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0110 | 1 | 0 | Left/Top G1 | Left/Top S1 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_0111 | 1 | 1 | Right/Bottom G1 | Right/Bottom S1 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_1000 | 1 | 0 | Left/Top G0 | Left/Top S0 | - +----------+--------+--------+-----+-----+------+-----+-----+------+ - | 001_1001 | InvSC |~SC->CC+| Right/Bottom G0 | Right/Bottom S0 | - +----------+--------+--------+----+--------+----+-----+--------+---+ - - -Block Memories - - Although block memories are shown in the lower right hand corner of - each sector in the Atmel Datasheets, they are conceptually addressed - by the cartesian coordinate of the cell in the lower *left* hand - corner of the sector. Furthermore, both coordinates are shifted - right two bits (divided by four). - - The significance of the "D" octet for a given block memory depends - on its position; if it falls in an odd sector-column (4-7, 12-15, - etc), use the first chart; otherwise, use the second chart. - - USECLK = the memory is synchronous - ENABLE = the memory is enabled - DUAL = enable both ports on a dual-ported memory - - Odd Sector-Columns - - +--------+------+------+------+------+--------+--------+--------+---------+ - |Z octet | D octet | - +--------+------+------+------+------+--------+---------+--------+--------+ - |01000000| 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | - +--------+------+------+------+------+--------+---------+--------+--------+ - |01000001| 1 | 1 | 1 | 1 | USECLK | ~ENABLE | ENABLE | ENABLE | - +--------+------+------+------+------+--------+---------+--------+--------+ - - Even Sector-Columns - - +--------+------+------+------+------+--------+--------+--------+--------+ - |Z octet | D octet | - +--------+------+------+------+------+--------+--------+--------+--------+ - |01000000| 1 | 1 | 1 | 1 | USECLK | DUAL | ~DUAL | ENABLE | - +--------+------+------+------+------+--------+--------+--------+--------+ - |01000001| 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 | - +--------+------+------+------+------+--------+--------+--------+--------+ - - -I/O Blocks - - The Z octet for I/O resources always its most significant three bits - set to 011. The next bit is 1 for North/South IO Blocks and 0 for - East/West IO Blocks. The next bit is always 0, and the bit - following that is set to 0 for primary (orthogonally connected) - IOBs, 1 for secondary (diagonally connected) IOBs. - - S = Sector wires of this cell - S+ = Sector wires of next cell - S- = Sector wires of previous cell - G = Global wires of this cell - G+ = Global wires of next cell - Output = Allow output from this IOB - OE = when low, output is always enabled - OEM = 7 bits, one-hot encoded, chooses input to output-enable mux - USEOEM = when low, ignore the output enable mux - Delay = amount of delay to add; can be 0, 1, 3, or 5 - Slew = slew time: 11=fast, 10=med, 01=slow - Pull = 00=pullup, 11=pulldown, 01=none - - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |Z octet | D octet | - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |011_0_00| Schmit | Slew |~G2->CR| 0 | Pull | 0 | - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |011_0_01|REG->OUT| 0 | OE | Output Mux | - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |011_0010| Added Delay (primary) |PRI->S-| PRI->G+| PRI->G | PRI->S | - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |011_0110| Added Delay (secondary) |SND->S | SND->S+|PRI->REG|SND->REG| - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - |011_0_11| OEM | USEOEM | OEM | - +--------+--------+--------+------+-------+-------+--------+--------+--------+ - - -Global Clock/Reset Networks - - To drive a column clock from one of the eight global clock/reset - networks, set the corresponding bit in the desired column: - - +--------+--------+--------+ - |Z octet |X octet |Y octet | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - |10100000| column |00000000| CK1 | CK2 | CK3 | CK4 | CK5 | CK6 | CK7 | CK8 | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - -Unknown - - The following configuration resources are not fully understood, but - the values below appear to work. - - +--------+--------+--------+ - |Z octet |X octet |Y octet | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - | |00000000|00000000| 1 | 1 | 1 | 1 | 1 | 1 | GCK SRC | - |10100001|00010111|00000000| 1 | 1 | 1 | 1 | 1 | 1 | GCK SRC | - | |00101111|00000000| 1 | 1 | 1 | 1 | 1 | 1 | GCK SRC | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - |11010000|00000000|00000000| 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - |11010011|00000000|00000000| 0 | 0 | 0 | 0 | 1 | 1 | 0 | 1 | - +--------+--------+--------+-----+-----+-----+-----+-----+-----+-----+-----+ - - - - - diff --git a/doc/handwritten.notes.on.linear.bitstream.framing.png b/doc/handwritten.notes.on.linear.bitstream.framing.png new file mode 100644 index 0000000..e6678b4 Binary files /dev/null and b/doc/handwritten.notes.on.linear.bitstream.framing.png differ diff --git a/images/keyboard.png b/images/keyboard.png deleted file mode 100644 index c81f5c5..0000000 Binary files a/images/keyboard.png and /dev/null differ diff --git a/images/keyboard1.png b/images/keyboard1.png deleted file mode 100644 index 5649044..0000000 Binary files a/images/keyboard1.png and /dev/null differ diff --git a/images/keyboard2.png b/images/keyboard2.png deleted file mode 100644 index de81c20..0000000 Binary files a/images/keyboard2.png and /dev/null differ diff --git a/images/keyboard3.png b/images/keyboard3.png deleted file mode 100644 index 468626d..0000000 Binary files a/images/keyboard3.png and /dev/null differ diff --git a/lib/RXTXcomm.jar b/lib/RXTXcomm.jar deleted file mode 100644 index 84e5f01..0000000 Binary files a/lib/RXTXcomm.jar and /dev/null differ diff --git a/lib/librxtxSerial.jnilib b/lib/librxtxSerial.jnilib deleted file mode 100644 index 2d3f20d..0000000 Binary files a/lib/librxtxSerial.jnilib and /dev/null differ diff --git a/lib/librxtxSerial.so b/lib/librxtxSerial.so deleted file mode 100644 index e60c5e6..0000000 Binary files a/lib/librxtxSerial.so and /dev/null differ diff --git a/lib/rxtxSerial.dll b/lib/rxtxSerial.dll deleted file mode 100644 index c0e6b58..0000000 Binary files a/lib/rxtxSerial.dll and /dev/null differ diff --git a/misc/mpardemo.v b/misc/mpardemo.v new file mode 100644 index 0000000..27a9766 --- /dev/null +++ b/misc/mpardemo.v @@ -0,0 +1,35 @@ +module half_add(a, b, s, c); + input a, b; + output s, c; + assign s = a ^ b; + assign c = a & b; +endmodule /* half_add */ + +module add(a, b, ci, o, co); + input a, b, ci; + output o, co; + wire c1, c2, x; + half_add h1(a, b, x, c1); + half_add h2(x, ci, o, c2); + assign co = c1 | c2; +endmodule /* add */ + +module main(a, b, ci, out); + + input [7:0] a; + input [7:0] b; + input ci; + output [8:0] out; + wire [7:0] c; + + add a1(a[0], b[0], ci, out[0], c[0]); + add a2(a[1], b[1], c[0], out[1], c[1]); + add a3(a[2], b[2], c[1], out[2], c[2]); + add a4(a[3], b[3], c[2], out[3], c[3]); + add a5(a[4], b[4], c[3], out[4], c[4]); + add a6(a[5], b[5], c[4], out[5], c[5]); + add a7(a[6], b[6], c[5], out[6], c[6]); + add a8(a[7], b[7], c[6], out[7], out[8]); + +endmodule /* main */ + diff --git a/pcb/slipway.pcb b/pcb/slipway.r1.pcb similarity index 100% rename from pcb/slipway.pcb rename to pcb/slipway.r1.pcb diff --git a/pcb/slipway2.pcb b/pcb/slipway.r2.pcb similarity index 100% rename from pcb/slipway2.pcb rename to pcb/slipway.r2.pcb diff --git a/pcb/slipway3.pcb b/pcb/slipway3.pcb deleted file mode 100644 index 010b3fe..0000000 Binary files a/pcb/slipway3.pcb and /dev/null differ diff --git a/src/com/atmel/fpslic/Fpslic.java b/src/com/atmel/fpslic/Fpslic.java index b72a69b..76a95d3 100644 --- a/src/com/atmel/fpslic/Fpslic.java +++ b/src/com/atmel/fpslic/Fpslic.java @@ -77,8 +77,8 @@ public abstract class Fpslic { private int z(int z) { return (horizontal ? 0x30 : 0x20) | z; } public int code(boolean topleft) { switch(plane) { - case 0: return z(6)+(topleft?0:1); - case 1: return z(8)+(topleft?0:1); + case 0: return z(8)+(topleft?0:1); + case 1: return z(6)+(topleft?0:1); case 2: return z(2*(4-plane))+(topleft?0:1); case 3: return z(2*(4-plane))+(topleft?0:1); case 4: return z(2*(4-plane))+(topleft?0:1); @@ -117,10 +117,12 @@ public abstract class Fpslic { } public void drives(SectorWire w, boolean enable) { + // FIXME: better error checks? mode4zyx(switchbox(w), enable?0x02:0x00, 0x07); } public boolean drives(SectorWire w) { + // FIXME: better error checks? int connect = (mode4zyx(switchbox(w)) >> (global?3:0)) & 0x7; return (connect & 0x2)!=0; } @@ -171,12 +173,20 @@ public abstract class Fpslic { public final int col; public final int row; + public String toString() { return "cell@("+col+","+row+")"; } + public Cell(int col, int row) { this.row = row; this.col = col; } public Fpslic fpslic() { return Fpslic.this; } + public int hashCode() { return col ^ row ^ Fpslic.this.hashCode(); } + public boolean equals(Object o) { + if (o==null || (!(o instanceof Cell))) return false; + Cell c = (Cell)o; + return c.col == col && c.row == row && c.fpslic()==fpslic(); + } // Accessors for Neighbors ////////////////////////////////////////////////////////////////////////////// diff --git a/src/edu/berkeley/slipway/AsyncPaperDemo.java b/src/edu/berkeley/slipway/AsyncPaperDemo.java index 2877678..3fa34a9 100644 --- a/src/edu/berkeley/slipway/AsyncPaperDemo.java +++ b/src/edu/berkeley/slipway/AsyncPaperDemo.java @@ -15,7 +15,7 @@ import gnu.io.*; public class AsyncPaperDemo { - FtdiBoard fpslic; + public FtdiBoard fpslic; public AsyncPaperDemo() throws Exception { fpslic = new FtdiBoard(); @@ -464,7 +464,7 @@ public class AsyncPaperDemo { fpslic.flush(); } - private void divider(Fpslic.Cell c) { + public static void divider(Fpslic.Cell c) { Fpslic.Cell detect1 = c; Fpslic.Cell detect2 = c.east(); @@ -512,7 +512,7 @@ public class AsyncPaperDemo { } - private void runGui(int width, int height) throws Exception { + public void runGui(int width, int height) throws Exception { Gui vis = new Gui(fpslic, fpslic, width, height); Frame fr = new Frame(); fr.setTitle("SLIPWAY Live Fabric Debugger"); diff --git a/src/edu/berkeley/slipway/Demo2.java b/src/edu/berkeley/slipway/Demo2.java index 9906fca..68289db 100644 --- a/src/edu/berkeley/slipway/Demo2.java +++ b/src/edu/berkeley/slipway/Demo2.java @@ -1,5 +1,6 @@ package edu.berkeley.slipway; +import static java.awt.event.KeyEvent.*; import edu.berkeley.slipway.*; import com.atmel.fpslic.*; import static com.atmel.fpslic.FpslicConstants.*; @@ -13,19 +14,26 @@ import java.io.*; import java.util.*; import gnu.io.*; -public class Demo2 { +public class Demo2 implements KeyListener { public static void main(String[] s) throws Exception { - FtdiBoard device = new FtdiBoard(); - Fpslic at40k = device; + new Demo2().go(); + } + public FtdiBoard device; + public Demo2() throws Exception { + device = new FtdiBoard(); + } + public void go() throws Exception { long begin = System.currentTimeMillis(); //FpslicUtil.readMode4(new ProgressInputStream("configuring fabric", System.in, 111740), device); long end = System.currentTimeMillis(); Log.info(Demo.class, "finished in " + ((end-begin)/1000) + "s"); Thread.sleep(1000); + + Log.info(Demo.class, "issuing command"); - Fpslic.Cell root = at40k.cell(5,5); + Fpslic.Cell root = device.cell(5,5); root.ylut(LUT_SELF); root.yi(NORTH); @@ -36,6 +44,8 @@ public class Demo2 { root = root.north(); root.ylut(LUT_SELF); root.yi(WEST); + root.out(1, true); + root.h(1, true); root.wi(L1); root.zi(L3); @@ -53,9 +63,55 @@ public class Demo2 { root.zi(L0); //root = root.n(); + device.iob_bot(12, false).enableOutput(NW); + Fpslic.Cell c = device.cell(12, 0); + c.xo(c.east()); + while(c.east() != null && c.east().east() != null) { + c.yo(c.east()); + c = c.east(); + } device.flush(); - Gui3 vis = new Gui3(at40k, device); + Fpslic.Cell div = device.cell(19, 21); + while(true) { + AsyncPaperDemo.divider(div); + div = div.south().south(); + if (div == null) break; + } + device.flush(); + + int MAX=17; + for(int x=2; x (12 & ~0x3) && sw.north()!=null && sw.north().drives(sw)) + sw.north().drives(sw, false); + while(sw.row > (12 & ~0x3) && sw.south() != null) { + //System.out.println(sw + " -> " + sw.south()); + if (sw.drives(sw.south())!=setup) sw.drives(sw.south(), setup); + sw = sw.south(); + } + if (sw.row < (12 & ~0x3) && sw.south() != null && sw.south().drives(sw)) + sw.north().drives(sw, false); + while(sw.row < (12 & ~0x3) && sw.north() != null) { + //System.out.println(sw + " -> " + sw.north()); + if (sw.drives(sw.north())!=setup) sw.drives(sw.north(), setup); + sw = sw.north(); + } + + //cell = dev.cell(19, 15); + cell = dev.cell(cell.col, 15); + /* + System.out.println("cell is " + cell); + cell.xlut(0xff); + cell.ylut(0xff); + cell.b(false); + cell.f(false); + cell.c(XLUT); + cell.out(L3, true); + cell.oe(NONE); + */ + if (cell.hx(L3) != setup) cell.h(L3, setup); + if (cell.vx(L3) != setup) cell.v(L3, setup); + sw = cell.hwire(L3); + + if (sw.west()!=null && sw.west().drives(sw)) { sw.west().drives(sw, false); } + while(sw.east() != null) { + //System.out.println(sw + " -> " + sw.east()); + if (sw.drives(sw.east())!=setup) sw.drives(sw.east(), setup); + sw = sw.east(); + } + + } + + + private class BCB extends FtdiBoard.ByteCallback { + GuiCell c; + public BCB(GuiCell c) { + this.c = c; + } + public void call(byte b) throws Exception { + boolean on = (b & 0x80) != 0; + c.val = on; + vis.repaint(); + } + } } diff --git a/src/edu/berkeley/slipway/FtdiBoardSlave.c b/src/edu/berkeley/slipway/FtdiBoardSlave.c index c1264e7..163e717 100644 --- a/src/edu/berkeley/slipway/FtdiBoardSlave.c +++ b/src/edu/berkeley/slipway/FtdiBoardSlave.c @@ -1,282 +1,282 @@ -// -// YOU MUST COMPILE THIS WITH -O3 OR THE AVR WILL NOT BE ABLE TO KEEP UP!!!! -// - -#define F_CPU 12000000 - -#if !defined(__AVR_AT94K__) -#error you forgot to put -mmcu=at94k on the command line -#endif - -#include -#include -#include -#include - -volatile int32_t upper = 0; - -int err = 0; - -void initUART0(unsigned int baudRate, unsigned int doubleRate) { - UBRRHI = (((baudRate) >> 8) & 0x000F); - UBRR0 = ((baudRate) & 0x00FF); - UCSR0B |= ((1 << RXEN0) | (1 << TXEN0) | (1 << RXCIE0)); - - if (doubleRate) - UCSR0A |= (1 << U2X0); - else - UCSR0A &= ~(1 << U2X0); -} - -#define BUFSIZE (1024) - -long int numread = 0; -inline void cts(int c) { - numread++; - if (c) { - PORTE &= ~(1 << 7); - } else { - PORTE |= (1 << 7); - } -} - - -static volatile int sending = 0; -static volatile int32_t interrupt_count = 0; - -// RECV ////////////////////////////////////////////////////////////////////////////// - -char read_buf[BUFSIZE]; -volatile int read_buf_head; -volatile int read_buf_tail; -char write_buf[BUFSIZE]; -volatile int write_buf_head; -volatile int write_buf_tail; - -inline int inc(int x) { x++; if (x>=BUFSIZE) x=0; return x; } -inline int read_full() { return inc(read_buf_tail)==read_buf_head; } -inline int abs(int x) { return x<0 ? -x : x; } -inline int read_size() { return read_buf_tail (BUFSIZE/2); -} - -inline int write_full() { return inc(write_buf_tail)==write_buf_head; } -inline int write_empty() { return write_buf_head==write_buf_tail; } -inline int write_nearlyFull() { - if (write_buf_tail==write_buf_head) return 0; - if (write_buf_tail < write_buf_head) return (write_buf_head-write_buf_tail) < (BUFSIZE/2); - return (write_buf_tail-write_buf_head) > (BUFSIZE/2); -} - -int32_t timer = 0; - -inline char recv() { - int q; - char ret; - - PORTE |= (1<<3); - while(read_empty()) cts(1); - PORTE &= ~(1<<3); - - ret = read_buf[read_buf_head]; - read_buf_head = inc(read_buf_head); - if (!read_nearlyFull()) cts(1); - return ret; -} - -// Interrupt Handlers ////////////////////////////////////////////////////////////////////////////// - -ISR(SIG_UART0_DATA) { - if (write_empty()) { - UCSR0B &= ~(1 << UDRIE0); - return; - } - char ret = write_buf[write_buf_head]; - write_buf_head = inc(write_buf_head); - UDR0 = (int)ret; - sei(); -} - -void send(char c) { - PORTE |= (1<<2); - while (write_full()); - PORTE &= ~(1<<2); - write_buf[write_buf_tail] = c; - write_buf_tail = inc(write_buf_tail); - UCSR0B |= (1 << UDRIE0); -} - - -void fpga_interrupts(int on) { - if (on) { - //FISUA = 0x1; - FISCR = 0x80; - FISUA = 0x01; - } else { - FISUA = 0; - FISCR = 0; - } -} - -inline void conf(int z, int y, int x, int d) { - FPGAX = x; - FPGAY = y; - FPGAZ = z; - FPGAD = d; -} - -#define TIMERVAL 100 - -ISR(SIG_FPGA_INTERRUPT0) { - interrupt_count++; - sei(); -} - -volatile int dead = 0; - -ISR(SIG_OVERFLOW1) { - upper = upper + 1; - - if (!dead) { - if (PORTE & (1<<5)) PORTE &= ~(1<<5); - else PORTE |= (1<<5); - } - - TCNT1 = 0; - sei(); -} - -//void die() { dead = 1; cli(); PORTE|=(1<<5); _delay_ms(2000); while(1) { } } - -void die(int two, int three, int five) { - dead = 1; - PORTE &~ ((1<<2) | (1<<3) | (1<<5)); - if (two) PORTE |= (1<<2); - if (three) PORTE |= (1<<3); - if (five) PORTE |= (1<<5); - while(1) { } -} - -ISR(SIG_UART0_RECV) { - if (UCSR0A & (1 << FE0)) die(0, 0, 1); - if ((UCSR0A & (1 << OR0))) die(1, 1, 1); - if (read_full()) die(1, 0, 1); - - read_buf[read_buf_tail] = UDR0; - read_buf_tail = inc(read_buf_tail); - if (read_nearlyFull()) cts(0); - SREG |= 0x80; - sei(); -} - -inline int hex(char c) { - if (c >= '0' && c <= '9') return (c - '0'); - if (c >= 'a' && c <= 'f') return ((c - 'a') + 0xa); - if (c >= 'A' && c <= 'F') return ((c - 'A') + 0xa); - return -1; -} - -int readFPGA() { - fpga_interrupts(0); - int ret = FISUA; - fpga_interrupts(1); - return ret; -} - -int main() { - DDRE = (1<<7) | (1<<5) | (1<<3) | (1<<2); - PORTE = 0; - - PORTE |= (1<<5); - - read_buf_head = 0; - read_buf_tail = 0; - write_buf_head = 0; - write_buf_tail = 0; - initUART0(1, 0); //for slow board - - EIMF = 0xFF; - SREG = INT0; - sei(); - - TCNT1 = 0; - TIFR&=~(1<> 24) & 0xff); - send((local_interrupt_count >> 16) & 0xff); - send((local_interrupt_count >> 8) & 0xff); - send((local_interrupt_count >> 0) & 0xff); - - int32_t local_timer = TCNT1; - int32_t local_upper = upper; - TCCR1B = 0; - TIFR&=~(1<> 8) & 0xff); - send((local_upper >> 0) & 0xff); - send((local_timer >> 8) & 0xff); - send((local_timer >> 0) & 0xff); - break; - } - - /* - case 3: - //init_timer(); - break; - case 4: - sending = 1; - break; - case 5: - sending = 0; - break; - */ - } - } - return 0; - -} - +// +// YOU MUST COMPILE THIS WITH -O3 OR THE AVR WILL NOT BE ABLE TO KEEP UP!!!! +// + +#define F_CPU 12000000 + +#if !defined(__AVR_AT94K__) +#error you forgot to put -mmcu=at94k on the command line +#endif + +#include +#include +#include +#include + +volatile int32_t upper = 0; + +int err = 0; + +void initUART0(unsigned int baudRate, unsigned int doubleRate) { + UBRRHI = (((baudRate) >> 8) & 0x000F); + UBRR0 = ((baudRate) & 0x00FF); + UCSR0B |= ((1 << RXEN0) | (1 << TXEN0) | (1 << RXCIE0)); + + if (doubleRate) + UCSR0A |= (1 << U2X0); + else + UCSR0A &= ~(1 << U2X0); +} + +#define BUFSIZE (1024) + +long int numread = 0; +inline void cts(int c) { + numread++; + if (c) { + PORTE &= ~(1 << 7); + } else { + PORTE |= (1 << 7); + } +} + + +static volatile int sending = 0; +static volatile int32_t interrupt_count = 0; + +// RECV ////////////////////////////////////////////////////////////////////////////// + +char read_buf[BUFSIZE]; +volatile int read_buf_head; +volatile int read_buf_tail; +char write_buf[BUFSIZE]; +volatile int write_buf_head; +volatile int write_buf_tail; + +inline int inc(int x) { x++; if (x>=BUFSIZE) x=0; return x; } +inline int read_full() { return inc(read_buf_tail)==read_buf_head; } +inline int abs(int x) { return x<0 ? -x : x; } +inline int read_size() { return read_buf_tail (BUFSIZE/2); +} + +inline int write_full() { return inc(write_buf_tail)==write_buf_head; } +inline int write_empty() { return write_buf_head==write_buf_tail; } +inline int write_nearlyFull() { + if (write_buf_tail==write_buf_head) return 0; + if (write_buf_tail < write_buf_head) return (write_buf_head-write_buf_tail) < (BUFSIZE/2); + return (write_buf_tail-write_buf_head) > (BUFSIZE/2); +} + +int32_t timer = 0; + +inline char recv() { + int q; + char ret; + + PORTE |= (1<<3); + while(read_empty()) cts(1); + PORTE &= ~(1<<3); + + ret = read_buf[read_buf_head]; + read_buf_head = inc(read_buf_head); + if (!read_nearlyFull()) cts(1); + return ret; +} + +// Interrupt Handlers ////////////////////////////////////////////////////////////////////////////// + +ISR(SIG_UART0_DATA) { + if (write_empty()) { + UCSR0B &= ~(1 << UDRIE0); + return; + } + char ret = write_buf[write_buf_head]; + write_buf_head = inc(write_buf_head); + UDR0 = (int)ret; + sei(); +} + +void send(char c) { + PORTE |= (1<<2); + while (write_full()); + PORTE &= ~(1<<2); + write_buf[write_buf_tail] = c; + write_buf_tail = inc(write_buf_tail); + UCSR0B |= (1 << UDRIE0); +} + + +void fpga_interrupts(int on) { + if (on) { + //FISUA = 0x1; + FISCR = 0x80; + FISUA = 0x01; + } else { + FISUA = 0; + FISCR = 0; + } +} + +inline void conf(int z, int y, int x, int d) { + FPGAX = x; + FPGAY = y; + FPGAZ = z; + FPGAD = d; +} + +#define TIMERVAL 100 + +ISR(SIG_FPGA_INTERRUPT0) { + interrupt_count++; + sei(); +} + +volatile int dead = 0; + +ISR(SIG_OVERFLOW1) { + upper = upper + 1; + + if (!dead) { + if (PORTE & (1<<5)) PORTE &= ~(1<<5); + else PORTE |= (1<<5); + } + + TCNT1 = 0; + sei(); +} + +//void die() { dead = 1; cli(); PORTE|=(1<<5); _delay_ms(2000); while(1) { } } + +void die(int two, int three, int five) { + dead = 1; + PORTE &~ ((1<<2) | (1<<3) | (1<<5)); + if (two) PORTE |= (1<<2); + if (three) PORTE |= (1<<3); + if (five) PORTE |= (1<<5); + while(1) { } +} + +ISR(SIG_UART0_RECV) { + if (UCSR0A & (1 << FE0)) die(0, 0, 1); + if ((UCSR0A & (1 << OR0))) die(1, 1, 1); + if (read_full()) die(1, 0, 1); + + read_buf[read_buf_tail] = UDR0; + read_buf_tail = inc(read_buf_tail); + if (read_nearlyFull()) cts(0); + SREG |= 0x80; + sei(); +} + +inline int hex(char c) { + if (c >= '0' && c <= '9') return (c - '0'); + if (c >= 'a' && c <= 'f') return ((c - 'a') + 0xa); + if (c >= 'A' && c <= 'F') return ((c - 'A') + 0xa); + return -1; +} + +int readFPGA() { + fpga_interrupts(0); + int ret = FISUA; + fpga_interrupts(1); + return ret; +} + +int main() { + DDRE = (1<<7) | (1<<5) | (1<<3) | (1<<2); + PORTE = 0; + + PORTE |= (1<<5); + + read_buf_head = 0; + read_buf_tail = 0; + write_buf_head = 0; + write_buf_tail = 0; + initUART0(1, 0); //for slow board + + EIMF = 0xFF; + SREG = INT0; + sei(); + + TCNT1 = 0; + TIFR&=~(1<> 24) & 0xff); + send((local_interrupt_count >> 16) & 0xff); + send((local_interrupt_count >> 8) & 0xff); + send((local_interrupt_count >> 0) & 0xff); + + int32_t local_timer = TCNT1; + int32_t local_upper = upper; + TCCR1B = 0; + TIFR&=~(1<> 8) & 0xff); + send((local_upper >> 0) & 0xff); + send((local_timer >> 8) & 0xff); + send((local_timer >> 0) & 0xff); + break; + } + + /* + case 3: + //init_timer(); + break; + case 4: + sending = 1; + break; + case 5: + sending = 0; + break; + */ + } + } + return 0; + +} + diff --git a/src/edu/berkeley/slipway/MPARDemo.java b/src/edu/berkeley/slipway/MPARDemo.java new file mode 100644 index 0000000..2a2ca00 --- /dev/null +++ b/src/edu/berkeley/slipway/MPARDemo.java @@ -0,0 +1,798 @@ +import com.atmel.fpslic.*; +import byucc.edif.tools.merge.*; +import byucc.edif.*; +import java.io.*; +import java.util.*; +import edu.berkeley.slipway.*; +import com.atmel.fpslic.*; +import static com.atmel.fpslic.FpslicConstants.*; + +public class MPARDemo { + + public static final double alphaParameter = 00.9; + public static final double betaParameter = 20.0; + public static final double gammaParameter = 1.0; + + public static class FlatNetlist { + + private HashMap ids = new HashMap(); + + public HashSet nodes = new HashSet(); + public HashSet nets = new HashSet(); + + /** a node is some primitive element; a potential configuration of a CLB */ + public class Node { + public PhysicalDevice.PhysicalCell physicalCell = null; + private final String type; + private final int id; + + public int x = -1; + public int y = -1; + + private HashMap ports = new HashMap(); + + public Node(String type) { + nodes.add(this); + this.type = type.toLowerCase(); + Integer num = ids.get(type); + this.id = num == null ? 0 : num.intValue(); + ids.put(type, this.id+1); + } + public String getType() { return type; } + public String toString() { + if (x==-1 || y==-1) + return type + "["+id+"]"; + return type + "@("+x+","+y+")"; + } + public Port getPort(String name, boolean driver) { + Port p = ports.get(name); + if (p==null) ports.put(name, p = new Port(name, driver)); + return p; + } + + public Fpslic.Cell getPlacement(Fpslic fpslic) { return fpslic.cell(x, y); } + public void place(Fpslic fpslic) { + Fpslic.Cell cell = fpslic.cell(x,y); + cell.c(XLUT); + cell.b(false); + cell.f(false); + cell.xi(NW); + cell.yi(EAST); + if (type.equals("and2")) cell.xlut(LUT_SELF & LUT_OTHER); + else if (type.equals("or2")) cell.xlut(LUT_SELF | LUT_OTHER); + else if (type.equals("xor2")) cell.xlut(LUT_SELF ^ LUT_OTHER); + else if (type.equals("buf")) cell.xlut(LUT_SELF); + else if (type.equals("inv")) cell.xlut(~LUT_SELF); + else if (type.equals("cell0")) return; + } + + private int portIndex = 0; + + /** a port is an input or output to a Node */ + public class Port { + private final String name; + private final boolean driver; + Net net; + public final int index; + public Port(String name, boolean driver) { + this.name = name; + this.driver = driver; + this.index = driver ? 0 : portIndex++; + } + public String toString() { return Node.this + "." + name; } + public Node getNode() { return Node.this; } + public void connect(Port p) { + if (net != null) { net.add(p); + } else if (p.net != null) { p.net.add(this); + } else { + new Net().add(this); + this.net.add(p); + } + } + public void route(Fpslic fpslic, Port[] dests, PhysicalDevice pd) { + PhysicalDevice.PhysicalNet[] destsp = new PhysicalDevice.PhysicalNet[dests.length]; + for(int i=0; i= 5) throw new RuntimeException("unroutable!"); + Fpslic.Cell turnCell = fpslic.cell(dest.getNode().x, getNode().y); + driverCell.out(free, true); + driverCell.h(free, true); + turnCell.h(free, true); + turnCell.v(free, true); + switch(dest.index) { + case 0: destCell.xi(L0 + free); break; + case 1: destCell.yi(L0 + free); break; + case 2: destCell.wi(L0 + free); break; + case 3: destCell.zi(L0 + free); break; + default: throw new RuntimeException("error"); + } + destCell.v(free, true); + System.out.println("route " + this + " -> " + dest + " on planes " + free); + */ + } + } + } + + /** a Net is a collection of ports which are wired together */ + public class Net implements Iterable { + private Node.Port driver = null; + private HashSet ports = new HashSet(); + public Net() { nets.add(this); } + public Iterator iterator() { return ports.iterator(); } + public int getSize() { return ports.size(); } + public void route(Fpslic fpslic, PhysicalDevice pd) { + if (driver == null) return; + //System.out.println(); + //System.out.println("routing " + this); + Node.Port[] dests = new Node.Port[ports.size() - (ports.contains(driver) ? 1 : 0)]; + int i = 0; + for(Node.Port p : ports) + if (p != driver) + dests[i++] = p; + driver.route(fpslic, dests, pd); + } + public void add(Node.Port p) { + if (p.driver) { + if (driver != null && driver != p) + throw new RuntimeException("two drivers on a port!\n "+driver+"\n "+p); + driver = p; + } + if (p.net==this || ports.contains(p)) return; + ports.add(p); + add(p.net); + p.net = this; + } + public void add(Net n) { + if (n==this || n==null) return; + for(Node.Port p : n) add(p); + nets.remove(n); + } + public String toString() { + StringBuffer ret = new StringBuffer(); + ret.append(driver==null ? "()" : driver.toString()); + ret.append(" -> "); + for(Node.Port p : this) + if (p!=driver) + ret.append(p+" "); + return ret.toString(); + } + } + + + public HashMap cache = + new HashMap(); + public HashMap top = + new HashMap(); + + public FlatNetlist.Node createNode(EdifCellInstance eci, String portName) { + FlatNetlist.Node n = eci==null ? top.get(portName) : cache.get(eci); + if (n != null) return n; + if (eci==null) { + n = new FlatNetlist.Node("top_"+portName); + top.put(portName, n); + return n; + } else { + n = new FlatNetlist.Node(eci.getType()); + cache.put(eci,n); + } + for(EdifPortRef epr : eci.getAllEPRs()) { + EdifPort ep = epr.getPort(); + EdifNet en = epr.getNet(); + String name = ep.getOldName(); + boolean driver = ep.getDirection()==ep.OUT; + if (eci==null) driver = !driver; + if (eci==null) name = driver ? "out" : "xi"; + FlatNetlist.Node.Port p = n.getPort(name, driver); + for(EdifPortRef epr2 : en.getConnectedPortRefs()) { + EdifCellInstance eci2 = epr2.getCellInstance(); + EdifPort ep2 = epr2.getPort(); + Node n2 = createNode(eci2, ep2.getOldName()); + driver = ep2.getDirection()==ep.OUT; + name = ep2.getOldName(); + if (eci2==null) driver = !driver; + if (eci2==null) name = driver ? "out" : "xi"; + FlatNetlist.Node.Port p2 = n2.getPort(name, driver); + p.connect(p2); + } + } + return n; + } + } + + /* + test code for inter-sector switchboxes + public static void main2() throws Exception { + Fpslic fpslic = new FtdiBoard(); + // set up scan cell + fpslic.cell(23,15).h(3, true); + fpslic.cell(23,15).yi(L3); + fpslic.cell(23,15).ylut(0xAA); + fpslic.iob_right(15, true).enableOutput(WEST); + fpslic.cell(23,0).ylut(0x00); + fpslic.iob_right(0, true).enableOutput(WEST); + fpslic.flush(); + for(int x=0; x<20; x++) { + for(int y=0; y<20; y++) { + for(int l=0; l<5; l++) { + for(int v = 0; v <= 1; v++) { + boolean vert = v==1; + int newx = vert ? x : x-1; + int newy = vert ? y-1 : y; + if (newx<0 || newy<0) continue; + if (vert && (y%4) != 0) continue; + if (!vert && (x%4) != 0) continue; + + int layer = l; + if (layer==3) continue; + Fpslic.Cell c = fpslic.cell(x, y); + Fpslic.Cell c2 = fpslic.cell(newx, newy); + Fpslic.SectorWire sw1 = vert ? c.vwire(layer) : c.hwire(layer); + Fpslic.SectorWire sw2 = vert ? c2.vwire(layer) : c2.hwire(layer); + sw1.drives(sw2, true); + + c.c(YLUT); + if (vert) c.v(L0 + layer, true); + else c.h(L0 + layer, true); + c.out(L0 + layer, true); + c.b(false); + + c2.yi(L0 + layer); + if (vert) c2.v(L0 + layer, true); + else c2.h(L0 + layer, true); + c2.ylut(LUT_SELF); + c2.c(YLUT); + c2.b(false); + + System.out.print(x+","+y+","+l+","+(vert?"v":"h")+": "); + c.ylut(0x00); + fpslic.flush(); + boolean good = scan(fpslic, c2)==0; + if (!good) fails++; + System.out.print(good ? "ok " : "bad "); + c.ylut(0xff); + fpslic.flush(); + good = scan(fpslic, c2)!=0; + if (!good) fails++; + System.out.print(good ? "ok " : "bad "); + System.out.println(); + sw1.drives(sw2, false); + if (vert) c.v(layer, false); + else c.h(layer, false); + c.out(layer, false); + } + } + } + } + System.out.println("fails = " + fails); + + } + public static int fails = 0; + */ + + public static void main(String[] s) throws Exception { + EdifEnvironment topEnv = new EdifEnvironment("top"); + EdifLibraryManager elm = new EdifLibraryManager(topEnv); + EdifLibrary initLib = new EdifLibrary(elm, "initLib"); + EdifEnvironment env = EdifMergeParser.parseAndMerge(s, initLib); + System.out.println("top is " + env.getTopCell()); + FlatNetlist fnl = new FlatNetlist(); + + for(Iterator it = (Iterator)env.getTopCell().cellInstanceIterator(); + it.hasNext(); + ) { + FlatNetlist.Node n = fnl.createNode(it.next(), null); + } + + Fpslic fpslic = new FtdiBoard(); + PhysicalDevice pd = new PhysicalDevice(fpslic, 20, 20); + + int px = 0; + int py = 0; + + // crude map + Random rand = new Random(); + boolean[][] used = new boolean[pd.width][pd.height]; + for(FlatNetlist.Node n : fnl.nodes) { + while(true) { + px = Math.abs(rand.nextInt()) % pd.width; + py = Math.abs(rand.nextInt()) % pd.height; + if (!used[px][py]) { + used[px][py] = true; + n.x = px; + n.y = py; + n.physicalCell = pd.getCell(px, py); + System.out.println("placed " + n + " at ("+px+","+py+")"); + n.place(fpslic); + break; + } + } + } + + int trial = 0; + while(true) { + System.out.println(); + System.out.println("routing trial " + (++trial)); + for(FlatNetlist.Net net : fnl.nets) { + if (net.getSize() <= 1) continue; + net.route(fpslic, pd); + } + double congestion = 0; + int overrouted = 0; + for(PhysicalDevice.PhysicalNet pn : pd.allPhysicalNets) { + if (pn.load > 1) { + //System.out.println("overrouted: " + pn + ", congestion="+pn.congestion); + overrouted++; + congestion += pn.congestion; + } + pn.congestion = pn.congestion * alphaParameter; + if (pn.load > 1) { + pn.congestion += betaParameter; + } + pn.load = 0; + } + System.out.println(" overrouted="+overrouted+", congestion="+congestion); + if (overrouted <= 0) break; + for(PhysicalDevice.PhysicalNet pn : pd.allPhysicalNets) + for(PhysicalDevice.PhysicalPip pip : pn) { + pip.set(false); + } + } + + // set up scan cell + fpslic.cell(23,15).h(3, true); + fpslic.cell(23,15).yi(L3); + fpslic.cell(23,15).ylut(0xAA); + fpslic.iob_right(15, true).enableOutput(WEST); + fpslic.cell(23,0).ylut(0x00); + fpslic.iob_right(0, true).enableOutput(WEST); + fpslic.flush(); + + int width = 8; + while(true) { + int a = Math.abs(rand.nextInt()) % (1 << width); + int b = Math.abs(rand.nextInt()) % (1 << width); + setInput(fnl, fpslic, "a", a); + setInput(fnl, fpslic, "b", b); + setInput(fnl, fpslic, "ci", 0); + int result = getOutput(fnl, fpslic, "out"); + System.out.println(Integer.toString(a,16) + " + " + + Integer.toString(b,16) + " = " + + Integer.toString(result,16) + + " [ " + (a+b==result ? "ok" : "bad" ) + " ] "); + } + } + + public static class PhysicalDevice { + private final Fpslic fpslic; + + public final int width; + public final int height; + private final PhysicalNet[][][][] sectorWires; + private final PhysicalCell[][] cells; + + public PhysicalCell getCell(int col, int row) { + if (col<0) return null; + if (row<0) return null; + if (col>=width) return null; + if (row>=height) return null; + return cells[col][row]; + } + + public PhysicalDevice(final Fpslic fpslic, int width, int height) { + this.fpslic = fpslic; + this.width = width; + this.height = height; + sectorWires = new PhysicalNet[width][height][5][2]; + for(int x=0; x remainingDests = new HashSet(); + for(PhysicalNet dest : dests) remainingDests.add(dest); + + HashSet needsReset = new HashSet(); + PriorityQueue pq = new PriorityQueue(); + needsReset.add(source); + source.distance = 0; + pq.add(source); + + OUTER: while(true) { + PhysicalNet pn = pq.poll(); + if (pn==null) throw new Error("unroutable! " + source + " -> " + dests[0]); + double frontier = pn.distance; + for(PhysicalPip pip : pn) + for(PhysicalNet net : pip.getDrivenNets()) { + double newfrontier = frontier + (1/*pip.getCost(pn, net)*/ * (1.0+net.congestion)); + + if (net.load >= 1) newfrontier = newfrontier + 200; + + if (net.distance <= newfrontier) continue; + pq.remove(net); // if already in there + net.distance = newfrontier; + pq.add(net); + needsReset.add(net); + net.backpointer = pn; + if (remainingDests.contains(net)) { + remainingDests.remove(net); + if (remainingDests.size()==0) break OUTER; + } + } + } + + for(PhysicalNet dest : dests) { + PhysicalNet pn = dest; + while(pn != null && pn.backpointer != null) { + if (pn.distance != Double.MAX_VALUE) { + pn.distance = Double.MAX_VALUE; + pn.load++; + } + PhysicalPip pip = pn.getPipFrom(pn.backpointer); + pip.set(true); + pn = pn.backpointer; + } + // FIXME: check pn==source at this point + } + + for(PhysicalNet pn : needsReset) { + pn.distance = Double.MAX_VALUE; + pn.backpointer = null; + } + } + public HashSet allPhysicalNets = new HashSet(); + public class PhysicalNet implements Iterable, Comparable { + public double congestion = 0; + public int load = 0; + public double distance = Double.MAX_VALUE; + public PhysicalNet backpointer = null; + + public int compareTo(PhysicalNet pn) { + double x = distance - pn.distance; + return distance > pn.distance + ? 1 + : distance < pn.distance + ? -1 + : 0; + } + + private final HashSet pips = new HashSet(); + public Iterator iterator() { return pips.iterator(); } + private String name; + public PhysicalNet(String name) { + this.name = name; + allPhysicalNets.add(this); + } + public String toString() { return name; } + private void addPip(PhysicalPip pip) { pips.add(pip); } + public PhysicalPip getPipFrom(PhysicalNet pn) { + for(PhysicalPip pip : pn) + for(PhysicalNet pn2 : pip.getDrivenNets()) + if (pn2==this) + return pip; + return null; + } + } + + public abstract class PhysicalPip { + private PhysicalNet driver; + private PhysicalNet[] driven; + private String name; + private int defaultCost; + public String toString() { return name; } + public PhysicalNet getDriverNet() { return driver; } + public PhysicalNet[] getDrivenNets() { return driven; } + public int getCost(PhysicalNet in, PhysicalNet out) { return defaultCost; } + public PhysicalPip(String name, PhysicalNet driver, PhysicalNet[] driven) { this(name, driver, driven, 100); } + public PhysicalPip(String name, PhysicalNet driver, PhysicalNet[] driven, int defaultCost) { + this.name = name; + this.driver = driver; + this.driven = driven; + this.defaultCost = defaultCost; + if (driver != null) driver.addPip(this); + for(PhysicalNet pn : driven) pn.addPip(this); + } + public abstract void set(boolean connected); + } + + } + + private static int ret; + public static synchronized int scan(final Fpslic device, final Fpslic.Cell cell) { + try { + scan(device, cell, YLUT, true); + ((FtdiBoard)device).readBus(new FtdiBoard.ByteCallback() { + public void call(byte b) throws Exception { + ret = b; + synchronized(device) { + device.notifyAll(); + } + } + }); + synchronized(device) { + try { + device.wait(); + } catch (Exception e) { throw new RuntimeException(e); } + } + scan(device, cell, YLUT, false); + return ret; + } catch (Exception e) { throw new RuntimeException(e); } + } + + public static void scan(Fpslic dev, Fpslic.Cell cell, int source, boolean setup) { + if (setup) { + //if (source != NONE) cell.c(source); + if (cell.b()) cell.b(false); + if (cell.f()) cell.f(false); + } + if (cell.out(L3)!=setup) cell.out(L3, setup); + if (cell.vx(L3)!=setup) cell.v(L3, setup); + + Fpslic.SectorWire sw = cell.vwire(L3); + //System.out.println("wire is: " + sw); + + if (sw.row > (12 & ~0x3) && sw.north()!=null && sw.north().drives(sw)) + sw.north().drives(sw, false); + while(sw.row > (12 & ~0x3) && sw.south() != null) { + //System.out.println(sw + " -> " + sw.south()); + if (sw.drives(sw.south())!=setup) sw.drives(sw.south(), setup); + sw = sw.south(); + } + if (sw.row < (12 & ~0x3) && sw.south() != null && sw.south().drives(sw)) + sw.north().drives(sw, false); + while(sw.row < (12 & ~0x3) && sw.north() != null) { + //System.out.println(sw + " -> " + sw.north()); + if (sw.drives(sw.north())!=setup) sw.drives(sw.north(), setup); + sw = sw.north(); + } + + //cell = dev.cell(19, 15); + cell = dev.cell(cell.col, 15); + /* + System.out.println("cell is " + cell); + cell.xlut(0xff); + cell.ylut(0xff); + cell.b(false); + cell.f(false); + cell.c(XLUT); + cell.out(L3, true); + cell.oe(NONE); + */ + if (cell.hx(L3) != setup) cell.h(L3, setup); + if (cell.vx(L3) != setup) cell.v(L3, setup); + sw = cell.hwire(L3); + + if (sw.west()!=null && sw.west().drives(sw)) { sw.west().drives(sw, false); } + while(sw.east() != null) { + //System.out.println(sw + " -> " + sw.east()); + if (sw.drives(sw.east())!=setup) sw.drives(sw.east(), setup); + sw = sw.east(); + } + + } + + public static void setInput(FlatNetlist fnl, Fpslic fpslic, String prefix, int val) { + for(int i=0; ; i++) { + FlatNetlist.Node n = fnl.top.get(prefix + "["+i+"]"); + if (n==null && i==0) n = fnl.top.get(prefix); + if (n==null) return; + Fpslic.Cell c = n.getPlacement(fpslic); + c.c(XLUT); + c.b(false); + c.xlut((val & 0x1)==0 ? 0x00 : 0xff); + val = val >> 1; + } + } + public static int getOutput(FlatNetlist fnl, Fpslic fpslic, String prefix) { + int val = 0; + for(int i=0; ; i++) { + FlatNetlist.Node n = fnl.top.get(prefix+"["+i+"]"); + if (n==null && i==0) n = fnl.top.get(prefix); + if (n==null) return val; + Fpslic.Cell c = n.getPlacement(fpslic); + c.xlut(LUT_SELF); + c.c(XLUT); + c.b(false); + fpslic.flush(); + int scan = scan(fpslic, c); + val |= ((scan==0 ? 0 : 1) << i); + } + } + + +} \ No newline at end of file diff --git a/src/edu/berkeley/slipway/gui/G.java b/src/edu/berkeley/slipway/gui/G.java index 18ad767..e1c5ec7 100644 --- a/src/edu/berkeley/slipway/gui/G.java +++ b/src/edu/berkeley/slipway/gui/G.java @@ -33,7 +33,11 @@ public class G { g.draw(new Line2D.Double(x1, y1, x2, y2)); g.setStroke(new BasicStroke(1)); } - + public void setFont(Font f) { g.setFont(f); } + public void drawString(String s, P p) { drawString(s, p.x, p.y); } + public void drawString(String s, double x, double y) { + g.drawString(s, (int)x, (int)y); + } public void color(Color c) { g.setColor(c); } public void color(int color) { g.setColor(new Color((color >> 16) & 0xff, @@ -45,6 +49,7 @@ public class G { private ArrayList transformStack = new ArrayList(); + public AffineTransform getTransform() { return g.getTransform(); } public void pushTransform() { transformStack.add(new AffineTransform(g.getTransform())); } diff --git a/src/edu/berkeley/slipway/gui/Gui3.java b/src/edu/berkeley/slipway/gui/Gui3.java index 76e2f87..c24ec1d 100644 --- a/src/edu/berkeley/slipway/gui/Gui3.java +++ b/src/edu/berkeley/slipway/gui/Gui3.java @@ -4,6 +4,7 @@ import com.atmel.fpslic.*; import edu.berkeley.slipway.*; import static com.atmel.fpslic.FpslicConstants.*; import static com.atmel.fpslic.FpslicUtil.*; +import static java.awt.event.KeyEvent.*; import edu.berkeley.slipway.*; import java.awt.*; import java.awt.geom.*; @@ -15,7 +16,7 @@ import java.util.*; import javax.swing.*; import static edu.berkeley.slipway.gui.GuiConstants.*; -public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListener { +public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListener, KeyListener { Fpslic at40k; FtdiBoard drone; @@ -23,7 +24,7 @@ public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListe private int width; private int height; private int magnify = 0; - private GuiCell[][] ca = new GuiCell[128][]; + public GuiCell[][] ca = new GuiCell[128][]; private FtdiBoard ftdiboard; public Gui3(Fpslic at40k, FtdiBoard drone) { this(at40k, drone, 24, 24); @@ -40,6 +41,7 @@ public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListe ca[x][y] = new GuiCell(at40k.cell(x, y)); addMouseWheelListener(this); addMouseMotionListener(this); + addKeyListener(this); } public void mouseWheelMoved(MouseWheelEvent e) { @@ -47,12 +49,14 @@ public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListe repaint(); } + Fpslic.Cell selectedCell = null; public void _paint(Graphics2D g_) { int SIZE = 100; //g_.setStroke(new BasicStroke((float)1.0/SIZE)); g_.setRenderingHint(RenderingHints.KEY_ANTIALIASING, RenderingHints.VALUE_ANTIALIAS_ON); g_.setRenderingHint(RenderingHints.KEY_RENDERING, RenderingHints.VALUE_RENDER_QUALITY); G g = new G(g_); + g.pushTransform(); AffineTransform at = new AffineTransform(); at.translate(getWidth()/2, getHeight()/2); at.scale(1, -1); @@ -82,13 +86,60 @@ public class Gui3 extends Canvas implements MouseWheelListener, MouseMotionListe } P mouse = new P(mousex, mousey); mouse = mouse.inverseTransform(at); + selectedCell = null; for(int x=0; x= r.minx() + && miny() >= r.miny() + && maxx() <= r.maxx() + && maxy() <= r.maxy(); + } } \ No newline at end of file