From b89edf07b45b7821a31388f2467ad74d99870d60 Mon Sep 17 00:00:00 2001 From: adam Date: Mon, 25 Sep 2006 01:40:11 +0100 Subject: [PATCH] cleanup --- Makefile | 1 + bitstreams/slipway_drone.bst | 6362 ++++++++++++++---------- images/keyboard.png | Bin 0 -> 69614 bytes images/keyboard1.png | Bin 0 -> 73627 bytes images/keyboard2.png | Bin 0 -> 38275 bytes images/keyboard3.png | Bin 0 -> 35080 bytes src/com/atmel/fpslic/Fpslic.java | 26 + src/edu/berkeley/slipway/AsyncPaperDemo.java | 260 +- src/edu/berkeley/slipway/FtdiBoardSlave.c | 15 +- src/edu/berkeley/slipway/gui/Gui.java | 18 +- src/edu/berkeley/slipway/gui/ZoomingPanel.java | 33 +- 11 files changed, 3954 insertions(+), 2761 deletions(-) create mode 100644 images/keyboard.png create mode 100644 images/keyboard1.png create mode 100644 images/keyboard2.png create mode 100644 images/keyboard3.png diff --git a/Makefile b/Makefile index 639d5a2..7eb0c16 100644 --- a/Makefile +++ b/Makefile @@ -65,6 +65,7 @@ bitstreams/slipway_drone.bst: build/slipway_drone.hex rm /afs/research.cs.berkeley.edu/user/megacz/edu.berkeley.obits/usbdrone.hex diff -u /afs/research.cs.berkeley.edu/user/megacz/stupid/fpslic_stupid.bst $@ && exit -1; true mv /afs/research.cs.berkeley.edu/user/megacz/stupid/fpslic_stupid.bst $@ + touch $@ diff --git a/bitstreams/slipway_drone.bst b/bitstreams/slipway_drone.bst index dfecad4..8019420 100644 --- a/bitstreams/slipway_drone.bst +++ b/bitstreams/slipway_drone.bst @@ -4,8 +4,8 @@ 00000000 10000000 00001101 -00000001 -11011101 +00000010 +00011011 00000000 00000000 11010100 @@ -25,7 +25,7 @@ 00001100 01001000 00001100 -01100011 +11000001 00001100 01100011 00001100 @@ -95,9 +95,9 @@ 00001100 01100011 00001100 -11101010 +11110100 00001100 -01111101 +10000111 00001100 01100011 00000011 @@ -139,7 +139,7 @@ 00001100 01100011 00001100 -01100110 +01100011 00001100 01100011 00000100 @@ -164,7 +164,7 @@ 10000111 10110000 11101100 -11111110 +11110000 00000010 00000101 00001101 @@ -192,7 +192,7 @@ 10000111 11100001 00001100 -10010001 +10011011 00001100 00000000 00101001 @@ -627,10 +627,10 @@ 00101001 10000000 10000011 -10001000 -10000111 +10000001 +10000100 00001000 -00010111 +00010100 00010011 00010110 00001000 @@ -644,155 +644,84 @@ 10001010 00101011 00001000 -00011111 -00001111 +11111000 +00111101 00010110 00001000 10001000 00010110 00001000 10001111 -00001111 -00001111 -00010001 -10001111 -10011111 -10101111 -10111111 -10000000 -00010111 -00001000 -10000000 -00010111 -00001000 -10000111 -01100110 -10010000 -01100111 -10100000 -01101000 -10110000 -01101001 -00000001 -00010111 -00001000 -10001000 -00010111 -00001000 -10001111 -10100001 -10110001 -10000000 -01100110 -10010000 -01100111 -10100000 -01101000 -00011000 -00001000 -10000000 -00011000 -00001000 -10000111 -10110000 -01101001 -01111000 -10111111 -10101111 -10011111 -10001111 -00001111 -00011000 -00001000 -10001000 -00011000 -00001000 -10001111 -00001111 -00001111 -00011111 -00011000 -11111000 -00111101 10000000 10010000 -00011001 -00001000 -10000000 -00011001 -00001000 -10000111 00000001 11110001 11111111 10011000 10000000 10001010 -00110000 -10001001 -00011001 +00010111 00001000 -10001000 -00011001 +10000000 +00010111 00001000 -10001111 +10000111 +00110000 +10001001 10011001 10000111 10010000 11000000 00001000 10001001 -10000001 -10000110 -00011010 +00010111 00001000 -10000000 -00011010 +10001000 +00010111 00001000 -10000111 +10001111 +10000001 +10000110 00111000 10001001 10011001 10000111 10010000 10000111 -10010000 +00011000 00001000 -00011010 +10000000 +00011000 00001000 -10001000 -00011010 +10000111 +10010000 00001000 -10001111 10001001 10000001 10000110 00110000 10001001 10011001 -10000111 -10010000 -00011011 +00011000 00001000 -10000000 -00011011 +10001000 +00011000 00001000 +10001111 10000111 +10010000 11000111 00001000 10001111 10011111 00001000 -10000100 -10011001 -00001000 -00011011 +00100000 +00011001 00001000 -10001000 -00011011 +10000000 +00011001 00001000 -10001111 -00100000 +10000111 01101110 00110000 01101111 @@ -800,13 +729,13 @@ 00111111 10000100 00100000 -00011100 +00111000 +00011001 00001000 -10000000 -00011100 +10001000 +00011001 00001000 -10000111 -00111000 +10001111 00010100 00100000 00110000 @@ -814,13 +743,13 @@ 01101010 10010000 01101011 -00011100 +01000000 +00011010 00001000 -10001000 -00011100 +10000000 +00011010 00001000 -10001111 -01000000 +10000111 01010000 00101000 00111001 @@ -828,13 +757,13 @@ 01000001 01010000 11001010 -00011101 00001000 -10000000 -00011101 +00011010 00001000 -10000111 +10001000 +00011010 00001000 +10001111 00100000 01101100 00110000 @@ -842,13 +771,13 @@ 00101111 00111111 10000100 -00011101 +00100000 +00011011 00001000 -10001000 -00011101 +10000000 +00011011 00001000 -10001111 -00100000 +10000111 00111000 00010100 00100000 @@ -856,13 +785,13 @@ 10000000 01110000 10010000 -00011110 +01110001 +00011011 00001000 -10000000 -00011110 +10001000 +00011011 00001000 -10000111 -01110001 +10001111 01000000 01010000 00101000 @@ -870,76 +799,147 @@ 00010001 01000001 01010000 -00011110 -00001000 -10001000 -00011110 -00001000 -10001111 11001010 -00001000 -00100000 -01101010 -00110000 -01101011 -10000000 -01101110 -00011111 +00011100 00001000 10000000 -00011111 +00011100 00001000 10000111 -10010000 -01101111 -00101000 -00111001 -00001001 -01100001 -11100000 -01101010 +00001000 00011111 +00001111 +00001111 +00001111 +00010001 +10001111 +10011111 +00011100 00001000 10001000 -00011111 +00011100 00001000 10001111 -11110000 -01101011 -11100000 -11111011 -11100000 +10101111 +10111111 10000000 -01101010 +01100110 10010000 -00100000 +01100111 +10100000 +01101000 +00011101 00001000 10000000 -00100000 +00011101 00001000 10000111 -01101011 +10110000 +01101001 00000001 -00100100 +10100001 +10110001 10000000 -10010010 +01100110 +10010000 +00011101 +00001000 +10001000 +00011101 +00001000 +10001111 +01100111 +10100000 +01101000 +10110000 +01101001 +10000000 +10000011 +10000001 +00011110 +00001000 +10000000 +00011110 +00001000 +10000111 +10000100 +01111000 +10111111 +10101111 +10011111 +10001111 +00001111 +00001111 +00011110 +00001000 +10001000 +00011110 +00001000 +10001111 +00001111 +00011111 +00011000 00010100 +00010011 +10000100 +10010000 +10010011 +00011111 +00001000 +10000000 +00011111 +00001000 +10000111 +10010001 +10010100 +10011001 +00001000 +00100000 +01101010 +00110000 +01101011 +00011111 +00001000 +10001000 +00011111 +00001000 +10001111 10000000 +01101110 10010000 +01101111 +00101000 +00111001 +00001001 +01100001 +00100000 +00001000 +10000000 +00100000 +00001000 +10000111 +11100000 +01101010 +11110000 +01101011 +11100000 +11111011 +11100000 +10000000 00100000 00001000 10001000 00100000 00001000 10001111 +01101010 10010000 01101011 +00000001 +00100100 10000000 -01101010 -00100000 -01101110 -00110000 -01101111 +10010010 +00010100 00100001 00001000 10000000 @@ -947,20 +947,19 @@ 00001000 10000111 10000000 -01101010 +10010000 10010000 01101011 -00101000 -00111001 -11000001 +10000000 +01101010 00100000 +01101110 00100001 00001000 10001000 00100001 00001000 10001111 -01101110 00110000 01101111 10000000 @@ -968,971 +967,972 @@ 10010000 01101011 00101000 +00111001 00100010 00001000 10000000 00100010 00001000 10000111 -00111001 -00111100 -10000000 +11000001 +00100000 01101110 -10010000 +00110000 01101111 -00100000 +10000000 01101010 +10010000 00100010 00001000 10001000 00100010 00001000 10001111 +01101011 +00101000 +00111001 +00111100 +10000000 +01101110 +10010000 +01101111 +00100011 +00001000 +10000000 +00100011 +00001000 +10000111 +00100000 +01101010 00110000 01101011 10000010 10010011 10000001 10010010 -10100100 -10000000 00100011 00001000 -10000000 +10001000 00100011 00001000 -10000111 +10001111 +10100100 +10000000 01100000 10010000 01100001 10100000 01100010 10110000 -01100011 -00000001 -00100011 +00100100 00001000 -10001000 -00100011 +10000000 +00100100 00001000 -10001111 +10000111 +01100011 +00000001 10100001 10110001 10000000 01100000 10010000 01100001 -10100000 -01100010 00100100 00001000 -10000000 +10001000 00100100 00001000 -10000111 +10001111 +10100000 +01100010 10110000 01100011 00111111 00111011 00000010 00111011 -00110001 -00111011 -00100100 +00100101 00001000 -10001000 -00100100 +10000000 +00100101 00001000 -10001111 +10000111 +00110001 +00111011 00101111 10000000 01101010 10010000 01101011 00100000 -01101110 -00110000 00100101 00001000 -10000000 +10001000 00100101 00001000 -10000111 +10001111 +01101110 +00110000 01101111 10000010 10010011 10000000 10010010 11001100 -11101100 -01000000 -00100101 +00100110 00001000 -10001000 -00100101 +10000000 +00100110 00001000 -10001111 +10000111 +11101100 +01000000 01100000 01010000 01100001 01100000 01100010 01110000 -01100011 -01001111 00100110 00001000 -10000000 +10001000 00100110 00001000 -10000111 +10001111 +01100011 +01001111 01011111 01101111 01111111 00111111 00100000 01101010 -00110000 -01101011 -00100110 +00100111 00001000 -10001000 -00100110 +10000000 +00100111 00001000 -10001111 +10000111 +00110000 +01101011 10000000 01101110 10010000 01101111 10000010 10010011 -10000001 -01000000 00100111 00001000 -10000000 +10001000 00100111 00001000 -10000111 +10001111 +10000001 +01000000 01100000 01010000 01100001 01100000 01100010 01110000 -01100011 -01111110 -00100111 +00101000 00001000 -10001000 -00100111 +10000000 +00101000 00001000 -10001111 +10000111 +01100011 +01111110 10001110 10011001 10000111 10010000 00001000 00011111 -00001111 -00001111 00101000 00001000 -10000000 +10001000 00101000 00001000 -10000111 +10001111 +00001111 +00001111 00001111 00010001 00101111 00111111 10001111 10011111 -11101111 -11111111 -00101000 +00101001 00001000 -10001000 -00101000 +10000000 +00101001 00001000 -10001111 +10000111 +11101111 +11111111 00100000 01110000 00110000 01110001 10000000 01101100 -10010000 -01101101 00101001 00001000 -10000000 +10001000 00101001 00001000 -10000111 -00101000 +10001111 +10010000 +01101101 +00101000 00111001 11010001 11100000 01110000 11110000 -01110001 -11100010 -00101001 +00101010 00001000 -10001000 -00101001 +10000000 +00101010 00001000 -10001111 +10000111 +01110001 +11100010 11111111 11100000 10000000 01110000 10010000 01110001 -00000001 -00100100 00101010 00001000 -10000000 +10001000 00101010 00001000 -10000111 +10001111 +00000001 +00100100 10000000 10010010 00111100 10010000 01110001 10000000 -01110000 -11101100 -00101010 +00101011 00001000 -10001000 -00101010 +10000000 +00101011 00001000 -10001111 +10000111 +01110000 +11101100 01111000 00000100 10000000 10010000 11110110 01010101 -11111111 -11101111 00101011 00001000 -10000000 +10001000 00101011 00001000 -10000111 +10001111 +11111111 +11101111 10011111 10001111 00111111 00101111 00001111 00001111 -00001111 -00011111 -00101011 +00101100 00001000 -10001000 -00101011 +10000000 +00101100 00001000 -10001111 +10000111 +00001111 +00011111 00011000 01001000 00100000 01101100 00110000 01101101 -00101111 -00111111 00101100 00001000 -10000000 +10001000 00101100 00001000 -10000111 +10001111 +00101111 +00111111 10000100 00100000 00111000 00010100 00100000 00110000 -10000000 -01110000 -00101100 +00101101 00001000 -10001000 -00101100 +10000000 +00101101 00001000 -10001111 +10000111 +10000000 +01110000 10010000 01110001 00101000 00111001 01101001 11100000 -01101100 -11110000 00101101 00001000 -10000000 +10001000 00101101 00001000 -10000111 +10001111 +01101100 +11110000 01101101 11100010 11111111 01000000 10000000 01101100 -10010000 -01101101 -00101101 +00101110 00001000 -10001000 -00101101 +10000000 +00101110 00001000 -10001111 +10000111 +10010000 +01101101 00000001 00100100 10000000 10010010 00010100 10000000 -10010000 -10010000 00101110 00001000 -10000000 +10001000 00101110 00001000 -10000111 +10001111 +10010000 +10010000 01101101 10000000 01101100 00111010 00000010 00111010 -00000001 -00111010 -00101110 +00101111 00001000 -10001000 -00101110 +10000000 +00101111 00001000 -10001111 +10000111 +00000001 +00111010 01010101 00001000 00011111 00001111 00001111 00001111 -00010001 -00101111 00101111 00001000 -10000000 +10001000 00101111 00001000 -10000111 +10001111 +00010001 +00101111 00111111 10001111 10011111 10101111 10111111 11101111 -11111111 -01011100 -00101111 +00110000 00001000 -10001000 -00101111 +10000000 +00110000 00001000 -10001111 +10000111 +11111111 +01011100 00000110 10001001 10010000 10010000 01100101 10000000 -01100100 -01011011 00110000 00001000 -10000000 +10001000 00110000 00001000 -10000111 +10001111 +01100100 +01011011 00000110 10001010 10010000 10010000 01100101 10000000 -01100100 -00100000 -00110000 +00110001 00001000 -10001000 -00110000 +10000000 +00110001 00001000 -10001111 +10000111 +01100100 +00100000 01101110 00110000 01101111 00101111 00111111 10000100 -00100000 -00111000 00110001 00001000 -10000000 +10001000 00110001 00001000 -10000111 +10001111 +00100000 +00111000 00010100 00100000 00110000 10000000 01101010 10010000 -01101011 -00101000 -00110001 +00110010 00001000 -10001000 -00110001 +10000000 +00110010 00001000 -10001111 +10000111 +01101011 +00101000 00111001 00001001 01011110 11100000 01101110 11110000 -01101111 -10001100 00110010 00001000 -10000000 +10001000 00110010 00001000 -10000111 +10001111 +01101111 +10001100 11100000 11111011 10000000 10000000 01101110 10010000 -01101111 -00000001 -00110010 +00110011 00001000 -10001000 -00110010 +10000000 +00110011 00001000 -10001111 +10000111 +01101111 +00000001 00100100 10000000 10010010 00010100 10000000 10010000 -10010000 -01101111 00110011 00001000 -10000000 +10001000 00110011 00001000 -10000111 +10001111 +10010000 +01101111 10000000 01101110 00100000 01101110 00110000 01101111 -10000000 -01101010 -00110011 +00110100 00001000 -10001000 -00110011 +10000000 +00110100 00001000 -10001111 +10000111 +10000000 +01101010 10010000 01101011 00101000 00111001 00001001 01000010 -00100000 -01101110 00110100 00001000 -10000000 +10001000 00110100 00001000 -10000111 +10001111 +00100000 +01101110 00110000 01101111 10000000 01101010 10010000 01101011 -00101000 -00111001 -00110100 +00110101 00001000 -10001000 -00110100 +10000000 +00110101 00001000 -10001111 +10000111 +00101000 +00111001 00010100 10000000 01101010 10010000 01101011 00100000 -01101110 -00110000 00110101 00001000 -10000000 +10001000 00110101 00001000 -10000111 +10001111 +01101110 +00110000 01101111 10000010 10010011 10000000 10010010 01010100 -10000000 -01100000 -00110101 +00110110 00001000 -10001000 -00110101 +10000000 +00110110 00001000 -10001111 +10000111 +10000000 +01100000 10010000 01100001 10100000 01100010 10110000 01100011 -00000001 -10100001 00110110 00001000 -10000000 +10001000 00110110 00001000 -10000111 +10001111 +00000001 +10100001 10110001 10000000 01100000 10010000 01100001 10100000 -01100010 -10110000 -00110110 +00110111 00001000 -10001000 -00110110 +10000000 +00110111 00001000 -10001111 +10000111 +01100010 +10110000 01100011 00111111 00010101 10000000 01101110 10010000 -01101111 -00100000 00110111 00001000 -10000000 +10001000 00110111 00001000 -10000111 +10001111 +01101111 +00100000 01101010 00110000 01101011 10000010 10010011 10000001 -10010010 -01000100 -00110111 +00111000 00001000 -10001000 -00110111 +10000000 +00111000 00001000 -10001111 +10000111 +10010010 +01000100 11011101 10001011 10010000 10010000 01100101 10000000 -01100100 -10011011 00111000 00001000 -10000000 +10001000 00111000 00001000 -10000111 +10001111 +01100100 +10011011 10001111 10000000 10001111 01111000 11111111 11101111 -10111111 -10101111 -00111000 +00111001 00001000 -10001000 -00111000 +10000000 +00111001 00001000 -10001111 +10000111 +10111111 +10101111 10011111 10001111 00111111 00101111 00001111 00001111 -00001111 -00011111 00111001 00001000 -10000000 +10001000 00111001 00001000 -10000111 +10001111 +00001111 +00011111 00011000 11001111 11011111 11011110 11001101 10001100 -10000110 -00010111 -00111001 +00111010 00001000 -10001000 -00111001 +10000000 +00111010 00001000 -10001111 +10000111 +10000110 +00010111 00010000 01101011 00010000 01101010 00010000 01101111 -00010000 -01101110 00111010 00001000 -10000000 +10001000 00111010 00001000 -10000111 +10001111 +00010000 +01101110 00010000 01110001 00010000 01110000 00010000 01101101 -00010000 -01101100 -00111010 +00111011 00001000 -10001000 -00111010 +10000000 +00111011 00001000 -10001111 +10000111 +00010000 +01101100 00010000 00011001 10001010 10001000 10001010 01011001 -10001111 -10001011 00111011 00001000 -10000000 +10001000 00111011 00001000 -10000111 +10001111 +10001111 +10001011 00011111 01111000 10000000 01100000 10010000 01100001 -10100000 -01100010 -00111011 +00111100 00001000 -10001000 -00111011 +10000000 +00111100 00001000 -10001111 +10000111 +10100000 +01100010 10110000 01100011 00111111 00000010 10100001 10110001 -10000000 -01100000 00111100 00001000 -10000000 +10001000 00111100 00001000 -10000111 +10001111 +10000000 +01100000 10010000 01100001 10100000 01100010 10110000 01100011 -00111111 -11000000 -00111100 +00111101 00001000 -10001000 -00111100 +10000000 +00111101 00001000 -10001111 +10000111 +00111111 +11000000 11010000 00100000 01101010 00110000 01101011 10000000 -01101110 -10010000 00111101 00001000 -10000000 +10001000 00111101 00001000 -10000111 +10001111 +01101110 +10010000 01101111 10000010 10010011 00001001 -01000011 +00110010 11100000 -01101010 -11110000 -00111101 +00111110 00001000 -10001000 -00111101 +10000000 +00111110 00001000 -10001111 +10000111 +01101010 +11110000 01101011 11100000 11111011 11100000 10000000 01101010 -10010000 -01101011 00111110 00001000 -10000000 +10001000 00111110 00001000 -10000111 +10001111 +10010000 +01101011 00000001 00100100 10000000 10010010 00010100 10000000 -10010000 -10010000 -00111110 +00111111 00001000 -10001000 -00111110 +10000000 +00111111 00001000 -10001111 +10000111 +10010000 +10010000 01101011 10000000 01101010 00100000 01101110 00110000 -01101111 -10000000 00111111 00001000 -10000000 +10001000 00111111 00001000 -10000111 +10001111 +01101111 +10000000 01101010 10010000 01101011 00101000 00111001 00001001 -01001001 -00100000 -00111111 +01000000 00001000 -10001000 -00111111 +10000000 +01000000 00001000 -10001111 +10000111 +00111000 +00100000 01101110 00110000 01101111 10000000 01101010 10010000 -01101011 -00101000 01000000 00001000 -10000000 +10001000 01000000 00001000 -10000111 +10001111 +01101011 +00101000 00111001 00001100 -00101111 +00011110 10000000 01101010 10010000 -01101011 -00100000 -01000000 +01000001 00001000 -10001000 -01000000 +10000000 +01000001 00001000 -10001111 +10000111 +01101011 +00100000 01101110 00110000 01101111 10000010 10010011 10000000 -10010010 -00001100 01000001 00001000 -10000000 +10001000 01000001 00001000 -10000111 -00101111 +10001111 +10010010 +00001100 +00011110 00111011 -00110000 +00011111 00111011 11111111 11100111 +01000010 +00001000 +10000000 +01000010 +00001000 +10000111 11110000 11100001 -01000001 +00001001 +00011111 +11100010 +00001100 +00111010 +11100010 +01000010 00001000 10001000 -01000001 +01000010 00001000 10001111 00001001 -00110000 -11100010 +11111111 +11100011 00001001 -00010011 -11101110 -00001001 -10101001 -01000010 -00001000 -10000000 -01000010 -00001000 -10000111 -00100000 -01101100 -00110000 -01101101 -00101111 -00111111 -10000100 -00100000 -01000010 -00001000 -10001000 -01000010 -00001000 -10001111 -00111000 -00010100 -00100000 -00110000 -10000000 -01110000 -10010000 -01110001 +10100110 +01000000 +01100110 +01010000 01000011 00001000 10000000 01000011 00001000 10000111 -00101000 -00111001 +01100111 +01100000 +01101000 +01110000 01101001 -11100000 -01101100 -11110000 -01101101 -11100010 +00010000 +01100110 +00010000 01000011 00001000 10001000 01000011 00001000 10001111 -11111111 -10001111 -10000000 -10000000 -01101100 -10010000 -01101101 -00000001 +01100111 +00010000 +01101000 +00010000 +01101001 +10000111 +10111011 +10000111 01000100 00001000 10000000 01000100 00001000 10000111 -00100100 -10000000 -10010010 -00010100 -10000000 -10010000 -10010000 +10110000 +10011011 +10101011 +10101000 +00100000 +01101100 +00110000 01101101 01000100 00001000 @@ -1940,139 +1940,139 @@ 01000100 00001000 10001111 -10000000 -01101100 -00111010 -00011110 -00111010 -01010101 -00100000 -01101100 -01000101 -00001000 -10000000 -01000101 -00001000 -10000111 -00110000 -01101101 00101111 00111111 10000100 00100000 00111000 00010100 +00100000 +00110000 01000101 00001000 -10001000 +10000000 01000101 00001000 -10001111 -00100000 -00110000 +10000111 10000000 01110000 10010000 01110001 00101000 00111001 -01000110 -00001000 -10000000 -01000110 -00001000 -10000111 01101001 11100000 +01000101 +00001000 +10001000 +01000101 +00001000 +10001111 01101100 11110000 01101101 11100010 11111111 -10000010 +10100000 +10000000 +01101100 01000110 00001000 -10001000 +10000000 01000110 00001000 -10001111 -10000000 -10000000 -01101100 +10000111 10010000 01101101 00000001 00100100 10000000 -01000111 -00001000 -10000000 -01000111 -00001000 -10000111 10010010 00010100 10000000 +01000110 +00001000 +10001000 +01000110 +00001000 +10001111 10010000 10010000 01101101 10000000 01101100 +00111010 +11100100 +00111010 01000111 00001000 -10001000 +10000000 01000111 00001000 -10001111 -00111010 -11101110 -00111010 +10000111 01010101 +11001011 +10111011 +10010111 +10110000 +10101011 +10101000 00100000 +01000111 +00001000 +10001000 +01000111 +00001000 +10001111 01101100 00110000 01101101 +00101111 +00111111 +10000100 +00100000 +00111000 01001000 00001000 10000000 01001000 00001000 10000111 -00101111 -00111111 -10000100 -00100000 -00111000 00010100 00100000 00110000 +10000000 +01110000 +10010000 +01110001 +00101000 01001000 00001000 10001000 01001000 00001000 10001111 -10000000 -01110000 -10010000 -01110001 -00101000 00111001 01101001 11100000 +01101100 +11110000 +01101101 +11100010 +11111111 01001001 00001000 10000000 01001001 00001000 10000111 +10100000 +10000000 01101100 -11110000 +10010000 01101101 -11100010 -11111111 -10001001 -10000000 +00000001 +00100100 10000000 01001001 00001000 @@ -2080,48 +2080,49 @@ 01001001 00001000 10001111 -01101100 +10010010 +00010100 +10000000 +10010000 10010000 01101101 -00000001 -00100100 10000000 -10010010 -00010100 +01101100 01001010 00001000 10000000 01001010 00001000 10000111 -10000000 -10010000 -10010000 -01101101 -10000000 -01101100 00111010 -10111110 +10111000 +00111010 +01010101 +10111011 +01110111 +10111010 +10100111 01001010 00001000 10001000 01001010 00001000 10001111 -00111010 -01010101 +10010110 +10000101 +10101000 00100000 01101100 00110000 01101101 00101111 -00111111 01001011 00001000 10000000 01001011 00001000 10000111 +00111111 10000100 00100000 00111000 @@ -2129,13 +2130,13 @@ 00100000 00110000 10000000 -01110000 01001011 00001000 10001000 01001011 00001000 10001111 +01110000 10010000 01110001 00101000 @@ -2143,18 +2144,17 @@ 01101001 11100000 01101100 -11110000 01001100 00001000 10000000 01001100 00001000 10000111 +11110000 01101101 11100010 11111111 -10000100 -10000000 +10100000 10000000 01101100 10010000 @@ -2183,7 +2183,7 @@ 10000000 01101100 00111010 -10001110 +10000010 00111010 01010101 01001101 @@ -2235,20 +2235,19 @@ 00001000 10000111 11111111 -10000011 -10000000 +01000000 10000000 01101100 10010000 01101101 00000001 +00100100 01001111 00001000 10001000 01001111 00001000 10001111 -00100100 10000000 10010010 00010100 @@ -2256,40 +2255,28 @@ 10010000 10010000 01101101 +10000000 01010000 00001000 10000000 01010000 00001000 10000111 -10000000 01101100 00111010 -01011110 +01010010 00111010 01010101 -00010111 -00010011 +00100000 +01101010 +00110000 01010000 00001000 10001000 01010000 00001000 10001111 -00100000 -00001001 -10111110 -00111101 -00100000 -01101010 -00110000 01101011 -01010001 -00001000 -10000000 -01010001 -00001000 -10000111 10000000 01101110 10010000 @@ -2297,13 +2284,13 @@ 10000010 10010011 00001001 -10111101 01010001 00001000 -10001000 +10000000 01010001 00001000 -10001111 +10000111 +11001110 00111111 00100000 01101010 @@ -2311,27 +2298,27 @@ 01101011 10000000 01101110 -10010000 -01010010 +01010001 00001000 -10000000 -01010010 +10001000 +01010001 00001000 -10000111 +10001111 +10010000 01101111 10000010 10010011 00001001 -10110000 +11000001 00111111 00100000 -01101010 01010010 00001000 -10001000 +10000000 01010010 00001000 -10001111 +10000111 +01101010 00110000 01101011 10000000 @@ -2339,27 +2326,27 @@ 10010000 01101111 10000010 -10010011 -01010011 +01010010 00001000 -10000000 -01010011 +10001000 +01010010 00001000 -10000111 +10001111 +10010011 00111001 -10100011 +10110100 10000000 01101110 10010000 01101111 00100000 -01101010 01010011 00001000 -10001000 +10000000 01010011 00001000 -10001111 +10000111 +01101010 00110000 01101011 10000010 @@ -2367,55 +2354,55 @@ 10000001 10010010 00001100 -11010001 -01010100 +01010011 00001000 -10000000 -01010100 +10001000 +01010011 00001000 -10000111 +10001111 +11100010 00111111 00111011 -11010000 +11100001 00111011 11111111 11100111 11110000 -11100001 01010100 00001000 -10001000 +10000000 01010100 00001000 -10001111 +10000111 +11100001 00001001 -11010000 +11100001 00100000 01101010 00110000 01101011 10000000 -01101110 -01010101 +01010100 00001000 -10000000 -01010101 +10001000 +01010100 00001000 -10000111 +10001111 +01101110 10010000 01101111 -10000010 -10010011 +00101000 +00111001 00001001 01000000 11100000 -01101010 01010101 00001000 -10001000 +10000000 01010101 00001000 -10001111 +10000111 +01101010 11110000 01101011 11100000 @@ -2423,13 +2410,13 @@ 11100000 10000000 01101010 -10010000 -01010110 +01010101 00001000 -10000000 -01010110 +10001000 +01010101 00001000 -10000111 +10001111 +10010000 01101011 00000001 00100100 @@ -2437,13 +2424,13 @@ 10010010 00010100 10000000 -10010000 01010110 00001000 -10001000 +10000000 01010110 00001000 -10001111 +10000111 +10010000 10010000 01101011 10000000 @@ -2451,13 +2438,13 @@ 00100000 01101110 00110000 -01101111 -01010111 +01010110 00001000 -10000000 -01010111 +10001000 +01010110 00001000 -10000111 +10001111 +01101111 10000000 01101010 10010000 @@ -2465,13 +2452,13 @@ 00101000 00111001 00001001 -10001101 01010111 00001000 -10001000 +10000000 01010111 00001000 -10001111 +10000111 +10001101 00100000 01101110 00110000 @@ -2479,13 +2466,13 @@ 10000000 01101010 10010000 -01101011 -01011000 +01010111 00001000 -10000000 -01011000 +10001000 +01010111 00001000 -10000111 +10001111 +01101011 00101000 00111001 00001100 @@ -2493,13 +2480,13 @@ 10000000 01101010 10010000 -01101011 01011000 00001000 -10001000 +10000000 01011000 00001000 -10001111 +10000111 +01101011 00100000 01101110 00110000 @@ -2507,13 +2494,13 @@ 10000010 10010011 10000000 -10010010 -01011001 +01011000 00001000 -10000000 -01011001 +10001000 +01011000 00001000 -10000111 +10001111 +10010010 00001100 01110011 00111011 @@ -2521,13 +2508,13 @@ 00111011 10101110 10111011 -10100111 01011001 00001000 -10001000 +10000000 01011001 00001000 -10001111 +10000111 +10100111 10110000 00100000 01101010 @@ -2535,13 +2522,13 @@ 01101011 10000000 01101110 -10010000 -01011010 +01011001 00001000 -10000000 -01011010 +10001000 +01011001 00001000 -10000111 +10001111 +10010000 01101111 10000010 10010011 @@ -2549,13 +2536,13 @@ 00001011 11100000 01101010 -11110000 01011010 00001000 -10001000 +10000000 01011010 00001000 -10001111 +10000111 +11110000 01101011 11100000 11111011 @@ -2563,13 +2550,13 @@ 10000000 01101010 10010000 -01101011 -01011011 +01011010 00001000 -10000000 -01011011 +10001000 +01011010 00001000 -10000111 +10001111 +01101011 00000001 00100100 10000000 @@ -2577,13 +2564,13 @@ 00010100 10000000 10010000 -10010000 01011011 00001000 -10001000 +10000000 01011011 00001000 -10001111 +10000111 +10010000 01101011 10000000 01101010 @@ -2591,13 +2578,13 @@ 01101110 00110000 01101111 -10000000 -01011100 +01011011 00001000 -10000000 -01011100 +10001000 +01011011 00001000 -10000111 +10001111 +10000000 01101010 10010000 01101011 @@ -2605,13 +2592,13 @@ 00111001 00001001 01010001 -00100000 01011100 00001000 -10001000 +10000000 01011100 00001000 -10001111 +10000111 +00100000 01101110 00110000 01101111 @@ -2619,13 +2606,13 @@ 01101010 10010000 01101011 -00101000 -01011101 +01011100 00001000 -10000000 -01011101 +10001000 +01011100 00001000 -10000111 +10001111 +00101000 00111001 00001100 00110111 @@ -2633,13 +2620,13 @@ 01101010 10010000 01101011 -00100000 01011101 00001000 -10001000 +10000000 01011101 00001000 -10001111 +10000111 +00100000 01101110 00110000 01101111 @@ -2647,13 +2634,13 @@ 10010011 10000000 10010010 -00001100 -01011110 +01011101 00001000 -10000000 -01011110 +10001000 +01011101 00001000 -10000111 +10001111 +00001100 00110111 00111011 00111000 @@ -2661,13 +2648,13 @@ 01101110 01110111 01100111 -01110000 01011110 00001000 -10001000 +10000000 01011110 00001000 -10001111 +10000111 +01110000 00100000 01101010 00110000 @@ -2675,13 +2662,13 @@ 10000000 01101110 10010000 -01101111 -01011111 +01011110 00001000 -10000000 -01011111 +10001000 +01011110 00001000 -10000111 +10001111 +01101111 10000010 10010011 00001001 @@ -2689,13 +2676,13 @@ 11100000 01101010 11110000 -01101011 01011111 00001000 -10001000 +10000000 01011111 00001000 -10001111 +10000111 +01101011 11100000 11111011 11100000 @@ -2703,13 +2690,13 @@ 01101010 10010000 01101011 -00000001 -01100000 +01011111 00001000 -10000000 -01100000 +10001000 +01011111 00001000 -10000111 +10001111 +00000001 00100100 10000000 10010010 @@ -2717,13 +2704,13 @@ 10000000 10010000 10010000 -01101011 01100000 00001000 -10001000 +10000000 01100000 00001000 -10001111 +10000111 +01101011 10000000 01101010 00100000 @@ -2731,13 +2718,13 @@ 00110000 01101111 10000000 -01101010 -01100001 +01100000 00001000 -10000000 -01100001 +10001000 +01100000 00001000 -10000111 +10001111 +01101010 10010000 01101011 00101000 @@ -2745,13 +2732,13 @@ 00001001 00010101 00100000 -01101110 01100001 00001000 -10001000 +10000000 01100001 00001000 -10001111 +10000111 +01101110 00110000 01101111 10000000 @@ -2759,13 +2746,13 @@ 10010000 01101011 00101000 -00111001 -01100010 +01100001 00001000 -10000000 -01100010 +10001000 +01100001 00001000 -10000111 +10001111 +00111001 00001100 11111011 10000000 @@ -2773,13 +2760,13 @@ 10010000 01101011 00100000 -01101110 01100010 00001000 -10001000 +10000000 01100010 00001000 -10001111 +10000111 +01101110 00110000 01101111 10000010 @@ -2787,13 +2774,13 @@ 10000000 10010010 00001100 -11111011 -01100011 +01100010 00001000 -10000000 -01100011 +10001000 +01100010 00001000 -10000111 +10001111 +11111011 00111011 11111100 00111011 @@ -2801,13 +2788,13 @@ 01010101 01000111 01010000 -00100000 01100011 00001000 -10001000 +10000000 01100011 00001000 -10001111 +10000111 +00100000 01101010 00110000 01101011 @@ -2815,41 +2802,41 @@ 01101110 10010000 01101111 -00101000 -01100100 +01100011 00001000 -10000000 -01100100 +10001000 +01100011 00001000 -10000111 -00111001 +10001111 +10000010 +10010011 01100001 00111111 00100000 01101010 00110000 01101011 -10000000 01100100 00001000 -10001000 +10000000 01100100 00001000 -10001111 +10000111 +10000000 01101110 10010000 01101111 -00101000 -00111001 +10000010 +10010011 10100001 11100000 -01101010 -01100101 +01100100 00001000 -10000000 -01100101 +10001000 +01100100 00001000 -10000111 +10001111 +01101010 11110000 01101011 11100000 @@ -2857,13 +2844,13 @@ 11100000 10000000 01101010 -10010000 01100101 00001000 -10001000 +10000000 01100101 00001000 -10001111 +10000111 +10010000 01101011 00000001 00100100 @@ -2871,13 +2858,13 @@ 10010010 00010100 10000000 -10010000 -01100110 +01100101 00001000 -10000000 -01100110 +10001000 +01100101 00001000 -10000111 +10001111 +10010000 10010000 01101011 10000000 @@ -2885,13 +2872,13 @@ 00100000 01101110 00110000 -01101111 01100110 00001000 -10001000 +10000000 01100110 00001000 -10001111 +10000111 +01101111 10000000 01101010 10010000 @@ -2899,13 +2886,13 @@ 00101000 00111001 00001001 -10000010 -01100111 +01100110 00001000 -10000000 -01100111 +10001000 +01100110 00001000 -10000111 +10001111 +10000010 00100000 01101110 00110000 @@ -2913,13 +2900,13 @@ 10000000 01101010 10010000 -01101011 01100111 00001000 -10001000 +10000000 01100111 00001000 -10001111 +10000111 +01101011 00101000 00111001 00001100 @@ -2927,13 +2914,13 @@ 10000000 01101010 10010000 -01101011 -01101000 +01100111 00001000 -10000000 -01101000 +10001000 +01100111 00001000 -10000111 +10001111 +01101011 00100000 01101110 00110000 @@ -2941,13 +2928,13 @@ 10000010 10010011 10000000 -10010010 01101000 00001000 -10001000 +10000000 01101000 00001000 -10001111 +10000111 +10010010 00001100 01101000 00111011 @@ -2955,27 +2942,27 @@ 00111011 01001000 01101001 -10101010 -01101001 +01101000 00001000 -10000000 -01101001 +10001000 +01101000 00001000 -10000111 +10001111 +10101010 10001110 10011001 10000111 10010000 10001011 -00110011 +01000100 00111111 -00100000 01101001 00001000 -10001000 +10000000 01101001 00001000 -10001111 +10000111 +00100000 01101010 00110000 01101011 @@ -2983,13 +2970,13 @@ 01101110 10010000 01101111 -10000010 -01101010 +01101001 00001000 -10000000 -01101010 +10001000 +01101001 00001000 -10000111 +10001111 +10000010 10010011 00001001 10110011 @@ -2997,13 +2984,13 @@ 00100000 01101010 00110000 -01101011 01101010 00001000 -10001000 +10000000 01101010 00001000 -10001111 +10000111 +01101011 10000000 01101110 10010000 @@ -3011,13 +2998,13 @@ 10000010 10010011 00111001 -10100110 -01101011 +01101010 00001000 -10000000 -01101011 +10001000 +01101010 00001000 -10000111 +10001111 +10100110 00111111 00100000 01101010 @@ -3025,13 +3012,13 @@ 01101011 10000000 01101110 -10010000 01101011 00001000 -10001000 +10000000 01101011 00001000 -10001111 +10000111 +10010000 01101111 10000010 10010011 @@ -3039,13 +3026,13 @@ 11101000 00111111 00100000 -01101010 -01101100 +01101011 00001000 -10000000 -01101100 +10001000 +01101011 00001000 -10000111 +10001111 +01101010 00110000 01101011 10000000 @@ -3053,13 +3040,13 @@ 10010000 01101111 10000010 -10010011 01101100 00001000 -10001000 +10000000 01101100 00001000 -10001111 +10000111 +10010011 00111001 11011011 00111111 @@ -3067,13 +3054,13 @@ 01101010 00110000 01101011 -10000000 -01101101 +01101100 00001000 -10000000 -01101101 +10001000 +01101100 00001000 -10000111 +10001111 +10000000 01101110 10010000 01101111 @@ -3081,13 +3068,13 @@ 00111001 00001001 00011101 -00111111 01101101 00001000 -10001000 +10000000 01101101 00001000 -10001111 +10000111 +00111111 00100000 01101010 00110000 @@ -3095,13 +3082,13 @@ 10000000 01101110 10010000 -01101111 -01101110 +01101101 00001000 -10000000 -01101110 +10001000 +01101101 00001000 -10000111 +10001111 +01101111 00101000 00111001 00111001 @@ -3109,13 +3096,13 @@ 10000000 01101110 10010000 -01101111 01101110 00001000 -10001000 +10000000 01101110 00001000 -10001111 +10000111 +01101111 00100000 01101010 00110000 @@ -3123,13 +3110,13 @@ 10000010 10010011 10000001 -10010010 -01101111 +01101110 00001000 -10000000 -01101111 +10001000 +01101110 00001000 -10000111 +10001111 +10010010 00001100 10011000 00111111 @@ -3137,27 +3124,27 @@ 10010111 00111011 10010110 -10000000 01101111 00001000 -10001000 +10000000 01101111 00001000 -10001111 -01101110 +10000111 +10000000 +01101110 10010000 01101111 00100000 01101010 00110000 01101011 -10000010 -01110000 +01101111 00001000 -10000000 -01110000 +10001000 +01101111 00001000 -10000111 +10001111 +10000010 10010011 10000001 10010010 @@ -3165,13 +3152,13 @@ 10001101 00111111 00111011 -10001100 01110000 00001000 -10001000 +10000000 01110000 00001000 -10001111 +10000111 +10001100 00111011 10001011 10000000 @@ -3179,13 +3166,13 @@ 10010000 01101111 00100000 -01101010 -01110001 +01110000 00001000 -10000000 -01110001 +10001000 +01110000 00001000 -10000111 +10001111 +01101010 00110000 01101011 10000010 @@ -3193,13 +3180,13 @@ 10000001 10010010 00001100 -11001001 01110001 00001000 -10001000 +10000000 01110001 00001000 -10001111 +10000111 +11001001 00111111 00111011 11001000 @@ -3207,13 +3194,13 @@ 11000111 10000000 01101110 -10010000 -01110010 +01110001 00001000 -10000000 -01110010 +10001000 +01110001 00001000 -10000111 +10001111 +10010000 01101111 00100000 01101010 @@ -3221,13 +3208,13 @@ 01101011 10000010 10010011 -10000001 01110010 00001000 -10001000 +10000000 01110010 00001000 -10001111 +10000111 +10000001 10010010 00001100 00000101 @@ -3235,14 +3222,26 @@ 00111011 00000100 00111011 +01110010 +00001000 +10001000 +01110010 +00001000 +10001111 00000011 +00010100 +00010011 +10000100 +10010000 +10010011 +10010001 +10010100 01110011 00001000 10000000 01110011 00001000 10000111 -10000100 01001000 00100000 01101100 @@ -3250,13 +3249,13 @@ 01101101 00101111 00111111 +10000100 01110011 00001000 10001000 01110011 00001000 10001111 -10000100 00100000 00111000 00010100 @@ -3264,13 +3263,13 @@ 00110000 10000000 01110000 +10010000 01110100 00001000 10000000 01110100 00001000 10000111 -10010000 01110001 00101000 00111001 @@ -3278,13 +3277,13 @@ 11100000 01101100 11110000 +01101101 01110100 00001000 10001000 01110100 00001000 10001111 -01101101 11100010 11111111 01000000 @@ -3292,13 +3291,13 @@ 01101100 10010000 01101101 +00000001 01110101 00001000 10000000 01110101 00001000 10000111 -00000001 00100100 10000000 10010010 @@ -3306,682 +3305,1622 @@ 10000000 10010000 10010000 +01101101 01110101 00001000 10001000 01110101 00001000 10001111 -01101101 10000000 01101100 00111010 -00001111 +11110101 00111010 01010101 11000001 +11010000 01110110 00001000 10000000 01110110 00001000 10000111 -11010000 -01100111 -00111010 -10100001 -00111010 -01110001 -00111010 -01000001 +01110010 +11101110 +00001001 +01101111 +00100000 +01101100 +00110000 +01101101 01110110 00001000 10001000 01110110 00001000 -10001101 -00111010 -00010001 -00111010 -11100001 -00111010 -11110000 -10000000 +10001111 +00101111 +00111111 +10000100 +00100000 +00111000 +00010100 +00100000 +00110000 +01110111 00001000 10000000 -10000000 +01110111 00001000 10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 10000000 +01110000 +10010000 +01110001 +00101000 +00111001 +01101001 +11100000 +01110111 00001000 10001000 -10000000 +01110111 00001000 10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000001 +01101100 +11110000 +01101101 +11100010 +11111111 +10001111 +10000000 +10000000 +01111000 00001000 10000000 -10000001 +01111000 00001000 10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000001 +01101100 +10010000 +01101101 +00000001 +00100100 +10000000 +10010010 +00010100 +01111000 00001000 10001000 -10000001 +01111000 00001000 10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000010 +10000000 +10010000 +10010000 +01101101 +10000000 +01101100 +00111010 +11001100 +01111001 00001000 10000000 -10000010 +01111001 00001000 10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000010 +00111010 +01010101 +00100000 +01101100 +00110000 +01101101 +00101111 +00111111 +01111001 00001000 10001000 -10000010 +01111001 00001000 10001111 -10010100 -00000000 -10010100 -00000010 -10010100 -00000010 -10010100 -00000000 -10000011 +10000100 +00100000 +00111000 +00010100 +00100000 +00110000 +10000000 +01110000 +01111010 00001000 10000000 -10000011 +01111010 00001000 10000111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000011 +10010000 +01110001 +00101000 +00111001 +01101001 +11100000 +01101100 +11110000 +01111010 00001000 10001000 -10000011 +01111010 00001000 10001111 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10010100 -00000000 -10000100 -00001000 -10000000 -10000100 +01101101 +11100010 +11111111 +10000010 +10000000 +10000000 +01101100 +10010000 +01111011 +00001000 +10000000 +01111011 +00001000 +10000111 +01101101 +00000001 +00100100 +10000000 +10010010 +00010100 +10000000 +10010000 +01111011 +00001000 +10001000 +01111011 +00001000 +10001111 +10010000 +01101101 +10000000 +01101100 +00111010 +10100110 +00111010 +01010101 +01111100 +00001000 +10000000 +01111100 +00001000 +10000111 +00100000 +01101100 +00110000 +01101101 +00101111 +00111111 +10000100 +00100000 +01111100 +00001000 +10001000 +01111100 +00001000 +10001111 +00111000 +00010100 +00100000 +00110000 +10000000 +01110000 +10010000 +01110001 +01111101 +00001000 +10000000 +01111101 +00001000 +10000111 +00101000 +00111001 +01101001 +11100000 +01101100 +11110000 +01101101 +11100010 +01111101 +00001000 +10001000 +01111101 +00001000 +10001111 +11111111 +10001001 +10000000 +10000000 +01101100 +10010000 +01101101 +00000001 +01111110 +00001000 +10000000 +01111110 +00001000 +10000111 +00100100 +10000000 +10010010 +00010100 +10000000 +10010000 +10010000 +01101101 +01111110 +00001000 +10001000 +01111110 +00001000 +10001111 +10000000 +01101100 +00111010 +01110110 +00111010 +01010101 +00100000 +01101100 +01111111 +00001000 +10000000 +01111111 +00001000 +10000111 +00110000 +01101101 +00101111 +00111111 +10000100 +00100000 +00111000 +00010100 +01111111 +00001000 +10001000 +01111111 +00001000 +10001111 +00100000 +00110000 +10000000 +01110000 +10010000 +01110001 +00101000 +00111001 +00000000 +00000111 +10000000 +00000000 +00000111 +10000111 +01101001 +11100000 +01101100 +11110000 +01101101 +11100010 +11111111 +10000100 +00000000 +00000111 +10001000 +00000000 +00000111 +10001111 +10000000 +10000000 +01101100 +10010000 +01101101 +00000001 +00100100 +10000000 +00000001 +00000111 +10000000 +00000001 +00000111 +10000111 +10010010 +00010100 +10000000 +10010000 +10010000 +01101101 +10000000 +01101100 +00000001 +00000111 +10001000 +00000001 +00000111 +10001111 +00111010 +01000100 +00111010 +01010101 +00100000 +01101100 +00110000 +01101101 +00000010 +00000111 +10000000 +00000010 +00000111 +10000111 +00101111 +00111111 +10000100 +00100000 +00111000 +00010100 +00100000 +00110000 +00000010 +00000111 +10001000 +00000010 +00000111 +10001111 +10000000 +01110000 +10010000 +01110001 +00101000 +00111001 +01101001 +11100000 +00000011 +00000111 +10000000 +00000011 +00000111 +10000111 +01101100 +11110000 +01101101 +11100010 +11111111 +10000011 +10000000 +10000000 +00000011 +00000111 +10001000 +00000011 +00000111 +10001111 +01101100 +10010000 +01101101 +00000001 +00100100 +10000000 +10010010 +00010100 +00000100 +00000111 +10000000 +00000100 +00000111 +10000111 +10000000 +10010000 +10010000 +01101101 +10000000 +01101100 +00111010 +00011000 +00000100 +00000111 +10001000 +00000100 +00000111 +10001111 +00111010 +01010101 +00010100 +00010011 +00100000 +00001001 +10000100 +00111101 +00000101 +00000111 +10000000 +00000101 +00000111 +10000111 +10000010 +00111010 +00001010 +00111010 +00011011 +00111010 +01010101 +10101101 +00000101 +00000111 +10001000 +00000101 +00000111 +10001111 +00111010 +01111101 +00111010 +01000111 +00111010 +00110011 +00111010 +10111011 +00000110 +00000111 +10000000 +00000110 +00000111 +10000101 +00111010 +11100111 +00111010 +10001001 +00111010 +01011001 +10000000 +00001000 +10000000 +10000000 +00001000 +10000111 +10010100 +00000000 +10010100 +00000001 +10010100 +00000000 +10010100 +00000000 +10000000 +00001000 +10001000 +10000000 +00001000 +10001111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000001 +00001000 +10000000 +10000001 +00001000 +10000111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000001 +00001000 +10001000 +10000001 +00001000 +10001111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000010 +00001000 +10000000 +10000010 +00001000 +10000111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000010 +00001000 +10001000 +10000010 +00001000 +10001111 +10010100 +00000000 +10010100 +00000010 +10010100 +00000010 +10010100 +00000000 +10000011 +00001000 +10000000 +10000011 +00001000 +10000111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000011 +00001000 +10001000 +10000011 +00001000 +10001111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000100 +00001000 +10000000 +10000100 +00001000 +10000111 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10010100 +00000000 +10000100 +00001000 +10001000 +10000100 +00001000 +10001111 +00100100 +10111110 +11101111 +11100000 +10111111 +10111111 +11100000 +11100110 +10000101 +00001000 +10000000 +10000101 +00001000 +10000111 +11100000 +11101100 +11100001 +11000000 +10010000 +10010010 +00110110 +00000111 +10000101 +00001000 +10001000 +10000101 +00001000 +10001111 +11110111 +11100000 +11100110 +11100000 +11000000 +10010010 +00110111 +00000111 +10000110 +00001000 +10000000 +10000110 +00001000 +10000111 +11110111 +10010100 +00000011 +10010100 +00000000 +00101111 +00100111 +01110000 +10000110 +00001000 +10001000 +10000110 +00001000 +10001111 +10111101 +10111001 +10110001 +01101001 +10111001 +00101011 +11110000 +10011010 +10000111 +00001000 +10000000 +10000111 +00001000 +10000111 +10010101 +10011000 +10010101 +10010001 +00000000 +10010001 +00000000 +10010001 +10000111 +00001000 +10001000 +10000111 +00001000 +10001111 +00000000 +10010001 +00000000 +01011111 +01001111 +01001111 +01001111 +10010011 +10001000 +00001000 +10000000 +10001000 +00001000 +10000111 +00000000 +10010011 +00000000 +10010011 +00000000 +10010011 +00000000 +00101011 +10001000 +00001000 +10001000 +10001000 +00001000 +10001111 +11110000 +10011000 +10010101 +10011010 +10010101 +10010110 +11100000 +00110000 +10001001 +00001000 +10000000 +10001001 +00001000 +10000111 +00000111 +11110000 +11100000 +11100000 +10010101 +11111111 +10010101 +10010101 +10001001 +00001000 +10001000 +10001001 +00001000 +10001111 +10010101 +01001111 +10010101 +10010001 +00000100 +10010001 +00000100 +10010001 +10001010 +00001000 +10000000 +10001010 +00001000 +10000111 +00000000 +10010001 +00000000 +00010111 +00000111 +11110100 +10010001 +00000000 +10001010 +00001000 +10001000 +10001010 +00001000 +10001111 +10010001 +00000000 +10010001 +00000100 +10010001 +00000100 +00011011 +00001011 +10001011 +00001000 +10000000 +10001011 +00001000 +10000111 +11000000 +10010001 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 +10001011 +00001000 +10001000 +10001011 +00001000 +10001111 +00000000 +00011011 +00001011 +00000001 +10010101 +10010001 +00000000 +10010001 +10001100 +00001000 +10000000 +10001100 +00001000 +10000111 +00000000 +10010001 +00000100 +10010001 +00000100 +11100000 +11100000 +00010111 +10001100 +00001000 +10001000 +10001100 +00001000 +10001111 +00000111 +11110100 +11100000 +11100000 +00000001 +10010101 +10010001 +00000100 +10001101 +00001000 +10000000 +10001101 +00001000 +10000111 +10010001 +00000100 +10010001 +00000000 +10010001 +00000000 +00010111 +00000111 +10001101 +00001000 +10001000 +10001101 +00001000 +10001111 +11110001 +10010001 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 +10001110 +00001000 +10000000 +10001110 00001000 10000111 -10010100 00000000 -10010100 +00010111 +00000111 +11110100 +10010001 00000000 -10010100 -00000001 -10010100 +10010001 00000000 -10000100 +10001110 00001000 10001000 -10000100 +10001110 00001000 10001111 -00100100 -10111110 -11101111 +10010001 +00000100 +10010001 +00000100 11100000 -10111111 -10111111 11100000 -11100110 -10000101 +00011011 +00001011 +10001111 00001000 10000000 -10000101 +10001111 00001000 10000111 +01010000 +01000000 +11110100 +11000000 +10010001 +00000100 +10010001 +00000100 +10001111 +00001000 +10001000 +10001111 +00001000 +10001111 +10010001 +00000000 +10010001 +00000000 +11100000 +11100000 +00011011 +00001011 +10010000 +00001000 +10000000 +10010000 +00001000 +10000111 +01010000 +01000000 +11110000 11100000 -11101101 11100000 11000000 +11100000 +11100000 10010000 -10010010 -00110110 -00000111 -10000101 00001000 10001000 -10000101 +10010000 00001000 10001111 -11110111 +00000001 +10010101 +10010001 +00001000 +10010001 +00001000 +10010001 +00000000 +10010001 +00001000 +10000000 +10010001 +00001000 +10000111 +10010001 +00000000 11100000 -11100110 11100000 -11000000 +00010111 +00000111 +11110100 +11100000 +10010001 +00001000 +10001000 +10010001 +00001000 +10001111 +11100000 +00000001 +10010101 +10010001 +00000000 +10010001 +00000000 +10010001 10010010 -00110111 +00001000 +10000000 +10010010 +00001000 +10000111 +00001000 +10010001 +00001000 +00010111 00000111 -10000110 +11110001 +10010001 +00000000 +10010010 +00001000 +10001000 +10010010 +00001000 +10001111 +10010001 +00000000 +10010001 +00001000 +10010001 +00001000 +00010111 +00000111 +10010011 00001000 10000000 -10000110 +10010011 00001000 10000111 -11110111 +11110100 +10010001 +00001000 +10010001 +00001000 +10010001 +00000000 +10010001 +10010011 +00001000 +10001000 +10010011 +00001000 +10001111 +00000000 +11100000 +11100000 +00011011 +00001011 +01010000 +01000000 +11110100 10010100 -00000011 +00001000 +10000000 10010100 +00001000 +10000111 +11000000 +10010001 +00000000 +10010001 00000000 +10010001 +00001000 +10010001 +10010100 +00001000 +10001000 +10010100 +00001000 +10001111 +00001000 +11100000 +11100000 +00011011 +00001011 +01010000 +01000000 +11110000 +10010101 +00001000 +10000000 +10010101 +00001000 +10000111 +11100000 +11100000 +11000000 +11100000 +11100000 +00000001 +10010101 +00101011 +10010101 +00001000 +10001000 +10010101 +00001000 +10001111 +11110000 +11101000 +10111011 +11100000 +10111011 +10010101 +10111010 +10111010 +10010110 +00001000 +10000000 +10010110 +00001000 +10000111 +10010101 +10111011 +10111011 +10111011 +10111011 +10010101 +10010100 +10011010 +10010110 +00001000 +10001000 +10010110 +00001000 +10001111 +11100000 +11100000 +10010111 +11110111 +11001111 +00101111 +01010011 +00110000 +10010111 +00001000 +10000000 +10010111 +00001000 +10000111 +11110100 00101111 00100111 -01110000 -10000110 +11111101 +10010101 +10010111 +10010101 +00101111 +10010111 00001000 10001000 -10000110 +10010111 00001000 10001111 -10111101 -10111001 -10110001 -01101001 -10111001 -00101011 -11110000 -10011010 -10000111 +01010110 +00110000 +11110100 +00101111 +00100111 +11111101 +10010101 +01010101 +10011000 00001000 10000000 -10000111 +10011000 00001000 10000111 +01000000 10010101 +00101111 +01010100 +00110000 +11110100 +00101111 +00100111 10011000 -10010101 -10010001 -00000000 -10010001 -00000000 -10010001 -10000111 00001000 10001000 -10000111 +10011000 00001000 10001111 -00000000 +11111101 +10010101 +10010111 +10010101 +11101111 +11101111 +10010101 10010001 -00000000 -01011111 -01001111 -01001111 -01001111 -10010011 -10001000 +10011001 00001000 10000000 -10001000 +10011001 00001000 10000111 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -00101011 -10001000 +00000100 +10010001 +00000100 +01011111 +01001111 +11100000 +00110000 +00000111 +10011001 00001000 10001000 -10001000 +10011001 00001000 10001111 11110000 -10011000 -10010101 -10011010 -10010101 -10010110 11100000 -00110000 -10001001 +11100000 +10010001 +00000000 +10010001 +00000000 +11100000 +10011010 00001000 10000000 -10001001 +10011010 00001000 10000111 +11100000 +00010111 00000111 -11110000 +11110100 11100000 11100000 +00000001 10010101 -11111111 -10010101 -10010101 -10001001 +10011010 00001000 10001000 -10001001 +10011010 00001000 10001111 -10010101 -01001111 -10010101 -10010001 -00000100 10010001 -00000100 +00000000 10010001 -10001010 +00000000 +01011111 +01001111 +11100000 +00110000 +10011011 00001000 10000000 -10001010 +10011011 00001000 10000111 -00000000 +00000111 +11110000 +11100000 +11100000 10010001 -00000000 +00001000 +10010001 +00001000 +10011011 +00001000 +10001000 +10011011 +00001000 +10001111 +11100000 +11100000 00010111 00000111 11110100 -10010001 -00000000 -10001010 +11100000 +11100000 +00000001 +10011100 +00001000 +10000000 +10011100 +00001000 +10000111 +10010101 +10010010 +10010010 +10110110 +10010010 +00100100 +10010011 +10010011 +10011100 00001000 10001000 -10001010 +10011100 00001000 10001111 +10010011 +10010011 10010001 00000000 10010001 -00000100 +00000000 10010001 -00000100 -00011011 -00001011 -10001011 +00000000 +10011101 00001000 10000000 -10001011 +10011101 00001000 10000111 -11000000 -10010001 -00000100 -10010001 -00000100 10010001 00000000 -10010001 -10001011 +10010110 +00011101 +00011101 +10010011 +00000000 +10010011 +10011101 00001000 10001000 -10001011 +10011101 00001000 10001111 00000000 -00011011 -00001011 -00000001 -10010101 -10010001 +10010011 00000000 -10010001 -10001100 +10010011 +00000000 +11101000 +10111011 +11100000 +10011110 00001000 10000000 -10001100 +10011110 00001000 10000111 -00000000 +10111011 +10010100 10010001 -00000100 10010001 -00000100 -11100000 -11100000 -00010111 -10001100 +10010001 +10010001 +10010000 +10111110 +10011110 00001000 10001000 -10001100 +10011110 00001000 10001111 -00000111 -11110100 -11100000 -11100000 -00000001 +10010000 +10010000 10010101 -10010001 -00000100 -10001101 +10111010 +10111010 +10110011 +11101000 +10111011 +10011111 00001000 10000000 -10001101 +10011111 00001000 10000111 -10010001 -00000100 +11100000 +10111011 +00100111 +10010101 10010001 00000000 10010001 00000000 -00010111 -00000111 -10001101 +10011111 00001000 10001000 -10001101 +10011111 00001000 10001111 -11110001 10010001 00000100 10010001 00000100 -10010001 -00000000 -10010001 -10001110 +00010111 +00000111 +11110100 +11000000 +10100000 00001000 10000000 -10001110 +10100000 00001000 10000111 -00000000 -00010111 -00000111 -11110100 10010001 00000000 10010001 00000000 -10001110 +01011001 +01001111 +10000001 +10010001 +10100000 00001000 10001000 -10001110 +10100000 00001000 10001111 +00000000 10010001 -00000100 -10010001 -00000100 -11100000 +00000000 +10010110 11100000 -00011011 -00001011 -10001111 +00110000 +00000111 +11110000 +10100001 00001000 10000000 -10001111 +10100001 00001000 10000111 -01010000 -01000000 -11110100 -11000000 -10010001 -00000100 +11100000 +11100000 +10010011 +00000000 +10010011 +00000000 10010001 00000100 -10001111 +10100001 00001000 10001000 -10001111 +10100001 00001000 10001111 10010001 +00000100 +10010001 00000000 10010001 00000000 -11100000 -11100000 -00011011 -00001011 -10010000 +00010111 +00000111 +10100010 00001000 10000000 -10010000 +10100010 00001000 10000111 -01010000 -01000000 11110000 -11100000 -11100000 -11000000 -11100000 -11100000 -10010000 +10010001 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 +10100010 00001000 10001000 -10010000 +10100010 00001000 10001111 -00000001 -10010101 -10010001 -00001000 -10010001 -00001000 -10010001 00000000 +00010111 +00000111 +11110001 +10010001 +00000100 10010001 +00000100 +10100011 00001000 10000000 -10010001 +10100011 00001000 10000111 10010001 00000000 -11100000 -11100000 -00010111 -00000111 -11110100 -11100000 10010001 +00000000 +00011011 +00001011 +01010000 +01000000 +10100011 00001000 10001000 -10010001 +10100011 00001000 10001111 -11100000 -00000001 -10010101 +11110100 10010001 00000000 10010001 00000000 10010001 -10010010 +00000000 +10010001 +10100100 00001000 10000000 -10010010 +10100100 00001000 10000111 -00001000 -10010001 -00001000 -00010111 -00000111 -11110001 -10010001 00000000 -10010010 +10010110 +00011101 +00011101 +10010011 +00000000 +10010011 +00000000 +10100100 00001000 10001000 -10010010 +10100100 00001000 10001111 -10010001 +10010011 00000000 -10010001 -00001000 -10010001 -00001000 -00010111 -00000111 10010011 +00000000 +10011000 +10011011 +11000000 +10011000 +10100101 00001000 10000000 -10010011 +10100101 00001000 10000111 -11110100 -10010001 -00001000 +11000000 +10011010 +11000000 10010001 -00001000 +00000000 10010001 00000000 10010001 -10010011 +10100101 00001000 10001000 -10010011 +10100101 00001000 10001111 -00000000 -11100000 -11100000 +00000100 +10010001 +00000100 00011011 00001011 01010000 01000000 -11110100 -10010100 +11110110 +10100110 00001000 10000000 -10010100 +10100110 00001000 10000111 -11000000 +11001111 10010001 00000000 10010001 00000000 10010001 -00001000 +00000000 10010001 -10010100 +10100110 00001000 10001000 -10010100 +10100110 00001000 10001111 -00001000 -11100000 -11100000 -00011011 -00001011 -01010000 -01000000 -11110000 -10010101 +00000000 +01011111 +01001111 +01001111 +01001111 +10011000 +10010001 +00000000 +10100111 00001000 10000000 -10010101 +10100111 00001000 10000111 -11100000 -11100000 -11000000 -11100000 -11100000 -00000001 -10010101 -00101011 -10010101 +10010001 +00000000 +10010001 +00000100 +10010001 +00000100 +00010111 +00000111 +10100111 00001000 10001000 -10010101 +10100111 00001000 10001111 -11110000 -11101000 -10111011 -11100000 -10111011 -10010101 -10111010 -10111010 -10010110 +11110011 +10010011 +00000000 +10010011 +00000000 +10010011 +00000000 +10010011 +10101000 00001000 10000000 -10010110 +10101000 00001000 10000111 +00000000 +11001111 +00101111 +00100111 +11111101 10010101 -10111011 -10111011 -10111011 -10111011 10010101 10010010 -10010010 -10010110 +10101000 00001000 10001000 -10010110 +10101000 00001000 10001111 +10010010 10110110 10010010 00100100 @@ -3989,193 +4928,248 @@ 10010011 10010011 10010011 -10010001 -10010111 +10101001 00001000 10000000 -10010111 +10101001 00001000 10000111 -00000000 +10010011 +10010011 10010001 -00000000 +00001000 10010001 -00000000 +00001000 10010001 00000000 -10010110 -10010111 +10101001 00001000 10001000 -10010111 +10101001 00001000 10001111 -00011101 -00011101 -10010011 +10010001 00000000 +00010111 +00000111 +11110000 +10010001 +00001000 +10010001 +10101010 +00001000 +10000000 +10101010 +00001000 +10000111 +00001000 +01011001 +01001111 +10000001 +10010001 +00001000 +10010001 +00001000 +10101010 +00001000 +10001000 +10101010 +00001000 +10001111 +10010110 +11100000 +00110000 +00000111 +11110100 10010011 -00000000 +00001000 10010011 -00000000 -10011000 +10101011 00001000 10000000 -10011000 +10101011 00001000 10000111 -10010011 -00000000 +00001000 +10111001 10010100 +11000000 +11100000 +11100000 +11001111 +10011000 +10101011 +00001000 +10001000 +10101011 +00001000 +10001111 +10010001 +10010001 10010001 10010001 10010001 10010001 10010000 -10011000 +10111110 +10101100 00001000 -10001000 -10011000 +10000000 +10101100 00001000 -10001111 -10111110 +10000111 10010000 10010000 10010101 -10010100 -10011010 +00101111 +10010001 +00000000 +10010001 +00000000 +10101100 +00001000 +10001000 +10101100 +00001000 +10001111 +01011111 +01001111 +11100000 +00110000 +00000111 +11110000 11100000 11100000 -10011001 +10101101 00001000 10000000 -10011001 +10101101 00001000 10000111 -10010111 -11110111 -11001111 -00101111 -01010011 -00110000 -11110100 -00101111 -10011001 +10010001 +00001000 +10010001 +00001000 +00010111 +00000111 +11110011 +10010001 +10101101 00001000 10001000 -10011001 +10101101 00001000 10001111 -00100111 -11111101 -10010101 -10010111 -10010101 -00101111 -01010110 -00110000 -10011010 +00000000 +10010001 +00000000 +01011001 +01001111 +10000011 +10010001 +00000000 +10101110 00001000 10000000 -10011010 +10101110 00001000 10000111 -11110100 -00101111 -00100111 -11111101 -10010101 -01010101 -01000000 -10010101 -10011010 +10010001 +00000000 +10010110 +11100000 +00110000 +00000111 +11110000 +11100000 +10101110 00001000 10001000 -10011010 +10101110 00001000 10001111 -00101111 -01010100 -00110000 -11110100 -00101111 -00100111 -11111101 -10010101 +11100000 +10010011 +00000000 +10010011 +00000000 10011011 +11000000 +10011000 +10101111 00001000 10000000 -10011011 +10101111 00001000 10000111 -10010111 -10010101 -11101111 -11101111 -10010101 -10110011 -00100111 +11000000 +10011010 +10011010 10010101 -10011011 +10010010 +10010010 +10110110 +10010010 +10101111 00001000 10001000 -10011011 +10101111 00001000 10001111 -10010001 -00000100 -10010001 -00000100 -01011111 -01001111 -11100000 -00110000 -10011100 +00100100 +10010011 +10010011 +10010011 +10010011 +10010011 +10010011 +10010011 +10110000 00001000 10000000 -10011100 +10110000 00001000 10000111 -00000111 -11110000 -11100000 +10010011 +10011011 +11000000 +11101100 11100000 -10010001 -00000000 -10010001 +10010011 00000000 -10011100 +10010011 +10110000 00001000 10001000 -10011100 +10110000 00001000 10001111 +00000000 +10011011 +11000000 +11101100 11100000 -11100000 -00010111 -00000111 -11110100 -11100000 -11100000 -00000001 -10011101 +10010011 +00000000 +10010011 +10110001 00001000 10000000 -10011101 +10110001 00001000 10000111 -10010101 -10010001 00000000 10010001 -00000000 +00000100 +10010001 +00000100 01011111 01001111 11100000 -10011101 +10110001 00001000 10001000 -10011101 +10110001 00001000 10001111 00110000 @@ -4184,71 +5178,43 @@ 11100000 11100000 10010001 -00001000 -10010001 -10011110 -00001000 -10000000 -10011110 -00001000 -10000111 -00001000 -11100000 -11100000 -00010111 -00000111 -11110100 -11100000 -11100000 -10011110 -00001000 -10001000 -10011110 -00001000 -10001111 -00000001 -10010101 -10010001 -00000000 -10010001 00000000 10010001 -00000100 -10011111 +10110010 00001000 10000000 -10011111 +10110010 00001000 10000111 -10010001 -00000100 +00000000 00010111 00000111 11110100 11000000 10010001 -00000000 -10011111 +00000100 +10010001 +10110010 00001000 10001000 -10011111 +10110010 00001000 10001111 -10010001 -00000000 +00000100 +10110001 01011001 01001111 -10000001 +10000011 10010001 -00000000 +00000100 10010001 -10100000 +10110011 00001000 10000000 -10100000 +10110011 00001000 10000111 -00000000 +00000100 10010110 11100000 00110000 @@ -4256,24 +5222,24 @@ 11110000 11100000 11100000 -10100000 +10110011 00001000 10001000 -10100000 +10110011 00001000 10001111 10010011 -00000000 +00000100 10010011 -00000000 +00000100 10010001 00000100 10010001 00000100 -10100001 +10110100 00001000 10000000 -10100001 +10110100 00001000 10000111 10010001 @@ -4282,14 +5248,15 @@ 00000000 00010111 00000111 -11110000 -10010001 -10100001 +11110100 +11000000 +10110100 00001000 10001000 -10100001 +10110100 00001000 10001111 +10010001 00000100 10010001 00000100 @@ -4297,41 +5264,41 @@ 00000000 10010001 00000000 -00010111 -10100010 +10110101 00001000 10000000 -10100010 +10110101 00001000 10000111 +00010111 00000111 -11110001 -10010001 -00000100 +11110101 10010001 -00000100 +00000000 10010001 00000000 -10100010 +10010001 +10110101 00001000 10001000 -10100010 +10110101 00001000 10001111 +00000100 10010001 -00000000 +00000100 00011011 00001011 01010000 01000000 -11110100 -10010001 -10100011 +11110101 +10110110 00001000 10000000 -10100011 +10110110 00001000 10000111 +10010001 00000000 10010001 00000000 @@ -4339,13 +5306,13 @@ 00000000 10010001 00000000 -10010110 -10100011 +10110110 00001000 10001000 -10100011 +10110110 00001000 10001111 +10010110 00011101 00011101 10010011 @@ -4353,1231 +5320,1147 @@ 10010011 00000000 10010011 -00000000 -10100100 +10110111 00001000 10000000 -10100100 +10110111 00001000 10000111 +00000000 10010011 00000000 -10011000 -10011011 -11000000 -10011000 -11000000 10011010 -10100100 -00001000 -10001000 -10100100 -00001000 -10001111 11000000 10010001 -00000000 -10010001 -00000000 -10010001 -00000100 -10010001 -10100101 -00001000 -10000000 -10100101 -00001000 -10000111 00000100 -00011011 -00001011 -01010000 -01000000 -11110110 -11001111 10010001 -10100101 +10110111 00001000 10001000 -10100101 +10110111 00001000 10001111 -00000000 -10010001 -00000000 -10010001 -00000000 -10010001 -00000000 -01011111 -10100110 -00001000 -10000000 -10100110 -00001000 -10000111 -01001111 -01001111 -01001111 -10011000 +00000100 10010001 00000000 10010001 00000000 -10100110 -00001000 -10001000 -10100110 -00001000 -10001111 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -10010011 -10100111 +00011011 +00001011 +01010000 +10111000 00001000 10000000 -10100111 +10111000 00001000 10000111 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 +01000000 +11110000 11001111 -10100111 -00001000 -10001000 -10100111 -00001000 -10001111 -00101111 -00100111 -11111101 -10010101 -10010101 -10010010 -10010010 -10110110 -10101000 -00001000 -10000000 -10101000 -00001000 -10000111 -10010010 -00100100 -10010011 -10010011 -10010011 -10010011 +11101100 +11100000 10010011 +00000000 10010011 -10101000 +10111000 00001000 10001000 -10101000 +10111000 00001000 10001111 -10010001 -00001000 -10010001 -00001000 -10010001 00000000 +11001111 +10110111 +01101000 +10111111 +10010100 10010001 -00000000 -10101001 +10010001 +10111001 00001000 10000000 -10101001 +10111001 00001000 10000111 -00010111 -00000111 -11110000 10010001 -00001000 10010001 -00001000 -01011001 -10101001 +10010001 +10010001 +10010001 +10010001 +10010000 +10111110 +10111001 00001000 10001000 -10101001 +10111001 00001000 10001111 -01001111 -10000001 -10010001 -00001000 -10010001 -00001000 -10010110 +10010000 +10010000 +10010101 +11101111 11100000 -10101010 +10111111 +10111111 +11101010 +10111010 00001000 10000000 -10101010 +10111010 00001000 10000111 -00110000 -00000111 -11110100 -10010011 +10111001 +10111000 +10010010 +00000000 +10010010 +00000000 +10010010 +00000100 +10111010 00001000 -10010011 +10001000 +10111010 +00001000 +10001111 +10010010 +00000100 +10010010 +00001000 +10010010 +00001000 +10010010 +00000000 +10111011 +00001000 +10000000 +10111011 00001000 +10000111 +10010010 +00000000 +10111100 +10111000 +10110001 +01101001 10111001 -10101010 +10011000 +10111011 00001000 10001000 -10101010 +10111011 00001000 10001111 +11101111 +10111111 +10111110 10010100 -11000000 -11100000 -11100000 -11001111 -10011000 10010001 +00000000 10010001 -10101011 +00000000 +10111100 00001000 10000000 -10101011 +10111100 00001000 10000111 10010001 +00000000 10010001 -10010001 -10010001 -10010000 -10111110 -10010000 -10010000 -10101011 +00000000 +10011010 +10010110 +00011101 +00011101 +10111100 00001000 10001000 -10101011 +10111100 00001000 10001111 -10010101 -00101111 -10010001 +10010011 00000000 -10010001 +10010011 00000000 -01011111 -01001111 -10101100 +10010011 +00000000 +10010011 +00000000 +10111101 00001000 10000000 -10101100 +10111101 00001000 10000111 -11100000 -00110000 -00000111 -11110000 +10011000 11100000 11100000 10010001 -00001000 -10101100 +00000000 +10010001 +00000000 +10010001 +10111101 00001000 10001000 -10101100 +10111101 00001000 10001111 +00000100 10010001 -00001000 +00000100 00010111 00000111 -11110011 -10010001 -00000000 +11110100 +11000001 10010001 -10101101 +10111110 00001000 10000000 -10101101 +10111110 00001000 10000111 00000000 -01011001 -01001111 -10000011 10010001 00000000 +01011001 +01001111 +10000001 10010001 00000000 -10101101 +10111110 00001000 10001000 -10101101 +10111110 00001000 10001111 +10010001 +00000000 10010110 11100000 00110000 00000111 11110000 11100000 -11100000 -10010011 -10101110 +10111111 00001000 10000000 -10101110 +10111111 00001000 10000111 +11100000 +10010011 00000000 10010011 00000000 -10011011 +10010001 +00000100 +10010001 +10111111 +00001000 +10001000 +10111111 +00001000 +10001111 +00000100 +10010001 +00000000 +10010001 +00000000 +00010111 +00000111 +11110100 11000000 -10011000 +00001000 +10000000 +11000000 +00001000 +10000111 +11000001 +10010001 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 11000000 -10011010 -10101110 00001000 10001000 -10101110 +11000000 00001000 10001111 -10011010 -10010101 -10010010 -10010010 -10110110 -10010010 -00100100 -10010011 -10101111 +00000000 +00010111 +00000111 +11110000 +11000001 +10010001 +00000000 +10010001 +11000001 00001000 10000000 -10101111 +11000001 00001000 10000111 -10010011 -10010011 -10010011 -10010011 -10010011 -10010011 -10010011 -10011011 -10101111 +00000000 +10010001 +00000100 +10010001 +00000100 +00011011 +00001011 +01010000 +11000001 00001000 10001000 -10101111 +11000001 00001000 10001111 -11000000 -11101100 -11100000 -10010011 -00000000 -10010011 -00000000 +01000000 +11110000 +11000001 10011011 -10110000 +11000001 +10011000 +00100111 +11111101 +11000010 00001000 10000000 -10110000 +11000010 00001000 10000111 -11000000 -11101100 -11100000 -10010011 -00000000 -10010011 -00000000 -10010001 -10110000 +10010101 +00110000 +11110100 +11000001 +00110000 +11110100 +11000011 +00110000 +11000010 00001000 10001000 -10110000 +11000010 00001000 10001111 -00000100 -10010001 -00000100 -01011111 -01001111 -11100000 +11110100 +11000010 00110000 -00000111 -10110001 +11110000 +11001111 +10010001 +00000000 +10010001 +11000011 00001000 10000000 -10110001 +11000011 00001000 10000111 -11110000 -11100000 -11100000 +00000000 10010001 00000000 10010001 00000000 -00010111 -10110001 +10010010 +00000000 +10010010 +11000011 00001000 10001000 -10110001 +11000011 00001000 10001111 -00000111 -11110100 -11000000 -10010001 -00000100 -10010001 -00000100 -10110001 -10110010 +00000000 +10010010 +00000000 +10010010 +00000000 +00101111 +00100111 +11111101 +11000100 00001000 10000000 -10110010 +11000100 00001000 10000111 -01011001 -01001111 -10000011 +10010101 +00101111 +00101111 +00101111 10010001 -00000100 +00000000 10010001 -00000100 -10010110 -10110010 +00000000 +11000100 00001000 10001000 -10110010 +11000100 00001000 10001111 +01011111 +01001111 11100000 00110000 00000111 11110000 11100000 11100000 -10010011 -00000100 -10110011 +11000101 00001000 10000000 -10110011 +11000101 00001000 10000111 -10010011 -00000100 10010001 -00000100 +00001000 10010001 -00000100 +00001000 +00010111 +00000111 +11110011 10010001 -00000000 -10110011 +11000101 00001000 10001000 -10110011 +11000101 00001000 10001111 +00000000 10010001 00000000 -00010111 -00000111 -11110100 -11000000 +01011001 +01001111 +10000011 10010001 -00000100 -10110100 +00000000 +11000110 00001000 10000000 -10110100 +11000110 00001000 10000111 10010001 -00000100 -10010001 00000000 -10010001 -00000000 -00010111 +10010110 +11100000 +00110000 00000111 -10110100 +11110000 +11100000 +11000110 00001000 10001000 -10110100 +11000110 00001000 10001111 -11110101 -10010001 +11100000 +10010011 00000000 -10010001 +10010011 00000000 -10010001 -00000100 -10010001 -10110101 +10011011 +11000011 +10011000 +11000111 00001000 10000000 -10110101 +11000111 00001000 10000111 -00000100 -00011011 -00001011 -01010000 -01000000 -11110101 +10011010 +00000001 +00100111 +11111101 +10010101 +00101111 +00101111 10010001 -00000000 -10110101 +11000111 00001000 10001000 -10110101 +11000111 00001000 10001111 -10010001 -00000000 -10010001 00000000 10010001 00000000 -10010110 -00011101 -10110110 +01011111 +01001111 +11100000 +00110000 +00000111 +11001000 00001000 10000000 -10110110 +11001000 00001000 10000111 -00011101 -10010011 -00000000 -10010011 -00000000 -10010011 -00000000 -10010011 -10110110 +11110000 +11100000 +11100000 +10010001 +00001000 +10010001 +00001000 +00010111 +11001000 00001000 10001000 -10110110 +11001000 00001000 10001111 -00000000 -10011010 -11000000 -10010001 -00000100 +00000111 +11110011 10010001 -00000100 +00000000 10010001 -10110111 +00000000 +01011001 +01001111 +11001001 00001000 10000000 -10110111 +11001001 00001000 10000111 +10000011 +10010001 00000000 10010001 00000000 -00011011 -00001011 -01010000 -01000000 -11110000 -10110111 +10010110 +11100000 +00110000 +11001001 00001000 10001000 -10110111 +11001001 00001000 10001111 -11001111 -11101100 +00000111 +11110000 +11100000 11100000 10010011 00000000 10010011 00000000 -11001111 -10111000 +11001010 00001000 10000000 -10111000 +11001010 00001000 10000111 -10110111 -01101000 -10111111 -10010100 -10010001 -10010001 -10010001 -10010001 -10111000 +10011011 +11000011 +10011000 +10011010 +00100111 +11111101 +10010101 +00101111 +11001010 00001000 10001000 -10111000 +11001010 00001000 10001111 +00101111 +00101111 +00101111 10010001 +00000000 10010001 -10010001 -10010001 -10010000 -10111110 -10010000 -10010000 -10111001 +00000000 +01011111 +11001011 00001000 10000000 -10111001 +11001011 00001000 10000111 -10010101 -11101111 +01001111 11100000 -10111111 -10111111 -11101010 -10111001 -10111000 -10111001 +00110000 +00000111 +11110000 +11100000 +11100000 +10010001 +11001011 00001000 10001000 -10111001 +11001011 00001000 10001111 -10010010 -00000000 -10010010 -00000000 -10010010 -00000100 -10010010 -00000100 -10111010 -00001000 -10000000 -10111010 -00001000 -10000111 -10010010 00001000 -10010010 +10010001 00001000 -10010010 -00000000 -10010010 +00010111 +00000111 +11110011 +10010001 00000000 -10111010 -00001000 -10001000 -10111010 -00001000 -10001111 -10111100 -10111000 -10110001 -01101001 -10111001 -10011000 -11101111 -10111111 -10111011 +11001100 00001000 10000000 -10111011 +11001100 00001000 10000111 -10111110 -10010100 10010001 00000000 +01011001 +01001111 +10000011 10010001 00000000 10010001 -00000000 -10111011 +11001100 00001000 10001000 -10111011 +11001100 00001000 10001111 -10010001 00000000 -10011010 10010110 -00011101 -00011101 -10010011 -00000000 -10111100 +11100000 +00110000 +00000111 +11110000 +11100000 +11100000 +11001101 00001000 10000000 -10111100 +11001101 00001000 10000111 10010011 00000000 10010011 00000000 -10010011 -00000000 +10011011 +11000011 10011000 -11100000 -10111100 +10011010 +11001101 00001000 10001000 -10111100 +11001101 00001000 10001111 -11100000 10010001 00000000 10010001 00000000 -10010001 -00000100 -10010001 -10111101 +01011111 +01001111 +11100000 +00110000 +11001110 00001000 10000000 -10111101 +11001110 00001000 10000111 -00000100 -00010111 00000111 -11110100 -11000001 +11110000 +11100000 +11100000 10010001 -00000000 +00001000 10010001 -10111101 +00001000 +11001110 00001000 10001000 -10111101 +11001110 00001000 10001111 -00000000 -01011001 -01001111 -10000001 +00010111 +00000111 +11110011 10010001 00000000 10010001 00000000 -10111110 +01011001 +11001111 00001000 10000000 -10111110 +11001111 00001000 10000111 +01001111 +10000011 +10010001 +00000000 +10010001 +00000000 10010110 11100000 +11001111 +00001000 +10001000 +11001111 +00001000 +10001111 00110000 00000111 11110000 11100000 11100000 10010011 -10111110 +00000000 +10010011 +11010000 +00001000 +10000000 +11010000 +00001000 +10000111 +00000000 +10011011 +11000011 +10011000 +10011010 +10010001 +00000000 +10010001 +11010000 00001000 10001000 -10111110 +11010000 00001000 10001111 00000000 -10010011 +10010001 +00000100 +10010001 +00000100 +00010111 +00000111 +11110000 +11010001 +00001000 +10000000 +11010001 +00001000 +10000111 +11001110 +10011000 +10010001 +00000000 +10010001 00000000 10010001 00000100 +11010001 +00001000 +10001000 +11010001 +00001000 +10001111 10010001 00000100 +00010111 +00000111 +11110000 +11001110 +10011000 10010001 -10111111 +11010010 00001000 10000000 -10111111 +11010010 00001000 10000111 00000000 10010001 -00000000 +00000000 +10010001 +00000100 +10010001 +00000100 00010111 -00000111 -11110100 -11000001 -10010001 -10111111 +11010010 00001000 10001000 -10111111 +11010010 00001000 10001111 -00000100 +00000111 +11110011 +11001110 10010001 00000100 10010001 -00000000 +00000100 10010001 -00000000 -00010111 -11000000 +11010011 00001000 10000000 -11000000 +11010011 00001000 10000111 -00000111 -11110000 -11000001 -10010001 00000000 10010001 00000000 -10010001 -11000000 -00001000 -10001000 -11000000 -00001000 -10001111 -00000100 -10010001 -00000100 00011011 00001011 01010000 01000000 11110000 -11000001 +11010011 00001000 -10000000 -11000001 +10001000 +11010011 00001000 -10000111 -11000001 -10011011 -11000001 +10001111 +11001110 10011000 +10011001 +11001110 +10011010 00100111 11111101 10010101 -00110000 -11000001 -00001000 -10001000 -11000001 -00001000 -10001111 -11110100 -11000001 -00110000 -11110100 -11000011 -00100011 -11110000 -11001111 -11000010 +11010100 00001000 10000000 -11000010 +11010100 00001000 10000111 +00110000 +11110000 +11001110 10010001 00000000 10010001 00000000 -01011111 -01001111 -11100000 -00110000 -11000010 +10010001 +11010100 00001000 10001000 -11000010 +11010100 00001000 10001111 -00000111 -11110000 -11100000 -11100000 +00000100 10010001 -00001000 +00000100 +00010111 +00000111 +11110100 +11000001 10010001 -00001000 -11000011 +11010101 00001000 10000000 -11000011 +11010101 00001000 10000111 -00010111 -00000111 -11110011 -10010001 00000000 10010001 00000000 01011001 -11000011 +01001111 +10000001 +10010001 +00000000 +11010101 00001000 10001000 -11000011 +11010101 00001000 10001111 -01001111 -11100100 -10000011 -10010001 -00000000 10010001 00000000 10010110 -11000100 -00001000 -10000000 -11000100 -00001000 -10000111 11100000 00110000 00000111 11110000 11100000 +11010110 +00001000 +10000000 +11010110 +00001000 +10000111 11100000 10010011 00000000 -11000100 -00001000 -10001000 -11000100 -00001000 -10001111 10010011 00000000 -10011011 -11000011 -10011000 -10011010 10010001 -00000000 -11000101 -00001000 -10000000 -11000101 -00001000 -10000111 +00000100 10010001 -00000000 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11000101 +11010110 00001000 10001000 -11000101 +11010110 00001000 10001111 -11100000 -11100000 +00000100 10010001 -00001000 +00000000 10010001 -00001000 +00000000 00010111 00000111 -11000110 +11110100 +11010111 00001000 10000000 -11000110 +11010111 00001000 10000111 -11110011 +11000001 10010001 -00000000 +00000100 +10010001 +00000100 10010001 00000000 -01011001 -01001111 -11100100 -11000110 +10010001 +11010111 00001000 10001000 -11000110 +11010111 00001000 10001111 -10000011 -10010001 00000000 +00010111 +00000111 +11110000 +11000001 10010001 00000000 -10010110 -11100000 -00110000 -11000111 +10010001 +11011000 00001000 10000000 -11000111 +11011000 00001000 10000111 -00000111 -11110000 -11100000 -11100000 -10010011 -00000000 -10010011 00000000 -11000111 +10010001 +00000100 +10010001 +00000100 +00011011 +00001011 +01010000 +11011000 00001000 10001000 -11000111 +11011000 00001000 10001111 +01000000 +11110000 +11000001 10011011 -11000010 +11000001 10011000 -10011010 -10010001 -00000000 -10010001 -00000000 -11001000 +00101111 +00100111 +11011001 00001000 10000000 -11001000 +11011001 00001000 10000111 -01011111 -01001111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -11001000 +11111101 +10010101 +10010001 +00000000 +10010001 +00000000 +10010001 +00000100 +11011001 00001000 10001000 -11001000 +11011001 00001000 10001111 10010001 -00001000 -10010001 -00001000 +00000100 00010111 00000111 -11110011 +11110100 +11000001 10010001 -11001001 +00000000 +11011010 00001000 10000000 -11001001 +11011010 00001000 10000111 -00000000 10010001 00000000 01011001 01001111 -11100100 -10000011 +10000001 10010001 -11001001 +00000000 +10010001 +11011010 00001000 10001000 -11001001 +11011010 00001000 10001111 00000000 -10010001 -00000000 10010110 11100000 00110000 00000111 11110000 -11001010 +11100000 +11100000 +11011011 00001000 10000000 -11001010 +11011011 00001000 10000111 -11100000 -11100000 10010011 00000000 10010011 00000000 -10011011 -11000010 -11001010 +10010001 +00000100 +10010001 +00000100 +11011011 00001000 10001000 -11001010 +11011011 00001000 10001111 -10011000 -10011010 10010001 00000000 10010001 00000000 -01011111 -01001111 -11001011 +00010111 +00000111 +11110100 +11000001 +11011100 00001000 10000000 -11001011 +11011100 00001000 10000111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 10010001 -00001000 -11001011 +00000100 +10010001 +00000100 +10010001 +00000000 +10010001 +00000000 +11011100 00001000 10001000 -11001011 +11011100 00001000 10001111 -10010001 -00001000 00010111 00000111 -11110011 +11110000 +11000001 10010001 00000000 10010001 -11001100 +00000000 +11011101 00001000 10000000 -11001100 +11011101 00001000 10000111 -00000000 -01011001 -01001111 -11100101 -10000011 10010001 -00000000 +00000100 10010001 -11001100 +00000100 +00011011 +00001011 +01010000 +01000000 +11011101 00001000 10001000 -11001100 +11011101 00001000 10001111 -00000000 -10010110 -11100000 -00110000 -00000111 11110000 -11100000 -11100000 -11001101 -00001000 -10000000 -11001101 -00001000 -10000111 -10010011 -00000000 -10010011 -00000000 +11000001 10011011 -11000010 +11000001 10011000 -10011010 -11001101 +00101111 +00100111 +11111101 +11011110 00001000 -10001000 -11001101 +10000000 +11011110 00001000 -10001111 +10000111 +10010101 10010001 00000000 10010001 00000000 -01011111 -01001111 -11100000 -00110000 -11001110 -00001000 -10000000 -11001110 -00001000 -10000111 -00000111 -11110000 -11100000 -11100000 10010001 -00001000 +00000100 10010001 -00001000 -11001110 +11011110 00001000 10001000 -11001110 +11011110 00001000 10001111 +00000100 00010111 00000111 -11110011 +11110100 +11000000 10010001 00000000 10010001 -00000000 -01011001 -11001111 +11011111 00001000 10000000 -11001111 +11011111 00001000 10000111 +00000000 +01011001 01001111 -11100101 -10000011 +10000001 10010001 00000000 10010001 00000000 -10010110 -11001111 +11011111 00001000 10001000 -11001111 +11011111 00001000 10001111 +10010110 11100000 00110000 00000111 @@ -5585,167 +6468,139 @@ 11100000 11100000 10010011 -00000000 -11010000 +11100000 00001000 10000000 -11010000 +11100000 00001000 10000111 -10010011 -00000000 -10011011 -11000010 -10011000 -10011010 -10111010 -10111010 -11010000 -00001000 -10001000 -11010000 -00001000 -10001111 -10010111 -11110100 -11001110 -10011010 -10010001 00000000 -10010001 +10010011 00000000 -11010001 -00001000 -10000000 -11010001 -00001000 -10000111 10010001 00000100 10010001 00000100 -00010111 -00000111 -11110000 -11001110 -11010001 +10010001 +11100000 00001000 10001000 -11010001 +11100000 00001000 10001111 -10011000 -10010001 00000000 10010001 00000000 +00010111 +00000111 +11110100 +11000001 10010001 -00000100 -10010001 -11010010 +11100001 00001000 10000000 -11010010 +11100001 00001000 10000111 00000100 -00010111 -00000111 -11110000 -11001110 -10011000 10010001 -00000000 -11010010 -00001000 -10001000 -11010010 -00001000 -10001111 +00000100 10010001 00000000 10010001 -00000100 -10010001 -00000100 +00000000 00010111 -00000111 -11010011 +11100001 00001000 -10000000 -11010011 +10001000 +11100001 00001000 -10000111 -11110011 -11001110 -10010001 -00000100 +10001111 +00000111 +11110000 +11000000 10010001 -00000100 +00000000 10010001 00000000 -11010011 +10010001 +11100010 00001000 -10001000 -11010011 +10000000 +11100010 00001000 -10001111 +10000111 +00000100 10010001 -00000000 +00000100 00011011 00001011 01010000 01000000 11110000 -11001110 -11010100 +11100010 00001000 -10000000 -11010100 +10001000 +11100010 00001000 -10000111 +10001111 +11000000 +10011011 +11000000 10011000 -10011001 -11001110 -10011010 +00101111 00100111 11111101 10010101 -00110000 -11010100 +11100011 00001000 -10001000 -11010100 +10000000 +11100011 00001000 -10001111 -11110000 -11001110 +10000111 10010001 00000000 10010001 00000000 10010001 00000100 -11010101 +10010001 +00000100 +11100011 +00001000 +10001000 +11100011 +00001000 +10001111 +00010111 +00000111 +11110100 +10011000 +10010001 +00000000 +10010001 +00000000 +11100100 00001000 10000000 -11010101 +11100100 00001000 10000111 10010001 00000100 +10010001 +00000100 00010111 00000111 -11110100 -11000001 +11110011 10010001 -00000000 -11010101 +11100100 00001000 10001000 -11010101 +11100100 00001000 10001111 +00000000 10010001 00000000 01011001 @@ -5753,13 +6608,13 @@ 10000001 10010001 00000000 -10010001 -11010110 +11100101 00001000 10000000 -11010110 +11100101 00001000 10000111 +10010001 00000000 10010110 11100000 @@ -5767,13 +6622,13 @@ 00000111 11110000 11100000 -11100000 -11010110 +11100101 00001000 10001000 -11010110 +11100101 00001000 10001111 +11100000 10010011 00000000 10010011 @@ -5781,13 +6636,13 @@ 10010001 00000100 10010001 -00000100 -11010111 +11100110 00001000 10000000 -11010111 +11100110 00001000 10000111 +00000100 10010001 00000000 10010001 @@ -5795,13 +6650,13 @@ 00010111 00000111 11110100 -11000001 -11010111 +11100110 00001000 10001000 -11010111 +11100110 00001000 10001111 +11000000 10010001 00000100 10010001 @@ -5809,27 +6664,27 @@ 10010001 00000000 10010001 -00000000 -11011000 +11100111 00001000 10000000 -11011000 +11100111 00001000 10000111 +00000000 00010111 00000111 11110000 -11000001 +11000000 10010001 00000000 10010001 -00000000 -11011000 +11100111 00001000 10001000 -11011000 +11100111 00001000 10001111 +00000000 10010001 00000100 10010001 @@ -5837,28 +6692,40 @@ 00011011 00001011 01010000 -01000000 -11011001 +11101000 00001000 10000000 -11011001 +11101000 00001000 10000111 +01000000 11110000 -11000001 +11000000 10011011 -11000001 +11000000 10011000 -00101111 -00100111 -11111101 -11011001 +10111011 +10111011 +11101000 00001000 10001000 -11011001 +11101000 00001000 10001111 +10111011 +00101111 +00100111 +11111101 10010101 +10111011 +11001101 +10011000 +11101001 +00001000 +10000000 +11101001 +00001000 +10000111 10010001 00000000 10010001 @@ -5866,139 +6733,69 @@ 10010001 00000100 10010001 -11011010 -00001000 -10000000 -11011010 -00001000 -10000111 00000100 -00010111 -00000111 -11110100 -11000001 -10010001 -00000000 -10010001 -11011010 +11101001 00001000 10001000 -11011010 +11101001 00001000 10001111 -00000000 -01011001 -01001111 -10000001 +00010111 +00000111 +11110000 +11001110 +10011000 10010001 00000000 10010001 -00000000 -11011011 +11101010 00001000 10000000 -11011011 +11101010 00001000 10000111 -10010110 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 -11011011 -00001000 -10001000 -11011011 -00001000 -10001111 -00000000 -10010011 00000000 10010001 00000100 10010001 00000100 -10010001 -11011100 -00001000 -10000000 -11011100 -00001000 -10000111 -00000000 -10010001 -00000000 00010111 00000111 -11110100 -11000001 -10010001 -11011100 +11110011 +11101010 00001000 10001000 -11011100 +11101010 00001000 10001111 -00000100 -10010001 -00000100 +11001110 +10011000 10010001 00000000 10010001 00000000 -00010111 -11011101 +10010001 +00000100 +11101011 00001000 10000000 -11011101 +11101011 00001000 10000111 -00000111 -11110000 -11000001 -10010001 -00000000 -10010001 -00000000 -10010001 -11011101 -00001000 -10001000 -11011101 -00001000 -10001111 -00000100 10010001 00000100 -00011011 -00001011 -01010000 -01000000 +00010111 +00000111 11110000 -11011110 -00001000 -10000000 -11011110 -00001000 -10000111 -11000001 -10011011 -11000001 +11001110 10011000 -00101111 -00100111 -11111101 -10010101 -11011110 +10010001 +11101011 00001000 10001000 -11011110 +11101011 00001000 10001111 -10010001 00000000 10010001 00000000 @@ -6006,674 +6803,745 @@ 00000100 10010001 00000100 -11011111 +00010111 +11101100 00001000 10000000 -11011111 +11101100 00001000 10000111 -00010111 00000111 -11110100 -11000000 +11110011 +11001110 +10011000 10010001 00000000 10010001 00000000 -11011111 +11101100 00001000 10001000 -11011111 +11101100 00001000 10001111 -01011001 -01001111 -10000001 10010001 -00000000 +00000100 10010001 -00000000 -10010110 -11100000 +00000100 +00010111 +00000111 +11110000 +11001111 +11101101 00001000 10000000 -11100000 +11101101 00001000 10000111 -11100000 -00110000 -00000111 -11110000 -11100000 -11100000 -10010011 +10011000 +10010001 00000000 -11100000 +10010001 +00000000 +10010001 +00000100 +10010001 +11101101 00001000 10001000 -11100000 +11101101 00001000 10001111 -10010011 -00000000 -10010001 00000100 +00010111 +00000111 +11110011 +11001111 10010001 00000100 10010001 -00000000 -11100001 +11101110 00001000 10000000 -11100001 +11101110 00001000 10000111 +00000100 10010001 00000000 -00010111 -00000111 -11110100 -11000001 10010001 -00000100 -11100001 +00000000 +00011011 +00001011 +01010000 +11101110 00001000 10001000 -11100001 +11101110 00001000 10001111 +01000000 +11110000 +11001111 +10011000 +10011001 +11001111 +10011010 +11001111 +11101111 +00001000 +10000000 +11101111 +00001000 +10000111 +10010001 +00000100 10010001 00000100 10010001 00000000 10010001 00000000 -00010111 -00000111 -11100010 +11101111 +00001000 +10001000 +11101111 +00001000 +10001111 +00011011 +00001011 +01010000 +01000000 +11110000 +11001110 +10011000 +10011001 +11110000 00001000 10000000 -11100010 +11110000 00001000 10000111 -11110000 -11000000 -10010001 -00000000 +11001110 +10011010 +11001110 10010001 -00000000 +00000100 10010001 00000100 -11100010 +10010001 +11110000 00001000 10001000 -11100010 +11110000 00001000 10001111 +00000000 10010001 -00000100 +00000000 00011011 00001011 01010000 01000000 11110000 -11000000 -11100011 +11110001 00001000 10000000 -11100011 +11110001 00001000 10000111 -10011011 -11000000 +11001110 10011000 -00101111 -00100111 -11111101 -10010101 +10011001 +11001110 +10011010 +11001110 10010001 -11100011 +00000100 +11110001 00001000 10001000 -11100011 +11110001 00001000 10001111 -00000000 -10010001 -00000000 10010001 00000100 10010001 -00000100 -00010111 -11100100 +00000000 +10010001 +00000000 +00011011 +00001011 +11110010 00001000 10000000 -11100100 +11110010 00001000 10000111 -00000111 -11110100 +01010000 +01000000 +11110000 +11001111 10011000 -10010001 -00000000 -10010001 -00000000 -10010001 -11100100 +10011001 +11001111 +10011010 +11110010 00001000 10001000 -11100100 +11110010 00001000 10001111 -00000100 -10010001 -00000100 -00010111 -00000111 +11001111 +10111010 +10111010 +10110011 +11101000 +10111011 +11100000 +10111011 11110011 -10010001 -00000000 -11100101 00001000 10000000 -11100101 +11110011 00001000 10000111 +00101111 10010001 00000000 -01011001 -01001111 -10000001 10010001 00000000 -10010001 -11100101 +01011111 +01001111 +11100000 +11110011 00001000 10001000 -11100101 +11110011 00001000 10001111 -00000000 -10010110 -11100000 00110000 00000111 11110000 11100000 11100000 -11100110 +10010001 +00001000 +10010001 +11110100 00001000 10000000 -11100110 +11110100 00001000 10000111 -10010011 +00001000 +00010111 +00000111 +11110011 +10010001 00000000 -10010011 +10010001 00000000 +11110100 +00001000 +10001000 +11110100 +00001000 +10001111 +01011001 +01001111 +10000011 10010001 -00000100 +00000000 10010001 -00000100 -11100110 +00000000 +10010110 +11110101 +00001000 +10000000 +11110101 +00001000 +10000111 +11100000 +00110000 +00000111 +11110000 +11100000 +11100000 +10010011 +00000000 +11110101 00001000 10001000 -11100110 +11110101 00001000 10001111 -10010001 -00000000 -10010001 +10010011 00000000 -00010111 -00000111 -11110100 +10011011 11000000 -11100111 +10011000 +10011010 +11100000 +11100000 +11110110 00001000 10000000 -11100111 +11110110 00001000 10000111 -10010001 -00000100 -10010001 -00000100 +11001100 +00100011 +11110000 +11001100 10010001 00000000 10010001 00000000 -11100111 +11110110 00001000 10001000 -11100111 +11110110 00001000 10001111 -00010111 +01011111 +01001111 +11100000 +00110000 00000111 11110000 -11000000 -10010001 -00000000 -10010001 -00000000 -11101000 +11100000 +11100000 +11110111 00001000 10000000 -11101000 +11110111 00001000 10000111 10010001 -00000100 -10010001 -00000100 -00011011 -00001011 -01010000 -01000000 -11101000 -00001000 -10001000 -11101000 -00001000 -10001111 -11110000 -11000000 -10011011 -11000000 -10011000 -10111011 -10111011 -10111011 -11101001 00001000 -10000000 -11101001 +10010001 00001000 -10000111 -00101111 -00100111 -11111101 -10010101 -10111011 -11001101 -10011000 +00010111 +00000111 +11110011 10010001 -11101001 +11110111 00001000 10001000 -11101001 +11110111 00001000 10001111 00000000 10010001 00000000 +01011001 +01001111 +11100100 +10000011 10010001 -00000100 -10010001 -00000100 -00010111 -11101010 +11111000 00001000 10000000 -11101010 +11111000 00001000 10000111 -00000111 -11110000 -11001110 -10011000 -10010001 00000000 10010001 00000000 -11101010 +10010110 +11100000 +00110000 +00000111 +11110000 +11111000 00001000 10001000 -11101010 +11111000 00001000 10001111 -10010001 -00000100 -10010001 -00000100 -00010111 -00000111 -11110011 -11001110 -11101011 +11100000 +11100000 +10010011 +00000000 +10010011 +00000000 +10011011 +11000000 +11111001 00001000 10000000 -11101011 +11111001 00001000 10000111 10011000 +10011010 10010001 00000000 10010001 00000000 -10010001 -00000100 -10010001 -11101011 +01011111 +01001111 +11111001 00001000 10001000 -11101011 +11111001 00001000 10001111 -00000100 -00010111 +11100000 +00110000 00000111 11110000 -11001110 -10011000 +11100000 +11100000 10010001 -00000000 -11101100 +00001000 +11111010 00001000 10000000 -11101100 +11111010 00001000 10000111 10010001 -00000000 -10010001 -00000100 -10010001 -00000100 +00001000 00010111 00000111 -11101100 +11110011 +10010001 +00000000 +10010001 +11111010 00001000 10001000 -11101100 +11111010 00001000 10001111 -11110011 -11001110 -10011000 -10010001 00000000 +01011001 +01001111 +11100100 +10000011 10010001 00000000 10010001 -11101101 +11111011 00001000 10000000 -11101101 +11111011 00001000 10000111 -00000100 -10010001 -00000100 -00010111 +00000000 +10010110 +11100000 +00110000 00000111 11110000 -11001111 -10011000 -11101101 +11100000 +11100000 +11111011 00001000 10001000 -11101101 +11111011 00001000 10001111 -10010001 +10010011 00000000 -10010001 +10010011 00000000 -10010001 -00000100 -10010001 -00000100 -11101110 +10011011 +11000000 +10011000 +10011010 +11111100 00001000 10000000 -11101110 +11111100 00001000 10000111 -00010111 -00000111 -11110011 -11001111 10010001 -00000100 +00000000 10010001 -00000100 -11101110 +00000000 +01011111 +01001111 +11100000 +00110000 +11111100 00001000 10001000 -11101110 +11111100 00001000 10001111 +00000111 +11110000 +11100000 +11100000 10010001 -00000000 +00001000 10010001 -00000000 -00011011 -00001011 -01010000 -01000000 -11101111 +00001000 +11111101 00001000 10000000 -11101111 +11111101 00001000 10000111 -11110000 -11001111 -10011000 -10011001 -11001111 -10011010 -11001111 +00010111 +00000111 +11110011 10010001 -11101111 +00000000 +10010001 +00000000 +01011001 +11111101 00001000 10001000 -11101111 +11111101 00001000 10001111 -00000100 -10010001 -00000100 +01001111 +11100100 +10000011 10010001 00000000 10010001 00000000 -00011011 -11110000 +10010110 +11111110 00001000 10000000 -11110000 +11111110 00001000 10000111 -00001011 -01010000 -01000000 -11110000 -11001110 -10011000 -10011001 -11001110 +11100000 +00110000 +00000111 11110000 +11100000 +11100000 +10010011 +00000000 +11111110 00001000 10001000 -11110000 +11111110 00001000 10001111 +10010011 +00000000 +10011011 +11000000 +10011000 10011010 -11001110 -10010001 -00000100 -10010001 -00000100 10010001 00000000 -11110001 +11111111 00001000 10000000 -11110001 +11111111 00001000 10000111 10010001 00000000 -00011011 -00001011 -01010000 -01000000 +01011111 +01001111 +11100000 +00110000 +00000111 11110000 -11001110 -11110001 +11111111 00001000 10001000 -11110001 +11111111 00001000 10001111 -10011000 -10011001 -11001110 -10011010 -11001110 +11100000 +11100000 10010001 -00000100 +00001000 10010001 -11110010 00001000 +00010111 +00000111 10000000 -11110010 -00001000 +00000111 +10000000 +10000000 +00000111 10000111 -00000100 +11110011 10010001 00000000 10010001 00000000 -00011011 -00001011 -01010000 -11110010 -00001000 +01011001 +01001111 +11100101 +10000000 +00000111 10001000 -11110010 -00001000 +10000000 +00000111 10001111 -01000000 +10000011 +10010001 +00000000 +10010001 +00000000 +10010110 +11100000 +00110000 +10000001 +00000111 +10000000 +10000001 +00000111 +10000111 +00000111 11110000 -11001111 +11100000 +11100000 +10010011 +00000000 +10010011 +00000000 +10000001 +00000111 +10001000 +10000001 +00000111 +10001111 +10011011 +11000000 10011000 -10011001 -11001111 10011010 -11001111 -11110011 -00001000 -10000000 -11110011 -00001000 -10000111 -10110011 -00101111 10010001 00000000 10010001 00000000 +10000010 +00000111 +10000000 +10000010 +00000111 +10000111 01011111 01001111 -11110011 -00001000 -10001000 -11110011 -00001000 -10001111 11100000 00110000 00000111 11110000 11100000 11100000 +10000010 +00000111 +10001000 +10000010 +00000111 +10001111 10010001 00001000 -11110100 -00001000 -10000000 -11110100 -00001000 -10000111 10010001 00001000 00010111 00000111 11110011 10010001 +10000011 +00000111 +10000000 +10000011 +00000111 +10000111 00000000 10010001 -11110100 -00001000 -10001000 -11110100 -00001000 -10001111 00000000 01011001 01001111 +11100101 10000011 10010001 +10000011 +00000111 +10001000 +10000011 +00000111 +10001111 00000000 10010001 00000000 -11110101 -00001000 -10000000 -11110101 -00001000 -10000111 10010110 11100000 00110000 00000111 11110000 +10000100 +00000111 +10000000 +10000100 +00000111 +10000111 11100000 11100000 10010011 -11110101 -00001000 -10001000 -11110101 -00001000 -10001111 00000000 10010011 00000000 10011011 11000000 +10000100 +00000111 +10001000 +10000100 +00000111 +10001111 10011000 10011010 -11100000 -11110110 -00001000 +10111010 +10111010 +10010111 +11110100 +11001011 +10011010 +10000101 +00000111 10000000 -11110110 -00001000 +10000101 +00000111 10000111 -11100000 -11001100 +11001011 10011010 -11001101 +11001111 10011010 -11001101 +11001100 10011010 -11001101 -11110110 -00001000 +10011010 +11001100 +10000101 +00000111 10001000 -11110110 -00001000 -10001101 +10000101 +00000111 +10001111 10011010 -11001101 +11001100 10011010 11001100 10011010 11001111 +10011010 +11001111 +10000110 +00000111 +10000000 +10000110 +00000111 +10000101 +10011010 +11001111 +10011010 +11001111 +10011010 +11001111 11100111 diff --git a/images/keyboard.png b/images/keyboard.png new file mode 100644 index 0000000000000000000000000000000000000000..c81f5c5aacf17c7245c7dfff9940075bf62c844f GIT binary patch literal 69614 zcmeFZ2T+q=`!)!Qf`Sx9rGrY75_$_w1VNA@y#tKn8=aZG3pz@4Xo$^f%ZNekPn&sNMEA!=o(Q+XgADKeZ!=jAxWxn+|@e9Pha&>ER zk6j~MGF~e8_;9`4xbON&-Ht(%oJWlDDT!iO}vRGZ#ioK zyK@+jBz56c@TejmWTFoP+T2|+Fxb7ki^+9irbl_8zhRga6PY7pE*9%^f(OB{m_Vw4 zapE98crTh5X8^TEVn{4Jz&pIS><7u{I@CXJ)4iy@OH+gT-O#}bD#TzJcZ(Ve|$`8G`fiZT?qr4r4W>OqVudxpI6TSG5SBgOu zdlg)vV{X+x`k5^Bucc6NRusC2V%@Ilr}Qpee9b3;ZMex4^UtMzw|Y1=Hf6o~`(Q7z zK3s5d`bZMVRDrjDA8qpvPl5Zqi|9>#s_CLU7T~oSzcV zv26dGL_n7BzR>@l(HG9v7P&9{^Du=|T1D7Usu9DP^lKKvF*ZJ{qk zAE|uAelh6J>)0fzm-{EL9u?OLEa&}+9KhNOXa0N1{`7(~29ydE884y$o^sto?tg6# z(Fgx0Mv*a8{2bl2046Fw{ukT%AtM=U;NMN|LevVp5!h{t`TxzMW^;^>zIN%zN`Cy` z(G-r=7P@1$^T{sk1h0^$E9L**_5Zu$`d{Td>5b+mQaOyLrWezM=O?@I*NS~^F8}Y3 z8pl=cQMoY(yf1XQ?y&u9@&2Ci|NIZNKhgCYXPcd5=2E0T*`qYrDUZ%XDY8WGFL}y+ z$3?s!qmZe15MnIyf`Ct0I&=5$y5k+mKri+QUbfu7kGnhNhWQ%BW>unZWM#kucR5AQ z{UrZ;rUF509FZSA#cngj6Y>Wml5oyD(J`UBg8$_P3IzCsl!g~Z_L40DeQ}%r?;TGA zh6p#4Yy>$J6Sq98^vXu;AkyqnW?XkPwd-JzmT@VDc>9d{*4An4tK7@~)BNB)s$k7R zN9Lv}vnKUgaNVg1e5O4TAeDo%A`T1bWcV`gQl%%j9vmrW=IDqzWDD9G(d{1Sv*6!o zKX3D;TJ~t(qiXKMxHULUuk2|mT%*{9{`=xgcrX40RYvqIJUDt-T3R|Ae11wcT~<+{ zkT<9*-sFE!-h|n~4#0zd_4P%04$QkWda|_|=<8FD@>oQ)d)ZLSXbQ61`ZZOMrCpv`bTXh+G)ZRXmqK0s$w)RNVT)uO#u&Oj9w+Ikv!4<9jyKyHYHNaa*$5|obE z)eQcyoA4ef$-Mj^1QL5FwCIBj=gxN)ZWlkrGl~r0=FjEq<`86ol=%0#k}pW;0X+KOw6*n$-xi@x^CBO zQIMeDSRT6G8}M{te{)kthI|nW{A%c{N1uha*{HJW0`Cz$&Kvxw#gW{1_V(;n&&2cl zKOKZ+KO~vzr!W`W6VS$XgK-+@v?H|M97>Cocl$C1IJ!o@a@6eFILL|Ps?GL3wH2hP z%UIn0z$V|ZaWH@y2!G6W`PHMz8zCJzZKHyY&Ih!qrIC2+vHl$IEck5$#|`RDYg$_! zx%*O?A0|AFnQNRI{rF&M=kQKy3JQik`N27uT{IwX7^<+zcs9>C8-Tl8&E?(fUzd@d zo?v;nIzC44cXe)Pjv|03`3N(4H@7Mcc@@*&ue|iTx5nD!oToiN+{Q8UJ&$<{S$3S< zH%y_DDBT(OqJ=(#5`>ND3-~IpC~a&Py6d)~h`2mzui{U&y-F?LLV$I_TW49M$z(dMk%e`7#$EVTHkPjxBm=&X=Vd*_s z4`wrq#lQeBD=RBk+rwCq*_%&XfA)l#+m$V6t!>PB1+RRqzt1s1!)8N5K?0W;UpDk0 zCUIh?$bz$w-;yP0b&@!cX`fYF2%5-ZaP?YqIrvHrvO$rV0Q*gAmPBTFG$&8kV_7i{ zI(KswtOFS(A{0MMzaih-DTI|)rX4pV~@ zxbs$;0qx!X@i9jhTv{WaL`-3W^^X9l*``MRuLk2_vWqrvhGzEj|GKI&{HBeiJCeu` zp4s?`@;Wz!dkja96=D3k{O!_Oyi8-{d%lM65%(kTV}EfRDGBz4Cfd52agDI-`2~_l zV}8;&L9G2)XcnX*@KyNXmw7RA>U6ffqcH>Ci>tVpIYxNBJF!@)4%w8ma^M(cMozHr zP&i%-AIY7MI%H{6Zu#geNgRLbupq)f(#4ls=*`d#FB{Qy*2)-Byox2ETjT^Eo_{+I zXnVoC#Oqq4%|tFr9~l7L@CM(P-sPuXn@&IAnuC07mwUoOn25lH?d*CIx%>0m!wB{xLk?`n@*d!DF zf%(V&+gev-o@;yhD7>B_kpvq*{*a@mxQOUYr>VfL%D5v@(Lc+P=6QpaESG1sOJ{XB zOq`w1m*eBFhkaUWaYPNY_vgHNCi&$1#z7{%kmG}oB`esRzzJdM<9ZEW82dXVaU(KY zr=T{RFt014M7F%anZfw%`ALnMexkRBocb#CAhM(+_-G-owbjUwvDlS+EyH18us9As zrp_DfMd&8R^>d=oVftFPZ6n3*@u@YsZ9Qm>pQOBqC}XFR8X1D1L>~+@CwPDP*DsB+#7|9!zR;zqrH3I_xg)|MBP$)LhM8#Tb^YSs2ZUL{N}^o1 z#19(D7}Q9YVRe4bIg*cNcD#8Y->BdpF{Vm}B_&W3)q{O6_`w6ko5`e0lY0Kx`i2HX zXp<4-wcR9F&VZrGgnYO-8JnfYpOM_YqBhFw>DNAQ z&xb8~c`1c3Ej6DtzO5L;p|LM&#-}DG1RJ&pCwfHaQl`&&;%c(d9nY6~xSEARV&%>4 zcY385n;{^pHjP?IO(@K7px?5%tQM3Bhm_Nr5!I0Oh5?!^{k zFmz=@15P3%Zn9h0WmbJIUlvw68885PAwb-{L}8z zV7h?yKwFxEp*Gp=XmPa&mP6{zz_KuyKSua2IoFp2$zTEq9BNVNxZb;A+ z758fA+L!(TO~}ni(E#1Cy^4nEI0KLMH_37gdU4*$PLXzoHBIo8{^53(*e+7$I)2xum4y#paKP;{n6at7}1zdMbxeRg9?=%B22A?WeoMu~Pf zDHI`Z7B3Ym4(Fu2KFUF%mUhimLDyGPq1m92TwV;zCO1&C0 zcX~|bAi%P|N|#|jf8zTjWwOCxXjvQg?EX(i5sKZz0Qnv?=2>cx=X}VX;lYM9@Ch&- zls7Cp6okIy-ye*L@@$3$SJG$WVt}>OQOa?Y;wP=3e_V)HZ?ZIcK8(j~FSOki9j!&OGdp0&)ibRIrvAP!$h@On@t z(9nNahHDmM#$W0L&&ipJ?6nvY_F+m^8fSPkK^fhpN(*WRx%;NvR!@tD(cZ<%ter;; zfjFL!ft*rrG7)MO@0xK8h6UH}pUPuWEhOt0F~@W@=6#(#`g@PqpYU+9Qi;gbF(!(s z>C-~b?OOZ?-{x|RZ(yXB4vz2M4K6EPUEnwZ-vag@-bsLNMk~J=en$BO+uOjIti;%Y z7_kE$%a)z;CW|8Z>x{y24%U~(D(}5j$zRvi)#-69w%JK%qBx?4ikUzL^)TvJn`8pY z3gpOvskC_r;|Gmx*(NGQ;;7Gt6=T6WnX@-LTxpm?Fez$#ex&6U*qU*Fr}*1zyA&N- z9N>E6Uh1#@4p53Dh*4t4?D0bx@|C?KJLsBKy254b+|MkR^4j0(-zag-PCm03aHkp= zB)GNpbZ_6Q3C5b*`I0pWeJ!N2wUs`)YiPOF{qp^s0nR8lOy6znukE!pNBxwV@v@y6 zEo~LnB;9hDtMA*8zw6!PS3}M84TwFG{)+WI>ZBKP-1{Z_m3Fvn{Zl5CCCC=N=#wKdeJ0*McGDc(c zsk_;qfDmCPXT^*-xdA`O&bKTKa+YBA9I{?M15a6e^ z&s$q8dB;Zkn4rq!&nXYcM}d{DSm7w+irF@ItkY;Z)`BqX&Dl#WlRX$N4&4$gFQJ7 z3T7hbMR&mA@KGXYJj1;Z^ilMCK8tcs=RLpEeYm)8xx-guBII_=0Nba=sQTFV0{2Ck zuwiEt`v75+8cZw|knPSFJt)(#h+F3C^+0Q}Q@*L&RYB+&{(aGo&P;g|&|4!YB<{w0 zBQ$F=;VR8w(LQg zE#^Mz`!J-wLH_>IP(*pIRL%wr=9+Ca-MlC>*-9ZJGNtexYEeGoRiy_>MPjPKC#h!E zaxRZZi`4Q4c`H6jsjn(aycrIB z?o*NcIbHM%MagTH+6t-UeZ2XA|Mv3KyUoLZEZfdp+YDLS$76d4gi5xks#u=7jYPJC zFimKG;o8A*3xu<0wzF1@f&>DAhz$@t=U5Z{p>9rDCcEgsaeVCWuR1Q&t+ont&@Z(O zVag)9ip4I%E8f0(#6(W?t$NBvaDU(Hz{}gVJSJo3*T&G+AesB{T@g1l;%J+($V)|*2j&m`!$z>ae&UDF z3xHSW$dakm46fE4dstbq-qcUa%$%K=$S-or&msi7@p~;7<6Y8lHHAA_j6v5zm=qH! zqv4>Y=;ecH!qL}hO2ND;i0l^qh+UoZ>6SV>7^jKV-&gxi?d z8!#80qKu)1wh~qh?PGURn+wO`R84f&yP{%b>72=4Hg{D&luJs462T>WA>?z)bQD;= z&a3K_cT-cQ9megz^fBnx3ybKTQG{l8d!SKs$EwVV1~3C*Xvn@-yX$(HI0cFP{RI5* z$j(<))qMbPO!8P<9WUQwn}k~VQ{0F<&^4f~DKD#D|e0a;e+&^9uA1+wM ztt^$ZP@A%M1nR(-O%(j`B)F>yRz|rHg1>)AN?ja$i4Le zcf^$X^{K@@O6Wzd*zX`{2fRdodJVko8FpWA=h(;-WeYGSBL`ZAoBB)Hw3AVFaj!Kw6urA96)T4`y%%3I~Ot2+`cXERRcmMDCyNOlN@ZgXQ$0 z5_^bwRdh3%dHG+}DOGrA+=a~*KLi<$tW#lnrnPp#5c;A#dC2B0oC%Qa0qJ9{;pTx) z)1H!4F{*ikKPRUCfLnl!A9ZX{Gk#L9ap-2hQ=@i1B@~j#9M(BM$oMdhbw~_PRzO4q z?3TvmeN$*f@5JOZLWk_?RBwNOe^cXQc@b!lxZ3E5jUXsW%=&vmvjsC%!fTYr_8#Bc zD11Dm_ov8SiV0bbJbOfvT4)PGe{m;0LZ`GTlIfb*k+3I#vOj&(d;1x~uk_pP)|i7Z zi(=STY6CBNIOZ|8SU-9|()8wrT38n1kaBFGCmv9+r2-e5siFx{ZklIJTiCzGJ_ZrEOCkFs*(qjg7Udm9caw zVs(Sz#j04E*zTE`^_g`i+^w<=f({!BP%|~rS*^sdvVLbgu-~XOPRD|rlBYqqy_7*z zM21<>%&c|Zje)2ON zsJXtjzTZ6AI&FltUygk7cG+&Q^q~s2KDw*5l|l8U`F>F<7CWm5i|I1EC<)tYG$%kN z!T!NPkOtUgb`W{Dy!tQfZA*E-9`C?&-hMsm)S+k1tu)ZCQDlS0@dID`tdzGx~o_T3v{4ms!R%oO#08G zvAtt5hL0SBTc0*c2+_+^5jy_RH+Dh@Tyt=8Ur-#D)+8|p*OS`LP~3SiiRIEZ?D(c1NXqiwF+L(^#ubL7Z(xn zRH-Q`<;=QP)@0KsckU3#ke9<0t!lrTi-wmi_{WbBz^^M6czJunX+{28 zOb0gv;(3%(XzSM+bf3zjsT53^vn7A``_Ztlu;kyjC$BD+XT)ADDq>rjzD^g?F;}xi z*H;Bx@6kt*Wxw3R%gSm|*}&^I7_F`i<}nd*a&nG4)DL?Hd(MA(Y%xF9epbhqq+~Q! zI>K?=WVnChAo$Nb;UY3D?8;D+?asun#J%O-x>DVN99_D4HP$5g95R*eWy)B2kPa60 zI#538#qNMIqUk6?oiUU+ypvS+Dzjo#&+XKf?c>w-maPQp;YUoBh^DM^94&3;h@E!gR}3bn zumu>_xWhi&dxm-n2gj^Bb53NI}i+2)1_SkJdE~e z(-T|Ii84S~c_q?LkfOeP2jJ!C$b*J|z!hErQzWe>l-{F|y&v@pFv!lI4o7L7+xCEku}9^dMamxD z0%)GlkeE++!?2h=r<{*ElZ7RsQjZ$o#}jfpN^EQQ4M>eHi*!pqtLiQgho|6 z!3f*B`gOzGZezcMOqlIgMN;=&jGy&53*4 z6z@E{%p>hU>P12h-F-_OvZ*AvcyJ)?X*w3CiSD?!0^L#${@@YhCfUsAFZv};3p&>P z%JE$ULWJy=wb8s1If2obbX(vtD3p@K@7K=1MIx{}-3#Y?dnfHvP19bgpUSZhyA@L` zb2h&BY1!M`V+V4Av2bsLPC%~I!Ms`vE`51}uY1`}I8!Z0YFRw5hBW;cIK0fveQQo9 zty04NN8*jo*?-iB2UuAxdSE@Tw7B_bn9psElY1KJl-r9McpBNfCdwt7QN@;NR_PNr zmz8Y@oZ@P$gx5uch0o4H$##4TOVc6fQ@0Vjy_Gue^Zg+Vr*5L7dlNwEMjzhdvqLXf zgRtGQE<@P`J_087W+T(N%~eXW1rkpz(pIViqIlp5KSFjfTUr;+hFF%<9w}1G-rbV< zDe95eTRr7XXvF@zmM)?km;Xyvt5_>bWXYUk;yL^8Px$8h-wB-u9BczuW|w{6>;*<~ z7pXMCgj3#q!?+zMM;)^y^D+Pg$;oaFWK|Q3Zn^CBU()412@N3$xQy%iyBGKS;WC_~DnSf^AHLiv{yg5*3_%JsnR_jHeC6dL&-WNcL` zdvmpd486ZXNTt-4=Cri)*U3{Bnpnxe3HONr;N#1OaTA%ZLB53QJ8SFoH~Ce|v~E7_y!NBR?cnbqQY@-)Wd zp;E1OlNm8R3vesEpg)_YV*>9Af4hv}07G@=*l>p5d34k)W&uf7I^7z4;Lb*x7CMN3({w^ z=YPmZZ<~#*;FBe@SpqPP?sXQ*YGWE#)PM6Yh1Vi~*B{pK0^G-!u>eMGwhu|kqhpv;1Sk9K@URZ)RG?`c2Fl&z5` zC(zbzpMfO)xS`%^JUe~b-2~f*!F+W%*4EZCHr^pE?el&|v#HU4+bCwg;~F)Z|6vK&JM5DPNY>43v~K(J0iw#@f1_5XylxDwpbl z&eTuLmkvI%z3)0mm+EP(?tt$oNMpzyeZx!wpxnM2AiyUwcP+T$u8xZ5ZEa%2B=Hu8 z9E30U1_8bWIubZZvUZ(Du^!LMzi0_Oj!U!%A3VttNc2Gn;mK-S!qj|4Hv>T8&awzLHhzv{TkJ1YP`yu#|A^4DahsKvq1$BFWA`m z(?m*ABwDR_FzH{IzT+6b@Zss1y>0~>ef4~EQ@zNtPgqz4-(K{TD)t>O!|{U5V%^;mmwgW!^pz1d`m4q4-YDfvwH^)BdW`&!t5Fgk5U?DGx9RWW!C#tne4rOUO) zFaWY9mbvJZjsT1Di}7Shmk2i2*VFSkm>5x={IM4|5Yr$m!oHR9{>;e^iF_t2eG|l9 zVer{h1u>6eV!{Lve2EhFECAL=qFrlNP{q7Tf#e%lYfr^rdR(JW#dme~sxPjY$CtYG z(A-6g8q!udLW)XM7rsnxqyG4v5;b$AA`LTX;cepL$L81Ri3liJX1r+aGXh;Uhj(%C zQQEIR0e&pS-|XoWX08SB=DXYL0?pYjq;bQ5Z;~BY0=ImJe-*oC_RP>P9A4Y&(pfWt6 zVyx|! z1_bm9C@a+9rDYFjDl(lr#zxSN{^?tkRZn2Rl6sxrciXF^D#KJP5~`DvU4UlYXx8VY z`g8&4!^6oK;VUks!?mV&%uERqzi!!GWuOt6Fp`^7Yo&XDJv^SoB(GX~zPfS3@F%>0?Po zuLY~uJC`CYj;@8Z;ij9n{=|(nB=?Ojc5{MBqQ{-g`&o7HPd_%KrKM$@{$n)h47S|c zs(7uM@qnY<-pCicHzU&qR7yrx0GHFBb#mg%LcUpnCH6E*wLJV1)_@Y@z9RGIbR+n@ zWp0NeRUoDl@<1ehZN=efQfwBuO7pCAiGgCws& z<61c81@BK=EkmZc4ciT10x|Q(Q!HIS$PA%sPZ^?wyTr zCLn^PIg`Jbj_VB<;1mQ^I7{scs@2H(JhIiW1Tu}@8`+?INfbxSg2DG%B&xd`t3y&t zM?m3f<#>cJOs}{$`JDn|MGB#a5>qh5l2Q)qiW(0)3}OM2rnV46&v9D@$cBAe_t zj9a`wo@74;bp#7J1GGV|4ENrAfx3697Hc6GY%+9;wZ36kON}f3MN`zzZX6I^>UNN= zFAnrTq>806+R@r`#25TZ*Ef}6W=1a>)oBu+0tQJQB zdz6^3JaE&Xf>M4nz9Yc_SLKW{gFQRnk!Dana(MwR1#}PZAh-&u4jpmaP$O2*|aOFp_BI6aEi?l$&6S2G{Wv zG8@MJN-$rVNBFUN^^ zI4Cbah2ysXh2OWH$hoioQU(4GZ6E%BUsir`%m3@I7prHvYc0|zo1JO#m$ToqD}8vr zbi8n4r1C!$T>p9=THX+ap#j#wzn6X)^!C4K3Qs@b?i6d4=yAo(Kj(#SAD*y#A!kSa z4>XIL-gdUfdgq(GtxLV2GXF#ZcqSD|ZPH^_rCFp(ZDR7tXE30h zEu#?N66-{G-8^)p$m-QF7pOZev@UlM_=^?he3BTkRbJ4%UrQ33(%yi(-~8XCJ`C zIiB0s!*?w_Jw5f>lkS~Ogg0_Ff?b1_r3lz)J&1v~*s7M5l{L=(+#S(1q0VXc-Lb-X z=M63a*=6jF=6Ve|b-{!B>gr$Hct@Wj7gj&+p+RchUjY=^KzFyZ|Ca&eW4xCm=DzQG zZ&tJD1}g-vN=xyM`C%ri4Gfx;7V9SFzM#c{Ir%nAP8tpbk6WHxcMSFQn?R`W3D-a6qgf4qz5E_W`>*(l!jQM!&ujUl&e>q(vZEdz~{TCr}>HsCF z<~rDYs4@(4738tHAPoxR_Lr!YyFci6R$g9CbGnnRym)#r>pe5s*QdmqL_u&5F#k>! zJ#V*4$uCf^f??b6Sz}Q;;fe-eZ3#~s57!W7WodiZVl9rO-k%}aVEv`DE%=K|RseA< zzQzq8D1TshubnkOgTmcNt~8TWC2{m}7JC#x$41@WgV6faVt#p;?SX_GNoL*1?dUF` z2NgH+13wYoqNymMNtLQShV-Y*Wjwc^H2G}(nwZEyw$fugoGdN7Y{*3^qh5E;%iX`H zn7Ct=%TD9#CY-tUPz2~>yYUdJ2Or95Yn@gDJ}PJn(g@Ms2cov>aR=c{Ar7xE^Od>m zqdklvOkgUNSr-;Nlo(?MJrL&DGQ#(^232~sj&G3|o75N+L7HUCahi$%sf}vh8C2Kr zG*JGJw!0j}czw#8^9Q$7X%MsWg9#LWXbdz-{on;3$hUAlxLUfMNxH7`=y4Bymx}q1mfjq?{ zm&Cga=ui#Hk$qq{ri#byh}pu8VbX=Yf2K#ob9E2mxKJt77PY# zrK)Y*Mi3MYKxC+)5Ozj+{R&QiBdWowMHK z!C%q9Um&%T|c-<8t#aYS4^BBC98BSw9P=8R|<<8WHKQfM}b*CC;t7ZArCd0w$xnv8Ena< z-u&^9_%5?Sn|Dpd3MFHgKIw6#05G{Kjr(07<#wGU%M*3M{S7xZd5tlze2lBqo&n3v z$k8hIYA(YZKFp4<;iz>CoK~Iln=c)KLt<~f5OmpNLO9}c7XRnBy%zG*KV8}mOn=20 zK>^7Q5_rSeSwI!mYfztWyri$HQx$qzyi3kJqci9GJbGa04l4RIB&Pq3gT2Ac=q^$GmXZ-W1ltR+cM9CiRm-!& zUv!i_XSd*|-l0w(YBa>d(CA<{WKC7Z$&V@t|YtXxo`dkay3@$H| zIQ*qpQ0;u?G`Z+wG+Qh61F%n2S$n8IAf3he)fv!#%9vO^7V@5P5G)-DUC3&fEHN~K z?4x2o@^lqdKm_+Ann^&2T;ukr3z@Y88$fSH$0@g4yw+iUcH^S za`!1n!<#Jq;}Xbr560X`3N9EUTd$7vJ*iywnYO^mW=sBbx`Ao7gr}m)J8ycS^2<}4 zgG)eGfY>N4ITDJ09sk;bUmq+5GG~kHIXM9?v{W@V?Uu}N*_xr7UVZBcP+fz8>yUxz z+}m6&mWP;H-TnK#^%{&UKcmFn?TC4Spu&0-xgAVFqo*@GDB>yE9{T(Fdh(&XPgr(+VhkfVpC4mBEL zYL2%hK3Ul4rKq)w@=cfNT`mO=Pfh%k3UC|M*{=1Ce@=t2-)n_vNhXeNL12xWzZEmZ z3he79k~wu_oMd-U7W|5f((gglr!Y5RcS^e?Sdf*=elfA!whD#_Aq5cnHl+XXCN%yz z!c}BC2LTOqHzR|TLYRhM0fRr+|wBP07l4FqaV!S ziMclh&iAo11`gtp2YS>bbpR{A*GU{gPJ+H*sV_r#9wN6183W#;7&xr2U$u^3dryCG z(KG1JLL<(0t4@(B3{N9}Peb>ousrS>+S; zm~OLr6Hg{`7g80&-rpZ=Z?De=Z)z<42BD@4l)OOhqEQSW<<3b)KP_yK3l~W)JHP<- zXRlqgJ|==0B7!fECLJ8Q3z2UQpwIqTgN}{q3McP=1OkC^6RU}nyc2!8FVf!RGVkbq z8E^}S%pybRm1g61kl6UIWo!-U>_;xUa#_`Te8T`Iz92;tGlVc~C{yVL)SV;FFq}At z=;}b%LVLh*2p2N&w;O3p*-(J(yFgOca|L<9JCoz%U=r1ah7+y;cRu+s(C};&dQzwf zu}(`*2kF$Fl;2QU>^Bpm#!B9N>&c#QFq3z6CyZkifVku9f>Mzp#rzvSdJp@p$H6IN zMR$UI{yZmt4Z_Oz-XxHY1PAhM0jjAkya)!#3=NCwx zAoFjTjY#ykPnA^?yl{GhUItz$#urWuL>s`Ges$Vs=v@izaNqJ_O{%g=1`gG91isJ< zYV*(?gkYT&@Hzg`?yXRoVY zSk30XvvX_pbC$iXLwvo1?%?AOq|MSmd9_+fL11cbo>%urKLumb7T96%1;AjE z=3!g9CV|NY71?t4i8})=#!KNEw&v!Y0mbZn$gF?oW>g|&P z0*>R#af?U?VdQX?t^2!Z?pU@CQbhQ@Y&_ZB9N_PV!si@8#c|QhblryJZqP$Y0~m~E zxs{tLD{u#460kcM8+?8+o9PTVZg6`~59uH7C$C;I5s8b7hiqz2up+C)?hKZ7+#(%`Mlg0+2sax`TcRNzYdKHhZL z-_(3I>5aIb5cY!i&u;Iny!~nzL^FRZ9N0*b6u~@L>@!Q#SNHS+8$EF;2=vpxESl65 z))#tnc`t6XkNu5vV7mcgru}@bFFAiJ(RQZrySgfNLNy>{;d6a{G80jE0CUj;3d6{A z&|6ZRf;Kc76A>0E4UMg}70d)Cxv6^>LdB!+S%iRhHspkbMQk+=PCU}m9XZ*mE2n>F8_IJ-i3u(RN|^Lp@N;tZY8F{6U@*v3uuOClc|ETkD`Ar%L^%UvPytl2 zrnI9i0^9Ksl!+jjo6JNEciUy8C>4A~t36SJCsvrKiJzCn#>U1wouC7312rCaMEe6h z(_aR|F>iT}8|YRpo_#W$k%}jMuZ^u9|1gAUs{Q=)Xc2uR*AKxpM8k|->q$w;id%0Z zXs9R(pC)6J)S$%tC}#9V3px)kvKP6FqCt657Ya%0ttI{NDPVaB4EMDc#H4N4Tesqd z==nT=2~$#2%49!N3%n?4T^bk%TRzj<%C5EcWcWKxO+SCmK;|;+egdzX`Q+y&=eBW2 z6gBkPfm8%1RaR>tc7MZZig&ijYj1*(G3X~QD2~byYAxSWBE+Hxm3X-__o7xaS&vQO z6|rOyus>aAG{K`ir(jJRkr;E7n9=@E3+ z4D%rEc?|s5Yy;1&_R8;7u{VhbRC~8yic|u{#_>KqF3;XD(KkydQzb89U-VwOu`F4yf=!WUv#$(XW@94F-aKr^oC++;1eZE0!3v1)sky!fAL!d6M%gl+@um%-gr3wA`inQ;gu`zkk{x(?du}zIcsV)Mz*IN_WPn=)hX`?Ysa}kb;oC=DM(bk2zU!$Gb0Tt(4X9F3VZsysU#c9 zlDUzlpT%wcoYE3>O8TWjCDg3`e3`s!WxeK3Oi!o9DCK9veD&3%_hU`UF}((7o0}hU zzoLS4l^|DScn!`Mkst|%DY76d4Sb6&!pR~E|2q+9dZ$06ItM(WaDhyq;GcqKV zf2e4Ph7>VXm-AZPA|gO@_PrcH0I>V~&scEad6&xbL}v5p5SjgJNp(BJ-SvI;rAE*VRn}HSTY> zCXHf?n9)DcowEq7eQj;ecCG1Y2QS~lqP;T2&IY6Vh@BAV{fqTVvK)7|Rili4VqY`V zOqT*E>3M?DnA1)&sY-OVwSgWv&_(H1wAz1KEfyIN5ioa{o!IbWNr$O0;$9`l57dk^ zJ!QU0io0@rdIr=_pO4U;;qfaM9U*&1@jFFAnK#^jw=xmkg?tKJ@D>3(*9a=;djJF$ zy+eaN{J$K5@zwlMqU-|x6>UWw-KC{nhgkoq*ji4jO>$+d^z3BAs?z||R$2MofY4PW zvlVRAV$Et~pqJTc@Hq3$Yx*hiol_hD^#vMRB_{#%52#n>dEX9OjFy|*T8&Ny&V4rR zxU8h!)TrEzkvZ8>5Q*uQKiq^5epK`N^8)vH(=iapB!x49+9-o=C_nwCf*AZ30cZt~ zg!~@J3T&0#dIT5x8sCIMskoPz$dD@)z%PVnd%b^u-Q8;PDKd#|@}2ZYyK!M= z&wDqQp;#qOtU;T3;)S-^LD<{d8?o`xvQFqS59KxxQp;bS-Z)?kQmRfLXxJbf5k%E< zs`wA=PiGXSi;QYsS!k&{&00Kik=6YCw+CXLQ;5zax5N~w%g2rF5-FFfDFgB^&~KyaP6{$`%Nl+AD!|#0`VR}n_T{tz zML1aBz?Zq~QL5uPrfYZ_G54_Ue*VC_MY2~TUg zugKn09GaRsnVE4qP`P3nPE7bsftjjd{w?U#d#1wzj%TV7OhSD%f3;}0}Nu()s#>h(c$jtP{|#z`K~>7MNv zBmHQ%*qr;w?TAb2r6bq50i6|R*O~yOkKBb0>avZj$k79gN#xzK*~q!ZrOLCaNPb;thqk9 zWTmMwEEv^R=1|UKoo)rKeMy z2a4^WK=YBkC`tV*cZ75oBT-{kNsBJX@6JvMN5!Z>|2RTNfN)+ePaR0z6r<$L2egZx zdTKyYK_ie8mx|LW%BOuJv8k?32&nV*>s8=U28at(rb!31h3@2t$900HZa+V5^4H$< z!w3M((GJ^iHY71Gx1SG7)6>!Y-np_f7(?*@*Z=vdd-4?@8rjMzq*aZTz=KSblcQs{ z8EjkACvASf-Mt$-8`t z0^klM0(NbDeS1x>jhUmrHL4PXN*~-XEsr*p#0O+#OokKgXb%V0(!t_c;yY#5^Ap?S z7zjC0;~`R)5;UGqm=&=rN#!(5>po8k7bBDW#+v>5%RQ1w>jxI-~`pyA>2fx?>X}u|cFu`YimtXMFEB zzHgi{&R^&3!FWLSU_bYL-B+wN*PL_FVgLiZ&)mduZBRb&zoZ#5pFwTW+Z$nv|5{^{ z>EZ0$oSOmR)E_~Q#lE_<5pn@nWW@uvc6PrT{VWaskW>iar`0@ca&AatOLw+Q zQ7cw&G04pHZ#d8$7wjIFz()vqwU|6#hATST?35W_w0J_CA6fHoOOM!0R|hs>oe_cc zA9R~F2Bd)600!-~w{kf!cOG0DvwWIe)@rjR?xk!iOD5g$;nw7qeho2QwUZUMg?)ih2z+r*zR&e*0ywk$W%^ut%VTMyY&rG+*WS2#i z4}|42Pi|=~e0>v7{Rv(3!!z9BKvcdXBUjA?O*!?vOZN5CEGyOqH5EMweRS9B{MHlp zeELh+mPeH0RGBiBRwizgfJ&cyxE@R!OAgxPDt=^ zf3HySQBJb>AEH}rC#xpwUgdsm{$A#tGozj$CcZp+v%Wtqo#Tky)Q?(h_1xz}D5>9n z|DBtO(}2l#GcBKr{PH0yQ#H6bq+i$V2tax=y<}dj$W$CY@L zWGZbN`|y7F!S?pxM@o|mXImSaLEAp>qfK4;FT<`aLzXT&K^D$?wi7uG&sFZfkH}cm zEK>`2AL89`Z#$)$jpAimz8*nRb^pV+1bKycJ-fh*jr1n>X+^ghV6g~&!-ZW7!e5~F z1{swZ6ux{pby>~q@3&`;a1=mZR?F44wq6`TI=|Jt*AE1QlbQEL8l`&Ye}GsGMi@La zF*+rW`1mH)>LPqZ{WoVC+~+)zy~#Yl8yTkv%mR_$S}aZo{Ynb6B5}%tM0YOqO*$r9 zmrX}LDn+O@&RI5JUZ9=4)R*kaI28y@ynn}))Ly?(&4xr7IOM%P<#OhzI$^OBI5n~i zDrFOOMBkE(0G}11#wGL~=<9>hW^CFRa1aPK^tN?IaJq5F0t0_qJ?fulOVrdDj*(@^ z)V!(=`hkl>B`XkV#SC@s_>Nb1bRGM!5`)@Tc)+4cn_>5hV^w40)0K3{RD}4es;hIE zZ%zGG9$97l-uu$Wneu4p85NG7?&*33xndw9pJz~44`uaud9q!+*pSu5WGqI(ukH1u)e>NO*=w7JxguZtJ zcsU!ph6gwgKH@y7(WyyC*k<59>W&b&X3B=LhhBiX5Muq_bNJ(@?Tb?qR`*gevFetP z7`}(mV}!Z6UEV{M2t9=reW*5Z60cn+jV~S7;(J7`F#MF0RBbHGwL&#KSi0OtSpI`b zg=N!=99sEciMzw~2Oh%6fi7G3rodxbJLln8Hg9F%Ya!p8R}~f&b*Ph+cnVXqn(|4b z+`Pkq-t?Dr@nE1soH)(rd$*A{|EB`_j7rLghrb^8?bd5CtxhLAC;QEJ>*v=!58*9= zkHt}hw*!Et_9Nl_=eI}MT+v+}jx+nP*Z3dJ&GjrCxOcpxOYuPIx1({_XGb zF~3}Oc&9N+h73x_(4qpCQtDVp!{-liW;MOVCQ96hdnr=9W+F_Q$9fHLMk+0X^o93#* zXlGFn@O#rP$iePieu2fRoa&MV;_YTUEdxR9PI)Hg@@L1iuJwvp^GW^YTC8?{t0s9O zM4MKDR!`%>0IE(|jbyl0BjCa=_F$d}^^HWBF_NPFpRZ`jA=P%5e`K=uf zj|>vjFK#mk<+6#RBXmxR>pz!(lH={oQNrZmOc}!4Lx{aFL%a46ika1l8Hr)58>D0d zv*JWn%KO@Rab7 z)8*$~{^dHSP5!{J3kk#+><)JlAQiTyDAxuSlx&p?M{hbs?nH`tX*5&VuMo^od5K_r z2jv>$)j~(bH!qcM2b-UgygWr#Dh7T^2{U>`emi`ySk=_hJ9jYMGtIMQj@DYWVMfu2 zLh{o!VjxInE;GlNuUTUt(LbpaCKY4v2uHug`TRO?&DoZYZ{4w~7R~-spr^Bf4#Jhg zMZb$}J8AD=$mI4S+=u%ugw|t>&#=sZYg{*>fs4~yjPfd+HjSd0WV!Mi=d05-91)H?seR^k zWx$8mvh3f$>%aZ&NC?fD9v7b~01Z+9R?e%Mns3Cl#WwW$I*zP!Yo2XB6*bC_mrei+*Q<`BTrHB~i9Y7zI9|XM{~zu0^VinYd~?z7 z-u?C4`eb)Gc%amv#cMh2009i%%AMcVg4EFnKViA2K8|}U%RN|$92{3a<%N?#ngpvK z*!fz`_sJtgy$^f4+W_>r&9wGzbRDYb@X#(>W4urHF|P{*`2G1qwAxu9r3$I%Ceh?j zv*HHLu(BgJEqb_9K8Y`HZ9d}GCxNF+8LZf{83=dWjwz!c}g<8A` z-pA)+=N5~{&K0X9hBMV399#^3ZU@xoy~D9Sr*12rg{J3sd6KaOrfe_SD7(5Vfq@DN zGMl=PQV(#D$%&$%`@FmB#GTAb4Qe+?p9`+| znzI_82de=cQHX>R7S!HvRu6bwp_lLYhx6HW7OmHi-A}%=Nq(^W{WI7ZvuakWR zoSXPrg|3V?FkPo?+`K$FNzX2jnN*|V$?@{f2nJlj75Vu%@LL&r5D2tJuB-_clXnTC zj}6KuytWSwFZP-C;tJJ%)N&1Nv^~3$6x(`x-cuGW;~dyVnL{37w#RS$;sOZ|WfW>) z0mwm1H{vx{pYoFDrT!i7i-0dmh0cm(A>VvR1GXDVg=I;XF?M z9snF;)*!II`+UhWgOYz2!RQ$y>eE=t^Q=Ut+CL&6XJBv2W_-2T{2fNz8EX%Bdqa$X z)xu1h-e+MU92?TbF-+zklzNiU5DMJcJ;jL6!JOb#ihQF~v4Y2Jd=FK{eT0Jm~s?ok_u4 z_K?k^Q9->|mS4|)^v0`eYqytgh*6P?=*?EgB%3Jkc%!Jc}0+yS+p~W*JAAF|lpFKO<CwmeyEBiY?;|em-!v zg+74xtMg$D19aVw1mHx;~sfa0YZqb09fn_9OT7Tbms^t3|pTGxcjyu#$Yo9Q!8=1><*&yP-w@kFH$aIiabs3EVP@(@lnqo9k))FtRJ zm#^39DUIU{(26AiR8!7JrHn7hkF`|N0ujW?l>CG)hDH3v8@un7C_pC#2P7H36?2Ql zOP^)yoFND|a;IeGfo+2AE5v)m{!HapFImi){2N1F1^Q#ZwicHd;jN?7GJ^)M^Ulaj zcPEBCLb-b_4PFO7XiDc-nZ8BYiN*Q&-Q~qW#CCb}qvsyulpC9f7;ZF7M88I(DL4P-^YmgEysF-lmlDSS8dt zV=C6xQbod)>8(UD<=UxrESukA3Pc~v4F51_g46p~GH2*TE4r!-&le!9MbW48d9Orx zcrFw~*a_Zy5-T^5pP$cexG5qhN_14aF7NQmD$#{(4oWYLcbQMq85|v(*^2)KcC#Kl|0$K zw6E~hCwJ9C+O0{hVC23l9|MZO@zjh6TMu_-z6|EV%->`Zo`AW*Sr2*ZXL9Z@WU-7EF9YKoQR7Hl~%VRb`<2S)q{IHEJK`eV4;DZ5&j6HAm@YN68c zR?JmjQ-0Nr2>{ezzl`hbeYvZt-ctDT*R{4PW(s99mwx(0iYu9g=Nk`Bm5(gNqzH+|ie>APR|mGu&-P&4&U10a>%il9 zsmDN>_430=azaznGT^2mr)kL%ccNY!6%(e7xNtgJ(-le zV6PUt>fJsx8z5efx;>Maa1E&{|AaM<_4;wh4s86HdG~Yr`}@hYE#i_*jS+t#Rvh<7 z-B3o%_*mv@$Eqy>uhMQCxL1Sv?b7ievrF>ARt#I*5w(*sb?P2f0kIiOIB3y=p`D74%iUrkb&aLv*A+}Vkq&b7)p&kcdd zEk`e5be!$PRYU*?hGbUU%ceer}x~*`;d2m zJ-lWg&mafF9=NoeXe=tf=$%Q&4Hb5#Z5$8myJ-}3(Or8+62{WFZ&fPZYT1!SVGo|C zy|ALXw*Tgn_vytD>y#qa127|)GU~^))3F^2>9+phnn=a?(M>QDW&MA00Y+lx$LM}G zf#hWJ4MTF$2y2X~#J2F3sd+zOXHmk<&IKb0=3vdLH!}PfHyP#@g|6UpVAt_f>M10c znJY%Yy~XxeL5<5cf~^6v_Y5(by7|&7xzcA}gPe13{_vP|s~UP?=aVdtZk1r}g8Oh_ zPx^Uwg?w5L;Ct1+hBGK#7z;CaE&dA<2*1Jf_D9-6Sm>p;t$D83264+4?H0X{wrHp; zO~n860ga_2A)y&ZmgJV|$rCyS%G`~&ko+UeF9p*xKJz{ck#)U==u@k4+L}XPdsYgT zbkuPw{iyTcf9FRCK1ovAy1MKBnkG-WT7(Bk!a;C-$5|O_o#0&oU|xE~#1U?W=y~{y za%3XU>@5>YD@w4c>Wm1Cp;ta(f7rUyZN{_XYmgmhD~3xnyr#S zCVISl?189AfqQ67e-qN`Iy5pC!PJKm;QD(gu0RR)hr`P+)8i}DQ~PG_khRW>9QS+3 zYBGwDCs*Alnbqj^F0s-ddk@M{e~}a?feN{Mrf3#e+024xFMS#s>8WB>-IwDDURk7X^V@Q^VAjvC8Cc#Wo#zp=^&z^Ad`R<>oDxetl4GbVIvZOi4 zBM%as8Y(L#>U_Hh8Y3nuB5y+Uf9w{)`|OPXdBMv`2THzD>V?`6OobFKL90CFs8II~ zBj?wC|DB^PasO#fGvH^F7bP>bANgJO1IlTr4=+ z4}Ra-+sl>bS?N!{a|g6!pC?%!ArV{+5m@FG**8tGkol=5+H=9N?a9eWaPD(y!1!lA_blfq z5=0BT1;FhGVFm$1+yS*T&$I2_WwiC+o4B~RTQd#1g&#Qt<6jv4o+Shot}$1BogHnl zMm?6k>$X;~v+2^ihT`9iQ5SY80_0*iUF_tMwJq}vC+(|l0L)x@mvz{@EQ9iJ|AV-3 z4t`=#{7y7Y{bfz3ny~r>G627}t+hBN=`~O3oT0yd1>4!p2wi3O<6VgYGiNve*b^mx zFiMV8&(7+bh=j`WOSB6JMnQ&r;%lSbLp}Gg*dc1MzHP4I)PLTrz~gRrE)6Wk79v!Z z$QS1X`T801_iTmUs1~XX$my9Lip{FRFJ=+e+B!PJuCsKtcm0)AUOD_pwl~c>w)pnz zV$J~ZteQDYQBc)X+imOaI@x(1Xdz8k-QkxKK9%bco6n$cXxNP&8h3=c_d?= zd$c6Kg~4U|1yDpfW*zq5*$BL70~TY~)Wbf!p2*=L^{0{*&h}fn#Dqvxqu+d)dVkdJ z1kj4>ieIMHH zT&1hbVuev>KNa=*E9#4%zQj+APV}TTCVgcAp|}@j47S;;s0*H=aov<5y~7=ned`CU zBpW;Siqaah2C>zA@1F+uTbXLiDyzG)<`}IU=wn0e`b1jTDhZ{rVnSS7TRW@Fuc&BP z;EL>GOW}{Jj z(-h6xu&FYS*Ez*ZFx^~ZjnM>uH98l#E*tH&F|Vc2m!nG2#FB31MM`%xd!(a1$F5Pp zmW-9l{NDUkY_49BdRJH}(@Qin+JIzf_*=MQ(MGDm%3pb=e`vZDy!`w!7LPDq^g{x%%VufGWl`W+yBdliH=HsoB{X<%S0p`AA=6==-(r^AU zm!}K#){Pkh4?50GxQ0{_mFhS#*H*M)uGCX=76kOso*uj&M17{86isFjS+Dky}+NydGD70}>-8K%u1n>f4b7YL5 z3f074bHY7B zg@wEN+C#`aUpL;qSQb;Taau(uT#I(u#bo;WQXvPIEbiB;>4*1L(Qek1XUZi`l*ql) zPeNz=xi^7TkeLN=HxL4xkD`M1Bnh%Z?_)N!u=4iWaxX0`iVb)PYAUNp5kQm4c)l{1S7E9R*Z1VesvboAQv32xJrK^o$&%$EP7_wOw<0tk5X&SKO z#Nv{bY{WS6-v$bajV+buF$teNOl!{9jgM(L#l+eG7^ft6J`M5%X-blf))HkTNqfVW zmo+*ATsK`lO&hF$sP#xFI5sf5H!RkIJRVYapquzN`A45Y;*^mZw|d*4MPYb771ADLmEJB=NA~J)hvmd#8b71fLc#g=7&*Y(J$c++tev9W=6=T-v0`%m#YvW>f zd&2k836o5Rbssu6Qe=>^mhcJQQ%_N4$HkqG@*a`=B~NF@lq%CEJ9{s6$VK1%fB z7^vgp@8qk6hY0EvNe{GB!}FfKHi)kt_|e*Sc2Xx_%~0&weGRrZn= zue?&q7EG>CIa4p(F2{c`?%7IQcqcaAN{S`dYu;B$YtHB)InKSel@h?}>fPlohreLs zCB5y_JrzP2jmnCOm~PWXovCPTofftGWLyiynnm9P3BlwJpURiHZRX5syV;drqXUpY=+BWq8d6vEoFF_o8*|2CJwklz5e@!YPt5 zdx?|$U%USGsyx8!A7X0CURtAog3{$;|g-)Yir*L(8|P_n<`Hc z=&^$Ab^>S5*lynvdzM4Dc__F;s(m-6AFfc(ml$52Ok6IvolSv9 z-jpjF$*o{9y*4h2Xds)iNo85&nOChB6)9q>B7$xEv^PFV=j?>6^Z9wN4aYIfb4l{% zsaR#-FIT^R=OhiP3{wfj;b^|_l^vjM6^QtIkRv=>e7X}-b(sUs}w2PX;H?|NAE z?R#|!)r?E8L8y}#M}7Jve}GHUVw@0ZT|?gTwg-|ljS;I8A%{bV^(_XVtnet+>|&JI zDuGu!VU3^X{nO-}*0?ZgMjk$^2)FBGN<4m2uSE#%gW+>p4^YkakyTpi4*+xg4H#g5 zC!3<_@J$O*nN?Cm(&pqicrvfm+#bp$BMWl=X$%7ctx!~0)YX%{B}Frt+J5l^4W4tu zp1U~YQ}*dob+jzs&u)+qL&hf4z97p$htWPdieSi`o!xz)K0AAEg`aC)rCp+781F6+ z<$c)>yZiY%lF#3JjgIWGrS8vEP)e0o;fQ^zejz8=i-U$Nph*RPCgKb zx#iq3N4_}g8rsme5gT(AOiv7;R&w`_yu5*xwMZD22#>vNF2w9u>E6P`rwO3|Cp7NL5`^3O&K z!^@@E5ckbF{hkwmYRH~19S3j?DiBAh66KAEYa1GpNIj2baMtZ%O_nF$c%X^ouE%N@ zaNslfmRsrvp66f|84&`G6r{pz80{?LoEE$9oRGFhs$~=4y`_OG>-yvu!k#*YF`7-o zovWE768;2U-D?4VZq07V4vyrFhFPEQLZV@sbBiEVP~1UDhE8aQk&xYcwcS<5j>4r4tbPebD)G;~nqJTADN>j9$LIK(aw^ z6ULl8Q4hK0ae8R0onT^L)jwJ~H=z%v<_*qBg3us=#NoepCQ5Zk3n}Tt==L7$iO;jx zT+;Y|a-bxEqEN&PfS6QPhD~mfpHyBT2F!NK0_=R-R6nYXzTmW+VSZ3Ft)7Wdf4yBG zfd0zIT7y2v_MDgRWequ5K2}Ib)7fVCPvP!dmujU7+gQv%7vfl-?^>+V1#!u7^5025 z^Y2IRfsj|jkw!1Up@ZW@#L4-MTbPe-t81k}4*!}@UiIvEf z{`01$1pmLDW!HW_#rS7$a_yQPCQQDo*Z+|Z8=jxCgPe0)3|*emr)=iGjo82O!;8X< z_+Q<`2C`o+mUlWDWmB1z_|#LNRnsJde$|ctwcUUF=1VR9pS%A*|K9+@jr7`qRxr5u znt9_V|2qbj%z>jbr!@ZmpUW1Z{Bmg~OM~{GtA2Cq|NEHo+Nx&h-aiG02Yer*fC>=w zkT!h_<>CH+-#+|n0*POZiwyf_ET(!NHp%%^1qzM zYg?V?D2lIDUvq9o|5Q_$&@CCS7yHlPVP9)+K#^t0b@WFq*!6@39C@nx?_NJ&qn#r7 z&rko`i^VAGHOhD7`>W5iZWP^&{?B6yeC1$wtvr?j41_`}>g$sf3#6XAR60IN)z{JC zrbfU13bZux_eu-_+X54dWvBJ^^>ZJ!07p#J>lIp1lzN)?%k=aKUDmo+p_X{I8__QGoyx(FZIhvzCEx-=w_i zuBcD|>j%u01Vf*Y$Fx7UH*9QeZEI#zzqM5$>Nw{m*D|IehNrPU$(VMC(zgfn(?%INk zje1JSSO#@RQCy z7VdKFT;SpT4UzoN#|cMf6m&W;+$=3UJlj<)(>E~aH|6e>)K0+fkIcAgL3>VFnzu-d zff_NY5Lmk2pcmzmpClyyTXTla-3}=zuxK{m*lR8-;`q^2?@a~K?!VwlA^-_Kd~u1E(?$m$imXDC5_q@^ zP+#G0Q_y8Yn>rh`kM8QJVAek(ZZ;t3wM53!|e%p5J-djbw$X zao4&al)}rWY<)U{meX~4_x7`Pdr(Xi>>1G6${ z*-x#QJB$PgxKf>7X62V9ZVRixh>i2Cl_-)CI(488-lJe`+>Z44;7#o zQKIoOz#APv-}{tN{PP)WwiO;F`Bu{WHzlRmbHDst`?)qE(wwIE%)-3?QEJ~)so8mi zqZY> zCZ3e)eL8-5oO!W!siRNz{aeCP)bPM+W5(0GlX+)dEosUZmCgPOuU%jKJn#a#CUIPZ zktxtl{r0-Q0gp(u>Y)b|o-)t-m`H>a9*2mY@A?Q+MqyvA(Wq)#4!yrW0k=K_axBlE z8+I~Gf0OsVyr31P9%x$NYH&mLh)UsCn|a#^UJ)LX8I?LLv=dDWi+;s{UYDA>+V9qky^%u6@;GIxcNS*2f;C96 zJNEY@;`Ry3CMcv<2DH$wzk;fxBQG(591Q}h4#GnDrfusB$mN-%p529$s$s^#cl_Y0lMpZzB&oZS*58Vragh<0ZOXtDBazb^al;g1kJL zsOaHVLWeBIjlXZHN<2 zlEwm?$n1}AS6?Vg+^s0aSeSJ{U6@&g1}gB-vs%%*3#6X#G3T)8nbYpcL4i$lOOKM~ zVI3@d6vjN+%`Kq%ZS+5+cS4`jFG#54aM_I zZ^qp;A%h65sK-xk-Wau&uAko$W5i%ydieC!;}??^l;U)a_HCX)nCIsdj{Gvcy=ey? z&GkaqH)tHZ9v1*Jg@e_{Z!bJ!etmoUU2f#qIzQpfKr5NZfQfa+i4D1^Rj-V*Zfw(* zO1;G)2)B~cwTP1Sw6(eX4v)``Wr@DMV-AzQn+^JiBTvZ)>6|H>gyXbs)5=U=!61iC zQY-J<5^!0LPw~8Dg)oDk1Ub_~XHs}V;+h;ot}SEM?~xn-`Dcxenr|si>3U8Yp(Eqx6$LVvH4_{^x^UG1gEVF zU*st-{WIhcELQfOUCUMi3}h5m_)EOaslm3n{3Gbw(X93)cz-AC9mz8id-3k~P4LY0|YjEtcF+er_Z91PF&KO!P5t85kqJyyCI=3VK($ zSUl|goI0&ifXB#PBOBAagsC%-H&9xO*Dwvrj?S@Yd`|o5XSimUx3?YGnhaL$cdZd- zgN$wZ5^jl#aXrw;S4}#&d;#bZGBpU5P>VPl2V(s3uYS*Yn!Gz#!|utX5bm*rcpOEt`VoUpa{1(v>#X#==kWfiH7lHllIQ%5s>-g`~E4FiQ% z*`FEhe?BG|ff*4242+xc-Ac0_?f;VtKwLGsOLMtH!=c}3y1&X4Cl}=0BEX2zpXfDF z-Qm>m$CO&UMC0^di4z(FQD(&Q@K`W8$LusT2!zi=q~h|!11QJ)`wc+kW@v>FU|({~ zsdEzgGG3rm0)ZwGb)G3jIA{x@% z){Eap2R}b|AHp8o?iduhG~BoodC03Tx7V08xl!Hck!$apxIBk z-PS0Mn%=j4|MbyypAJ_Y*Z`9q1o!q%Gx<1o(n`3Pd=pgdJut$bNr_<;WU{T z>TkRogT^;lJzRSMnJ5>19Yiaig}+9ZrOcezGc>T@>@>lU3R{PY|E^~t|2yg0IG>yS zUl^$@Arji}pqMDrg~|oLd}$6~-B6avl^y~n&g{J+&?DbNs>bFzz9*LC=R>cpm|1Kd z2In>8ohL4XOo;0g4Daa0)6wM7Ny|iKWZYSwakjbP-T&+%;=B8`TUaoyplk4{5YYdM zfF>-?RBVFMw=k%8@bg7Lqzw~GBAZ2(!K=v`AK8gO5ohUU$8%g;p#tb`6?hYOl~88l zfVy1(#Beh+!otE%TkF;VAPSAtzUKQC=J`h(0c%BLfnmb=e|05XLY0D0rH`(dwV!y{ z)(-^N%57?N#sY7IujxYnhIrZ+ayyQg=t@VbXN}-^*3)GBplz)&D)?4Z8%+Idy{PH; zKQMy7cC*I%r3qjU1IM%fD~O9QW}sb7s)IrCn4rEQ=3?h9oH2@nE0RGMh5gNCknFeN zee3HBK?GmdCSo9u1ojR9Q@o)-GqCsHxZ>MgoP+4$VMcOl+~#bXeFb``5frbJX$zRc zii#jfI;@#p35H_^T9S1+p~CDBvBi%+Z>fuG9}BbAkEACe!0*2uYOBev-Tz&#;#3h< z=$D^XE2p60x#FfHecPEgwzj4ce73z>R^8SN&sXVQ^$I${_Gi?%VGH>M>+``IOj0t3 zM>pGS9wT}RQAXZ-D>Dtp@-zqh$ijiH4V2)j=G%r>kape|cOYE6isd71(s+>BI zZov-?!o_`PUS2xl2S*9usM$jwred z<(XQ)9Lupu0uWO;PbSt~^{|a3pthg=rPC=W?rs124hW7;wB1Q(`rVFMY9tkcfxa)8 z1}v-H$~VZo?6^jR?J=ad^GfXe4AoFOFnCE`dV?t(_>}^owHgHx}>;4cQn}J8z*=e z!p_xtLlkvN#`_f)fD3#wgG)6HTEn8TKYVwBGxf;#XKDZIokkPzb@9vwPp9QIly zI`ulH8A$TqjJu9?2m9N$u|T`q!SxIzWP!{N#FA~oKO|Z74cYVv>UM<AN8LZ6A`&pzzi6(Fth=~pUF9WB}R4a_QK51+d>KS zcthe$wNlEx5815Lo6uIz{4P0I6CVfoU1^uwYY*8JRYC&--qHE-_Y&UIqD=3XpUFGNmP4yLt5OmB3UbBtA^^33w0Q+E_BO9E>>;5p%)TDlP|kY%E3zDVFR5#nU2${(eIai{(D8{c@Dc4o#2Z} zw<8TfBJnKXos2I~-pa;?)BN->RR)BhBO^R5cVsFcu_VN_vfo+`cOuh7zjm#IMf|O_ ziHLh14D#XO(V#Xu{TtJsS7g4dGPLUimarwS-?k~}bfi22Oe+S&2n7l(wIB8(U}rtSm~0?qD4 za|PwAw>bP!gc}m9$dZur7LoPaXMSRWv@&Zo`4AcWr2*yKzyk=<*%S{;w}meaMy>P2 zuaTmwt3P=E1CYn&Wmq+*l1;Cvl}H&urdc}l)hTF0Sdf1TT6=6)>*wbMhB`mV83Iqn zlO`epDP9@rt(yFpP%_a>CA^?(16b{9ViqmTVeiAjx~WU?Fi>sktR8aFIMx5dp1dyr zni{jdW1%OO_GuCfCN%w*>|SPSNj|d)0C%Ci@$iS41NQplpYvdyeg@HG)MD>^G(5N< zNKj93Z_t|yC6{RnRdS;?Dw z$9p?4bo@aB7&lfNl}T{jN)u5*@qI=&c3>0i(%Vcb3reZ>780aOMI5exe#6(EN;h&3EfByi z^RzBkh&I#`MW#53{b^9o?QyiadCbgF+UFx zC(L%7z(!@+C;aYb0^K($Mw@>SvR?IJ1#wRAs7YSY??bnRo<4=}=0NEFCLmYohowIO z2pxN(GifXc;UQWv zX%q1%<9j;L=BLlje87--KNyZ2qHll%!1)pu%V$q37YY%Q>#j6h%T)t_SowQw{i~om zl)pE^f+By_WDCd?_uo%XPCD$i61Ka!@eS-zM;9PQ7Ni=^vh_q6F?<4!JW7hXt|Zsb z3ep&WEdrlK98NaN2$-@KD>Q*t?+T*DsM`7a$3_n?sIV}ToU^DXRv)k&L~V$p(^SSA z%C1o3)qjFTRq$Lo{!=xOG!LM+{5|>}uxf&Y>yX9?xKz-!pvW3*Q@?Nu0WuF6++fGD z390Opu7zx|AT7_8yK z&Xis01VOMpQ@h!zr9qqmWyeksnE=!8Na5X};*}9ix?+K?$z5?gKt_7|x0a1sGq z2TbEisi<+08B&o6QUg)+&#YV$IUn4?DldileDTjJ=d(S-Tu5uLOr06RzQ# z@8Mwp!9vs)Xr+GhuRcql1-kpR7ibi_`iNpPZb87R(LCqxdN)l9d;q$}zAs3_rSjnD$P zcSR0bF;WU|jfEC9kFt4i=kiCan0QpDjSugI(K$y&lR%NwUC0%CSHz*`4;YC^ z>W$uI5}9&H104q-8%@b^k2b%?eiZIDu9$qZ2>o3IYx1X(Gptj9!!3 z(G}thE2~hs?;u8n=JUONQR?(g5#N7(97llYgURCdzLl9-aOg6mM+18>b=F6}fWqm= zk6TqV;&`G>Ucr9Q{q7QM?!!qqhI|=KuT!*WKT35S?)$QL=6~*g@sjV7`$3`F{TNwn zYkOa)68yAN6#Nr%yRO$yE76cbjeVUn!Rqz%lnh5TTU#Bx8p-f`e<&@EMVba0uwEi;JVR|8?OFmVgGbHH-I!MV2t zOkDYs?&V;#M(>lc2M3vDdg}2$EjzU#fFS^hF+5u~derrY#Umjo!7Ms5=?X4vE67}1 zpbQ9y!856(UEv-7O_ma~Tq&si1GBSzaYtSWfmGAP#o;uZ&VpV@RzLaxd2gGUS>m^I zcR!kIITdLO{x*p}Qd(n21a6HH-)qzhKh`4tf^YkP4F6OTM}bsc9B7_Tiu?D3XZh}8 zTo*9}Fz(7irQkr~pEY)_5){MMIB}1wiZ#(6E{K8nrHi!d`qf2Ig=7hUnw4mnH1^dJ zT+?&+KUmC?Ovr({O#N3|AB|2y zl;-_A`7}X9an)e;kR0FdmpignJMj*`Ljvs@yE$U*cIX=0p=;;%3x#zmbyqYVy*{tF!wl1N4=vOZgKQNEB&Wl=Z#xQ&G|LL?`8* zQquQ7Zi75PY$T9ie~%bwIkKvxv+UI*%lb?#_i66s!jb;AV*S-K27-M|v!>tDz*)^x zk)B7L?H~|H38`HE*-VRdhZL8wN9qYs-tav^iUr+RC9=ARv05JNa!HpHy?O||1H0v1 zjIg*uG(|AEt6k9JB=zwVCf0YMLZHQ)oA+y|)gaAhVAIlnLVX868ma_K<&^!9+m(w& zAb@+8!y-p074y}VvI`2?76W0rVZR*o`qQkX5+;p}BcRfh)+TS*+Mt#B4e4ILyaYXN zA?ULBQ+eKEuoZ&2=4e3nlj_H!ILnJiubqbZDq33&Scw_spbx;l!bUII(BI>+2V-*T z1<+mtWN-cW>sEQWi=Fjjp!@$JX4o)|*cQ^hGy9f7?nmB1`BFI$DjXg#B`1JqJ&bDUUFB`Mo;P2Tx@@k>+JzIy_IcH7}9?bB=ypPik4A!HBoWv&n zFG+5)13MA(|AV-<42!C7`$oA81VlhUN=jO!K^R)PK@dccmXPi)1*Aa%r9(ncR8UYl zBqSsT91&sY8b;cobDwzM_kNytAJ5*O_VK>+K`t+KX3hGqwf^V%JAdIjw}uUBxw61h z)$dsg95m^WU9?6T%k+mfF{>XAH|t(rM&hbsiWQy=isKo2R0w=|8MzP*do(x)GSEB= z<4PYLgtThFnX3BU%=#b0CfQJV$BjJT-_9`hDnlztC1Uzv%x)xfmrm_kcFvz^NKsG* z|D($ZzE7TOnVXs>C>M5H>m?UeRl4+NUq11h-B+(mqvtjSCx1u>UBeZIQ2;_xS}O4l zM^B;xGd=DrLzejUNDR0p(@3o}1VTMYhj04y6{rMVAP;hIcj&F*vD=ZdE5jsgV=3J< zcdXgfjLpnWgFwH?IEum0z9xAE0r`aVyEUP&3P!bcZ^1$=udO{%9P}~ef$&*X)l8pz zx(1N4HW8ssC@((%DPgmR8dZz9~(B#TD~8N1T@^1@UbeJ zm8sA)I6_^MWh0LP+BKyrbK@4QP4@oRcuoYdJg~onG5`e6@#y9lgEk-+lf%Q?<(@sf zl#?MY2{p4>xnt(fhd;bcOYV&9;jL{1?BX#^?M)0BbADL`-jLaRE{bdPV{I(3jh^AUgec=`egU3JxS(D2Xh zsj@8>oiT$Cz~Eq0SH1;m(gH3}_$u7ebVOPrN$if_Z$^y7(2wW47UnFR5 zPID~g)soD9CL?6KP=edchT-H%49U|4YJodg9)XglDH*RpVGj-ucKwH&tzZ?R|BG*V z`^R->>&~7niaq(=9?z4Bi+g%_p6`Uz362>pSm<9IA%Z!9C_mNWh)9L%F`< zXTL3NB@ZMX4&UdAn!A_w-8=Zhi+yb=C0(HmO=t)};rB`Fm7e9omuz<`m&;HNc-)y^3mz8z>5opmt+A{DLf&G}43I;Qp+ME~f9JJR}v4Q~xCVglXI&hVAWuAk3msuF;H1@9MbP zb3{W!1x2~un|P0RP9-0tubFl!+)Q0ywW@W2*|-D?(Gv-ulbxSBt}m&JWtpr{j`p<>dA_sAANl%wWnn~l|MOSfZ|8= zWYO2FX(6igE+qfyG>=2A5LJGY^}%sR8-SuiWE&vuIP1prbhwy%_;_OC*+iY*n_oXI zJ{|d4SX!TUYjXDS4H4jr*K-O5ifeT|wy=F?#3L{zYuxu|{X@MF(1)Ll_=6UzK!qc_ z2bz+O!9Xr6$4I|tLcKSn7JPUe8WKCWn^qJbshq_?AeNQVH?lD=tAZjaOBUrYX2%6JFQJ$ct9fvn~=K1h2Zu4ByrK& z$Tk%x$|fr6CQ5^8xZ!q;{o&K5DrQrX}|TUI}V#KfwdowuPxBH zWm3P{5B*H2Gc<=E@0pmHiHgFm1c}kH1p_hnV+$-c&PD+Zb2jL>J7q2M$IYLo`J!gp zPRw=|VXxtOcvEN%Z%y(=-+w8Z{La4={E3Snx z75DiA}4E4$~fB z2x+-}HYgwNnIDHzXScwl;~%=3PJbKKS-iTkwUx$0P(3sqEnLReKllWW0y{5LguG;r zcW0sIgBLvCLASrDaU6i*L+QCnNCv0x%xJc-RT2w!x&y)1vQf+x6#ls4dpvZN@zGWv zqt(2|_w$X9_TNVBHCQz|1R0iIxB&FylZ~~jNR3x&By-V0GCHIKN%;$>q^D2fWCW0V zC7=`;FI+JA@L5yOXQ$M}MwnzZ*X-?$WY7kT_*R@+ha)plpsftf+$d;p9MfAHua|xO z5OO8r-RI~pUcsv&H$wNBOb6JN2!wU)$9arkaKdX7f1Boq?j(2rB?29rCBzRei2y{W zj@#U*9D?W1YN0~DJi`hA@D84Q%wz<)GFo@v{q{F3YQ0~0I?|?zzFGs_E|95}+Wd1} z>mw1J?qJLmWmI5M@?j;&e-Rdc*jAvq2h6I4GQ92~pomvbj&R?aX!!YQICHx}e|bgz z+o|VKrq65pQAaI>r!)$GCGzEhSgz@i{#SGU6DBO|Aa+=KBE|m#JYl$E^Fl*By>B|7 zdWoF;Ns~R9=MSy=b!88)AI%8btatW+G{dMD)D%b1&XJfqI(vKH%+Qp0b3xec^m_k& zkR$)9AW|O0AhQVV;jN>_#-uGup|vu5VkC5>C*V~j&*c5=7lCEI_OuI9}wx1sBa=!pteUj-< zAFqcG9^!^oE z4GLN_3(v)g+vG9rHL@gM$S$p;6DjO?{>?Nt2{=a))Bf$-HyHX=h4=u>0vB1_P2y;X z@dh_zFqh?YbdnfDkP+~Jd1JyQxKj&_+P=L~AtUabCIFNMh<9SCA%`2CK=69J{2SCm zu;o(OEgc>|G1&9GUlwSk9x6_s^ck@QU3x94 zsqf`I76V#M@^l9$LxHOBg0PQ752>qOM|rrt$Cv-dFyI5D1CzGc!S1sHuD!g6p|b1Y z>~|=6)mcKNnkUyzPs%Np|4_+QL16K((-iX`Dmin~f2iba|KZ?1Qv45<{Fy4;d4FHz z|DlrKVhR4dMqcHdcWjGiBCZ?|*!2qH>7ZoOcpCYr%#{ z^vsT$4fkCAa3O^xPyqX1KUUKN$^P}Z|L1?@t1ge2H~`>Jc!C5EaW=L9tY`9>5?v!b zqomWleub<3`-g9@wBNO(DBQ%q519u4-^~2~emc!p>LbdQ5@)kpit)bKe{en!xf|yi zDIEU4zLR?3dg~%nzj$JJtOB0JRMxfsc4|lh$&}9}^Ztwd|KC>S|JNn{-|%LL#95e4 z`@8;&nNEy9P470Do)P@^HFLpV*@G%o1SC9*+1j$Jk)QkLGQ;Uvy;Z@SUH?OsM z@vqk584m%5k6+^NWa#uSKulDv1~*O5<>F?Rjz@Kx9q)JLj z6}htf>w{>5;XTp@?zz|nF3pxEM1#V@LImK7SFc`q)Y5zU=VGX-PG3O!9J+M&k!O_K zDmnH_4~V?(zJ2odZ=ZXi&W^6S)b@eL(cb=gzk(B5R0}1dg|5OLKrcR29?22bSP zZ{Rn0{3oq>Gk6MIK)!v8<%;d}_nE<>NL`Tnet^GZI7Sc%F9L|BAafh?klRG(65*n8L;4zf!+v-r~fas*FLq$Tmj ztw%jmZ!wMkxKZu@Wg<*)bAzt0IYrTn$#BJ`IuJjLC@qyM_A*027=0&LgoMlVeE$w` zciGbKI>sOb5?5EI!b4UkimpmaOS>>mj`t|tWOyr-`dq#VUwL`C3J)?ubF=M=60Ox) zDWqgSohAyvJ;(u#F2R4)MAmN_B>3QT!kirk@bw#g{D5eTe`zUf!n>rfS8i?rfo4tU zqCWSP6HTR58zo&9mO5~Ss}NPvl|t6f*>TT%7$vizs|t4rkhsiZcX~UcpDzdfKHg(X z@+qenX}WlhdbPdk{tHPC&CoRqkQQFp|UvY;g`-bcbx|93c z=&m}=0*XUK-h@~iEEm}jW%K?}c_NOd*Bof&KbcGm<;y|A<~emDUNtxw4$a@O13+x; z$5e;bJ=u2MG>_V)UPK1A zhhB@FX+Akn=t~U35P`7;hx!KiG@Q{ z`tsdm_NB?gY0}VLYGmaK5JPe?D^9M0Xa!QQhnZE7VBvd~xfmR4py-?DI42i<2P|+I zAs_81jX$a@r%4J11)z)-zttHZEFE&V``$`0i-A+Dv!g@XS-APRI^1kA=CT1&($XuF zd)sK&(B0`M#1llZCP!Q6f!o%Q^l||2)7hF-jAb($U2E+{$Hl({3OoDY;PI-J=+RmT zK|8;cX7wEq=L6niU8={)JVuynqpj6=oU=Aj6L94+A`VM^W%PCg zEUb&Gv-5>Cap#cY@B;eUca`<`F^JXQKbGv8)u?aDrDC1l++kPy?%Nc2_OWjm>=h5S z1y1*dmOZ$q_8ty5-4)B#(iY!&&@06v_8ll^5TD3z~r;oAtAPW}e|W~{*F%q`**pz=GoNV4T#+-+ zEFSE(C`!^S9Q?o&C-eAVu`sI7R(N;)%^UEo-jTg{aOaaIhv$10!uj3Z-5kkkUdNpN z^G_%)2h@lgjV$lN37UniZpNVC?ps({!`S2?js35dXBrTd%~AnBIBmwgO^s4neIDCq zZel=js->ls@U-${XE~F!L{?Dj#TeNVn)INKlNwKw2Mq#b@%^UsC6qmvX$r$Vb-5@* z_Ik{}?=<*LA7gf85#c4raD_ITg0)GcB{PoAxNz{L%CxG^bBoOR!p^6G?=5X#-)Ir8 zti%>h%CGpKPmTh`T(vQ{gf9*E1i;FF>+VOt(U0_i8%}a_6J~y&`lLiUAc*Qanw34C z-N@5TF0y!gjV_}AwbSPsA}o?x`3`xtS|sbjWA9r`7(~%^weRkFoKsG4F)(Z2{ChFb z!bl=xrfS?pc6VKFaxgCk>`iBvz^-%m^ zM9kuC{BB@$558oTsB2~y)>G-&*iY2p=cNtBiG>z!ii(TV<}Ck4Bs!z3oG&PJ=E?f4 zA779~zaPd*?wB{lPq5L*J?Xk^jPQM(qtPH7H&5_muw_I*E2VoKVh^U0wfU3CJwueG2Z<;f~6tSgh@gRR<( zjGUFB-z$Ytq`>jz?Of))WLj`Hj8L^YWMNprd0Xb1jgSib$;MxJnSCwDlW(8yu9vK z&LZYc#wSB*gISvHmsg`#rCi(1C+14; zt{~!)M4KV(I)ye)HbzNQGK4*gp`ePkAHTKMT5|U9%9S|7*q3%rP%9<7f}RtV?C%+C z_2UiOAlBaVOaZ6{NfZ;UuKsq|z((2xJC z#XLGjdXe|uOU*JtH2e0vltgu92P$Q7cbtU$iO6uxoJDBe2whvyN}M%oa9bO1Mk+N4 zs=rFkyU*1l)YuEuitZ=ZdMd&y(Wv`2=qhFasjtTheM<^`r3dEdlTSksDxH2IF{a?g zEJ|7+d;P(K2b>{4CGSDw;)Iy$}5s#Jl%L*NaW3d%BcD6yyEVS70&p`9tf0811%;N(C?B>zkGDeGY0eG@}ycENX5Y_yuf&Cn5SLv%hE> zOFEwBA`(LdYd{_kq?z^UO$qE|1|wst&6DHP64uLMXHm!T^0I5Uh+KZBF>EFWWdfT9 z49|DJ-f%?^fwA1ajx~N4)O5(=q$|Wl=oNe&Ojt)p$L(f`if2wEOjxQ{rQG9X!#F9u zT3$i!KPN_{I>LW==HdQMNBtA*e$;BK`G>cdGSckP}!2u zX zJrddmc-gNk4k(bgMV%H}Xz|8ICVuOwb){S62V|F2ZvXwpXA+Aom8v4>qZapMg?46 z>xEqE5Bc1=U(^M7I}F$vQrZAg=x0|xe^OJKqy5T*VmUv--TU{}t|9OJ_YnGYyv0oZ zSxCiFml8W>fyA*)w6PW#zppD>T9Y&|z*47TLq=A7uul{qe|p%XR<@JiFaT&To02OS zQkg?YH`^8K?Cfmz#Vs5$GWj5U$6cxi-Aanho|^Fpi$*>Q61kQg*NST>TdFI&K%sw5 zjyZ-U((+f8GmUb2`eTegIns?@WhQTNv6TsOA%PBh3agRBZk*+3?dZ64I$-2%hou+P zQMmCUwruHG7q|4}jQ=+FP(=Js62Z#UOE|iWp1BZ3u=kfg+1br%5g=C3rQAPHsWe#e zZs5*2^6{h;pdqQ$Qk^02xE9`|qWc_C;!;<3HS)5Qxx48O!hN{Ezluo>w6w_@_{YMD z$LxodoxL>Lnd5u2_;VVw@X!pMnB?4&noSy!+ois^zm`Xq#KDc6$HDm4Bx!ews=+XdaM=Nr@ZxSKtuGB zufPMU^-#OJLeC(~?+3NY%S&UmyOza(wC%+9QJk~%@e$n|&|s+>sjVG2 zXV-xzIQ{Fk%$F5gNx>I5V9G*f8(Y6q?4}- z)mNtxi9rVV{E5$&C^w~BZZMy{)VIg~8C>@LidYgqC0bJzde@KVFjR4>58PUD&KOy# zE&QC*E3#ANTwYezVZJB+`({KJao=TFs1iG?qCMLF=EHe@(ZGAg#=o=7`0!=!G>2?P zhkS<_ukU2ASOB|QqH)cw3~QR4iz*BJB)Mhci3%k0Eo5QmLV`W7M=9j`)}T}*o&>+V zNf37XjlQ)JBzliv?<(LrHa{=-!TBohg34X+ zD;fIs=iDXzAzG<%AYwsf*(ang(Dz+{m|SYWzm)#wNLytr zAa%q{d5mH`_fUczEtfll|3*30qCpV)OoB0Q(VaMV{LEsdyx z?Nc@<3!62)O{<+H@A0|?!93%gy@Q%fWNR@DxqUaFaq0aYI3V?Fl&JZaJzDgR02+zT^jYZ+J!`|V%6m$uZ#rB)mr1nD}}oJAT0Xdi#A%}9QNI^Ho4DBe zxYYDL5O{y{(M9Wb?vLB`7py^8_Py|-UEsm}$q>nabXyRwAnLz ztigeS&s;SsXiTM2V+XS$*)4WIe{wZ9BSRX3 zMc&_yQ#)w*lCE<*TZ0j}q1Mqa81w6dc76Tc-^FR1WmEg3@&MWbS!^ywDebFh)`iC7 zIg;EU4IX~}x25V#?~Y{IZ}cVm?5vt5N66E8D4VOh)RZyzujK)V_%s!8J6A<=89&$chvPI`*9)h``h<=-yz(S zIWs8gFS_M+_6`Z}gE-7#HTd`g9&NWcdL`_h_Gex{?iT^GeXhwHdEw#w{Gs&pA24wj zRQMAW&z->c%}Z(zw$>&a7k#!QUFMR>ag+=s3R}O>5zs{g?g0d z#$+~}h;Gq%VRtuyEkUnGiPy8^YSoC5xMTgR$CW>q7PD)hQGl`v%yWPLc9{J15>YZM z=;?ShA@Zasm`SgEtz)DW;z1i4;_t1Rn8YM~-wJZ&5BLY6LX-30Qf6W6dD}-xHW_8C zIt7Yztb)?K44s4C(td1k0*1@Y`KjUtQ?M=Kb*EQCzRcoTY2*?*eeQk1jA3@j=KA#5 z7^d;wFwge;KUtkmc^p$sitLDNXp25a+8E6hWpwK_Ii`M`z zJRB40U!1F3+`^u48$yw$z&7c9R$5v8iVp%3DZvj;J+*UlgK z)Q=M?4sYtRLX#;+dSh#Ubpt)^M&F4GTCYgr=_%mi@bz+GJ~#+&t(C)2JnjEW1nI36LqTPK7qbjDlpPSUD1^zEBMtY15_Ue%#d$i_nB6eR^rpA)7a} zR6C~F?mz9)*!0O-Q%8qMgw!eP$Y*?HWIIrP^Ab{2RMgstL%d+H?L!*y>XX>q`QAkh z{M@F(s*Dmk3aK$2s|qWuF5a5-XJE>>o4R?VnTLrhg&bIEU#5pL8%+v;!WV>gsDi+_ zfjQnrsDXLTgChUV1r%h$$d--%ieV+0rgyAiqqpr=WV-?PiB2#d!I_M=2}VxOE4#qr zMeUGk-w;|PQ_jzxk(SvV=g0*r6m^%;7UAns{QbRO$sUV*O{jG%e^&1LZQiAkk@%~4 zB06+0IXk$6X$m~esU@2e`%j+Amg8qkkDrtV;j$(VM=m%?+RXIlpfxl);GgtlDmP>te?=epg^t#T@EAK>!`e~6kbN=?&dHBvJ>vI$) zzVzq8|cz|rh+ zu(i2d_RHO&7=~#RHmSZ|H>G)Hb=9Ns;GMwq*vsJC=|jq#4ef+&=^`5FDpxS#RPkcN zRjmc^Jwj&oHRV;LAMp;39v4VRNxd8P4Lzx!WJ%Q^V!L0F&iF!*>8r`Hls4x_+&qHh zx;R+Vb??)pEMHINy#pmo*VA(_WoE`xQz@}R{B>o8&sVLka;2h2Ce(js9kwp{ z)JsaDzZQ^t`pfo_)4=WRvR_*2;8o@SkZQ&yc&Bq`RcW|?EA~~Pj7K;}J| zWG8GDr(%GJa5B$t|8T5d9dUUkg04z2J2d5@ltNxC4o_Ck1?`DPty3mPufkQ zqsF4x06;!()Rc;p4Q=x6eIqy3%RG|&mEOs!|H)UL)Mz(8EYO^~?y+RVBYr?#i`p03 z=jLY{{Tw7?oAGR^4%wRN@MUktUuK^tMNm}Khc{2QaYaqUR=oqTG(9d_DI~f|%lw@9 zn{C7Vr2B_%iAEK}cfno1_$n3d7DwNAclv@B{di$g@P>!~5m4d9qF%3cT`kV4 z{{_PW8QCKM@W1#vu`p*p5S$-utmF6&C1)^sx29pA30VRO=jrb}&$Y?x@lRjny0CEW zgkhh|#ptf$iXzLmlc`-r-FR9;zuc(nUdp$*Ut|*YEXAcFb>Ra_Tm9rt>Hg= z)5U(YECdZ~B3gyb8_=0kR5t`{0hPcI!s5o{L;peID3!$D=(i1w_3u&`Khsp*XZ&9H z3nx`^WQ|X^FFoteh$CAVu%J;E7|GSN{08|_tSLA8e@xU)9Pz@1u-Y6HQ$BJL#=x)x zJDVV`+Pu!WXi0;gac*BuU-?@xd^4UZ91` z$8%0*1KWkYk{sN=%$zt26aRD!!)DMKWs`puejUy>wUxosS@dkc5zB;fwx14$weozo zUmL$K=8CnX8H^#cPEQn{`Sf7(BiK}Ira5d5hqU*)Ha2CP?hvI8lZwIQ75Gonz0{+N z?Hgr|p$3$B{?sC)&3;ib?v`ScjaTtJc%B>g=QhFDRqP`9W_GTidD2oEp57wV7>5_J^>ah=$k zyjnZ!0{#1rp8?OVE2}T-F&TJw_wAgH$*&Dvln6?MT}?=T#F4DF#CE07hN%ZMYb27~#P~=tc2s@vD_(WcgEFwLorf8Rfp;Sk`8VyweP-uS- zy^(LWJcM4hppz$?6}oTZ_f%>qI}d#py}QJ11X}rUt5!No9*>G>#hE{F>yXGv1X%ta z%dn)}y!DbG^yD{cXszpN2jJlx`%CVbqB%dM2gS$YdT&$e%EkC%!0+-=JoYeSgQ3x{ zp36{5;b-de_NV!XW(8B#E=0=$Cli8golAG^zgoemGcX9JZiS&BP{qZZ(RmikB9nTkPkG0iC3EDxNG2%@ za-W()Y2J%Z&WLMXsPykX0rQux?(kVeu+Ej!tpHJKV>IZ>Nb>`S2^?RwoYb3h+_ML# zCW7u%X&|#e<-60TJKdCS$|<1_eL`$OJ8iy)siDD8`VkGb=r$6!UmQIUd>uZ1R!Rx3 zd5#{BYzdJ+Gwlt}l*;sBZ9NJVx;YVuQn@+;i!H&1^3IW> z#f37XC_)!}|0j4RVGP_((b4+;?fIU2fQZ~d}kf5>4-M9Xwot$ijm3asb?g2ieM zLEI)Aw0`T9up)c7-sWSstG=ULCT!1MB*BI*;0xP*)?jE5f}H@)9E`MRWcjUa#mD9*!kE3@B@tB zN1A+#;7$Yj(ry$P635d+)but+KL3l?Z}jNs{$4m~2C5#Ge6rRvYqmzx*JaJgRmJ=+ zb3%028azK-*<>%P4tpD=25$RPD0RAb8G!_~QIzo^Twj-KD^Pn94(dU+X z{obbNs&2Aa7y=}mt^GZR&|W9^{CLlLf8d!x(%=UZ7pnjXS?OTwiGC~=3nQm$YaZdf z)E4COT#*-Md*vyk44c@2&%GGsx<6Ay%l^Q+f=+MHa3nHI7hn4d@C6Y%Ab`QpvT=4+ ztqeGRYKbodK)HUUKb%yyXbt%2ktg+KOM@j?FCsGGr_rHRirOzAE=pk-n%>ZeI27eE z3(VZSR;jv)mR?kX4G6SQy0rWR<85tP^>vMX#jPQbdY+O3@IRoni?T=SQFHyWL)j*L z)KbWSPk(k?Cgu>pH`tjtIuI7FEXopPcmP8&ceOGTz7#Rb?B6*WHlZgrldA-V6|sRiV80A|Ux{^Wfg1_FgVHh7=(XJHzfp^UKpR`a31{^Bx{l2;dSqxW zKo>Sws0f{B3L=5=(WRXDRro(yRpX!{FmIAt_;MY4KYS+I^M`}~U?ugsrKfqzp8N_u zUUrGuEN?!qv!X8XN{z5gfB#EW^U<}y>q%yhjM8N;=~2Ei;k&?O#+QbD|NDNRTM|?- z->VGw&e$LPaD<_|{P)T$O0|5as$|*P(5G)2b)V176)A?CO!Q?PGh(5`cud#jB)XLM zNLyPyRuAM*MT}2LU@KZSu!o@2yiqN?A7?w=K{)O@RKTXzI66YgnbJM=M;5@raM(q| z{H3iOw8OzO4#vPPv#zTO0|yb}Vr`KEV1# z+~DDCg?)QE>HhB@KL}-JKOcH+o*8e8lT8vty>A!kGi&SVE%F~5JogW9&+yq=_I4I& zdaK@oIn{9=Ao~E#+GWJ0O9ur6?B`TZ%Dizm?x`4XJL-Ag)1#dx=O}?$c%rk@78n9ATvwJd{ZOyr z_ariCQQq#%qEG#SxfkgSq6|j+e$)G>V?DJdb|kLlScEBfbKpeUidc#SXrofjro%}d z{@Z@JYgrn!cB3?i2f)L&h!}|SJW-6m?1@eI-AQiW*g&GzNk~XCEgSDc_!yuJDJjlb z6Tq{o4D$F!PK-aZNzwf4u*MLsM+xcR$bjC;$AIO}6e*sPtDyMW+c2D9@Wf`aDxorENoh(Xin=zhV48gOntT?AEuY#86V zHth9zNl0rM{8(O%e2NS*`KJvaM9GN#~HbsPtEc`83P-7T@~Oaj#* zQGsma<)yuMBSrib4TwxjN!aK55_i6RGO6U*|JZV7c9$*zKj#53gy7}&Htd>7x9vf( zgeN_90PmH4Y7Xw2bQnMGg$&JgZk!wrM;%e0p~+sVJKnSkV}_)*8zb(?(+YORt3Q1^ zJFhH!ltkYzNdqUZ%*n0XSwx#ax~)3_J|eNw8T~YgQYk-6Y@p;tef?H#ZEockCZB_} z4%qJkf~(i6$6%o1SetE02^`2ZMgEk(w5QNgafRnkV+#<%XdLgf zqbR9-iGHI+dPgqjP%dYHG|VtdnrJex5ea!anS>uu*u%q?sMAN>7|2pUNs0WY}$6K;i;k;SlvHb2IA#^0zliBs?v)FfiI&RI`bl&g1g6j(#7U*9?f25GO!cBc{c7ij= zi)Xcz$6TsU^yBW!r+Tdp{^OvQd}!3n8eQ<3iwK3EqDiM6vW7OUnyw4u@qCQWec_0k z6G$HQ<9ste6K%C8JsvoTV%IzFx`Wq~wvqlF3vxe{Qh|=rU1kLHSlMG>z)PcUxw&{n zcos6-%Ad=Y26iIR=S|VM&IyxrDvzfTSNX$>LskChZ5@1JSYjuUcUq$~9>?D3 zl-bvOzR8i3lT#Qt^CXv!B-2*>wI&Cy<$mwXA>=OS=5??vExH-_gwp!hR9v&h(%H>S9Q4Kk=iD*rt=# zC|e>)lK#gIWEptz^b*-w+0PeVyRGitNxgI}rMqEgqJC4l*#0|pez&HVU87N9>_l*B zY5z7lIBL8NC8G;zTUD|bq}%dhNM=|skK1!jGchvWeY-vXZpgCr!{S9{Xz@0?gpdAl z5~14!w_Sj!S?<2jeznTj=Ml8I=-&P(;mg<0pjF$Ym{+=3Y+`22dDG~t+xE815$kv0 zF+-(HBkmOrLGTNhDhDCf9SnY6N%eqKIsN3iA5EFvy0uGc@(k|wzPr8&9g z9(_*DmAZr6wUrzPBYijP7vhG>!da&$?CINWY>o{K8=FtYUHP3oh5;`PZc){J4qVOw z_ETh$dBLBN;z009b6wdET@u8Hzfhm=y0^dT;#F+3c=(5tCL9801@eCOycu%%x_l$B z0-jwdoO#)Dvkws*e@mmqXOE~V-4b)VErNoiSPHl#RQT zU)sv)=oN9()ciL8%0Lp`U$){?Z#H>!N>wMklaoSly7UDDZhRpy)+Z8~tXB}jl~Aj+ z)dI5^sAv0(b<`}vSs4Xf;1SioVCr;PYpAe%lR~rju9vU(LV1guAff^Wlw(kju&@x9 zk*w_D8bkN`W;18$LpL9t^EiR&Vw!ME@@3*S$YShMg2VuTMsJ|2!dI9SvWh{-h%bA0 z@a+u3rLQ8APhXUHw#b-Fc*`&CXWq^ZlDTaJ*U;Fg192;J00lx@8R9?M&A}jX-;C%< z*w_l=(v3%$1Cuis5JvgaV?Vd6^3a~D47McorW_c|;H--8YLGY~5`>4j68*4J0ylaw zC7}3~o5bx7J_s;iYB-U}37D(n3$_|`_`LWg6_F^cRjK#Jq?9ix-w90;X(_nGn1SnG z1mxZ~A|c_MV=ZB5S|6w?Sl@MP2)3 z%-h_%pe`zz+HKv@(bX{Bo6N>g2L?-{D;l}_w9fwiBUR3A0xXelOko3VT)XeIj~?}G}YfQ_)>nR*E#(XkpPMEB$3@^oUS{LR{LLrDO)O`=k`f!ho9uaoJE zbm9gEro&s4s&j3O9}ks=R(!4|;73h6B2MF1;<;=)*vfq6Ou4jB^qu)g)|*;!uLpOe zp4NIXXj&6V`wn{NFy02nkU+dfE-zJG38PHVuKQYwY^Hstm(nx)Oi;$RfL5M(Rm)>$ z@hK&!a+TuWd6=`g4~D-@wNXosXc?l|Fsj@e@poKnXpIa>3Fdhx7Q z0JdCRm(nOT!vJ`q?GZWJoWH#9>QesE{VnOFT4&;rsjS>QJUpCn9=4YAa<{<&Z6%s; z1HSiX<7774$ZI_w>y^ZA-5}k2gllK(9e`0Lg3nDn)l5iasd(@qS1jnT}xo8BQ^m4&|YF&jacGrAYM+tGnh zRC9wx#~v;q7wO>&*2^g6uGqm=fgdr95@-o+P%cnXsaI>8(0d5`3QvAK?0eBaA z^M6ZC{YIi58(x}f{bI@UzsN!buTgfiqva6@XbEBf~6z^|?^Z);l7AMwT_?K|@@2CBr z{e|z-f9Z-<&nfAa4*eb=?K~iopAJ4>^3U20JUrFxYb0hT)6Yf!6?KI77a=@7=>Hc* z@t21ce+9)mL+nvISF-UN%3-SRp6I{+`&3KpneE{5=(eAEi~W}aBJHyiaK{J$Wt;Ht zS@<7?-v9en{l6sW(~&7U|KS4s5Bllh6VCL(G|4Gt=8aShdH@cMx++&#|$dzGz|CRxPPnOuzb zsB`)J&8)u46Wf~PJ}^0YOs$8dL|(*tWga_L`VUAi1NLu=!`tA5IH=nCF4#i8sDg}h z0k?O`loSMeRk}J^ervM+RnIPvl#woI?$uTs_Sy7JI4B4U^bEu$Mz> zR5T3kOmi}U#2(A|1PW5wgQ=sB9|cc4%Zhhf1Kx=V34Oi&ra1w@c7YdJz2W0AXWrk& z!_ziA)gH65K;DguhhAn@)~V$1XgPd`U5%KJnV_|Id91qW$mf+_z9IOlwzkSoItviD zotUQDphUzIL4_KMsTcdRXU|dS;o_6p?m^$#cSC(M^Sqqj+t=$-lfDqJg*VlHx~vG( z($Wrdzo4L?5rGP63Gv3GZFDl5pzrUx3E$5!a}>L&bTqqtdBASL3+VlzuI_wFngXR#l zM61ak=}piLdc+gg)!-3Mw`uBoGSSF1S+B$C!>A&W!`JnvbI9RD37$MtbHLKMn27NQ zlNsDtn3pLKyorzQ)S8GAvm)fdFSY&h%?mX@ZksEFuNUTPyq5LJ5!vtIkm zR2$mJ!5cF$l-QM(Nc23~-x`<)(!PgCc)tKKL{kmsM9RzAu6}e5tTx+mtwOJ)Vqoh@ zKBsCG5FnA&C;GJNGr%zbfafC%N`Jqc9s_wGVE&*XZEMo_xm5o~U!5#sk%Mn!xrXci zs_we~sqX*2>&hNc5khuU#xdg9$x2o#Wy?7B-djnsvq@GImy{Jchmf6)tsES(9cAw_ z@7KA$kMI4{{SVxqhlgJ{=X}oRyR#8&T)7&a(AecrAVZlh}eyP|V z!0Y&L)A3V=7dc?D+^v9jeNZ(TWz!Bh{L+ru=IKAJoVlQSO0j$5A@reP1!PT?IFm)J zoj&5BM7q8@(U*af)WPj2A0&aCXpmD7)~AW~Go)Kv4GkEKQDCLrz4gm6Gf>wq54)1_ z@;cEI(LG(n6Z!1L_@h}g=-X%6X3rEfI^%7r6M@HLhVwBK5pum}@V$1$T*bz_Sb4z+ zl%Yu<2Z$&$fN0Y7C!m8%-imqr3q6(Ti7_o#S^?5?k{-!hYUL?<0} z=|&1F0EOa5YZeF^0~Z+ZBCIyNPLM;$vWjgbB#axU^T%+z(5pOEcWr(pgH&jUG~e4* zq)V_0uq@n$Y7!8Z>L-tv+Ef$D%JE}AT%}%$nxl^uHp-2vOYB`d@6>r6?Ed&sOiVbf zPrn0#0Hn&()0T@Cf0`*;;cg$=!-kB8(B{%T3EC&UCcvzec>pMK*lfB-9{d{)p1(_3 zyc7uhr`#k5lQm=lpC-V5w^N|$2PI!{)BSvhfwZpW3NU$^ZJ$sQdhe85Nyvr_bbg}x zxmX5O=i*~9MXhLP=QG`&!M`t4=yxdDb6k3(>Ly&}-nHpYB@s_^cVGD8^UzohCv$Fo zEPjb6CbO>xV%JO_*+Bko>K87Q?Ly~r5Yg_gsvrT?f554DxX9E_>~?3Ch-OCRfWd*t zM6BnK;$YY{3{g=L?)<#Bt_$B3$Cr9~zjyT6x>yJ-D-EBzK(@{;l{AN6$Jb4l~P#Ut)l9Qc! zc&(o%rS@6l1C2af9(5ccV85g^bK_4coq~$A1h3kw+xdRkD5J8a{prcwU4Yf@+MTA< zMGlm5V0(AdiyT7liBg86j6lu0)#XEZP*iO?+>;$ep_jX6Qe)~i$YGG-_q~9%r4cE# z_*Xn-x7D$in2UZm$2IG+#L+A2izFOo!B1ad22_8fYojhn+yRk^<6|u-ZG?-AFuZx- zmV9({JWj3;PRgfFYNdxt+R>%b=3s$hNzSyt#!c^P)0$zfeQLMV3{?ORWMGDtNSg4EORU;_6%P^D~s98x_n=pvwv=};4RhSuaQfutHZ^`P0QCdnG*2t z*LIB+U-l#quq@c%II9*7?sj^ZHtaddB(Skv9g@z;0=9=nsGC%5x70^t;ZBdkGzkgZ z&aGch&f$KE-Alvjs6Nj+A=Xiz-U;RX@-A-WNyaeF4(0~Wo%H7at%}ntRtc?2Z z$u2D>raXD*cF%+H?tP712rN!sXH!unIt17P@8^8Wjl%IZP&4?6-xo)kJw8#ta-PX{ z8ZZk7IF6etsf|qDjp~KO71h-SPB@Ds)-YaP#1PM&2WXTUAlAUOByOZVWYfX!ZAR~c z>UtQSw};*C&E8-P`0LoGqz+uiRHn0V&##sf9?( z*E=x!cK6{996{ejmxq_S`xXW!WbM-zfKqTM-2nm-{VkZIjDu4OMDJntb1fLbGeo|s z$Ymnp{vb35mE)nHAggXsfPpt_KwzCbm%#S+#!^2V>vdNKxr=0B{A}TUKCj`Lii+P!> zjPTJ@)!f-jC2sJY3)n&+JMQB7Amo()m1keu7{ZZk_|{%a>9_RUDliT}&J2@`lo7@G zp66~fl}tzC>R0<@WRujvZtP(3zM!e!sF}?lgs5J)&1MluGaDRB|(Qz<)>TO*mf+KEHPIH;V@l zHnrCc-**IV(eHgG+R*}=Tx{rA!>G~_q*9eUJk4+(*~m9P!DgTU$nb=KbkvT5hzzYY#8$|?9vy5%US51F~K-6>Ihi8?( zzs6YDz~IHElz7a|RYp?oY9sjuO^@TJnSL!Lj*=GudNd#zPgPmq;^qdr3V=fsHT9Dd zCn;<=Y3>7|4**ivI^ey>?TwZ|NqfFFk@pffpb2ZMtE=i#raRwfg~<^! zptEzRWeYI7=ZoZl4?)qODTZHjGv(yL{rg`W_OIiY>s-4X*8yY>n?wpb&d0!Dg()+- zz@CLX#36Yh!2Hb}FqKE zYyrf}JZOl_*_Jo3zBLp|Uc$Tz^D)Cg7A47hd`+M6h*<~cqo!ZLYj@()FS)Y6v!vpj z=7I+$sX(7oy=NT>2~i0|GDE3>91qKNjtR8_Xed5XH88m3mNJXfOum`PET0>)*(IR$ zI7zZ8yv+DLV5WoRl4{7Tp;-$8O_PDSG*|OfrpE(H?yrRH6T(8be{Wp>j;i0;*P!R@ zcs?Jc@tiYkd)*RY`(ed#@klvG_n8p8L^=>V&Z>C1i}kb8oq97*zx}!YspgCAd}1d# znXmowKVYgW+4J}YK)3?upMcO3x{U2iFm*VP!?0ZA8r-GZ|EEMm>FD zKAg-)Mn7p&$z3)@6Mmh!p>upkzR%6ob*z?Sfb-?2sj?*y!7<17yPZ{LD5$pq)cc7~ zB1&eP3BcyjKdyi;6W-j!B-Wn?X+cS7{3e}#fOZTUb`CYI;a#|YLsj|?n2Q8S7c9m` zKLsEh2winS8~9Y0@+xqxsdDSJlIK36p&!$bAV!O=vN2j^!VciOoV_Y2w7V%*Yn( zhFH}K1a8fUE*CQoM%wSP-OX+=5@a;R#gFF3*eq>R=Vg{QB=bStpnbHqzsJPbI0kd| znvRO9G?ak;V4yG0It=g>z#4}T3RQ;Z1)P_w zub4>c0P$n)>+1E{Py}h!IXhcIZ;*;E)GDBE|GVrIveEdxZPr46SNaph9E-~g4chCb zd8Xzz=Xsx9Ax0sf)+w|6waWQljScdNFVou>&>)`Lm$<#gDmyA}>%ql*zoX9s9B9%} zNi-#VJ47NMkGtZ%OyUe_3_P`XAw$rnnN6Q)-<0B1t{*82(U%nXlLYRpzK02d)6 z+fHf82XJxyP?zn8P74D?=kuGkTU?o1mt)Qr z!A0qZY8Da70?XV$N=QkwVL3m7B7N!oreFaR4dyP>@|50J%guWW6Wm6uaUN0&XF zZ8>gM7Q392OK`|i&$|ISgpfi367{9i{^O8x!oJq-dwE(bbHO57@OTFE8gaT2NgZ$` zgwulV-!Lb?98NF4N2iZZc2~J1U4?p1{ib<$@R0Ksomr&MX?~R;E-CHr6(0F^`C7ID zJ+5|!Sejms_u&&ye_pJhzBFn**V=8lfDm^U*TB*YQ3P?LM&>FcRPNEejP%U9N$30U zY=w8G5PF}B<157hBFLX@XDem5)uN zYgcVDUSAWXYIR4U<~L?LO;s~c_wMSaLL)+#liKU2T69oNWo5H(+ESSj*ESxF>-FKl z>RU98Fwb4dPdH7PIbJk2~Gp zo-ujzf*EATEV`yysC3_~aoOBp$dmZ%`#1)Eoni`MT9q<~7gPC_k1Zr8 z^nI7{C?nk^om&hi*=_bbh^_3rSc7cvYyW+4Yj!-wB0F#*a9HeJT!c)YcB zWZZj>`VOCSuPVEw2?8zs`-YA_uBTVp~9amAWt9Q2U4-i^__GzKkGuhy+H~2 z;&cDK-Ca0*L=06Zk0gqwP3f&=sLyavK70hqhmkQtSQPhau3`qZGD&k6<1%uMU-MRW zW&VQ^(_5V$_UFz_?u~}9*fjF{mA=@>_ZZEb@x0cG7 zXaHysS{xxqLCWQE)=1ozVFFl({>_qq3Lg0@hb-Z_fQxe9T6J_kpKp)$aPq|ed<9V7 z(>9gXrpvfwKDzvf=fAp?&v8~FXh%tE3RAHNTFB1zgl|#;v(-0=DtrpY9l)lnAE`2| zmRm52?VgN0vNxan1!Kx0d?eztBrFn}+`~7=lie(>`m?m5L7_|$5wtyDS5_c6gS>0- z9lQ!R=K=8SpL7&)%v$bVR$j>tijIT-J_+?N_BCQQPk{I}`g5!WVG{9 zndvB$9X3$=WPgd~o)ZwpMrc6TsoOln;tghZ$A%!X&T zG|->=xhP?0sgDTCe(PXdW4zLtTQTGFgjGPyj^4O~w-$pbdF!~XZeW1nP1J8NFUKtr z6SiRr7|Vn6M(G9y9G|_{`;#gm*<>e9Y_0^D*nKfB)LFyKarPod?Mk{(=P}Q5^*6^9_ZJ)7#B6fss(;5S<5B z_n>XKYwYhmrx3i|zjgTg>$kbNIla|!{nX9vZSNUsV1{(16jMBVHojRxye{w86r`?| zffV3l2G8JNFgRt4Kk7IR{V=L+63EsJNN!G_46@V``6|EcPHUq5^)t7u0~Xd@&~ zLF}FZ(4JkC*f16XK}y<_d%%FH5&J9+;y(?7W5~D@pi0m>?q~FhfR}IqML+006 zCBs44K!G22ugQ$_!gfdd6i?)>m#Zr(*6|wjD4A(roBQc<)Sp*>m>unuhoT4S&daYJv0?5|k6V{`n&J>*6H zct!M%YNAET(&l^F)L%FDKtGRZHR4GZwz_ zrKP21W@cu2*^ezdE2}PS?ML6*1hd_*!B1Zo=jY}a>(4m)-Y>HsYt?w(CKup6my=yR zg9WF?YWiAWjQ!oA^ZvfIThzaTBDu1LLg&eb3h9*0aHAYWA>#J)Oe=mCA{jt3MS;?) z2#JJ_MCd3q#|Ipf`Cb&gFziHSUCO9tec!4kTI4`2lA24X>v7rL^$e#yO6+- z7hIIL6{P{3B=+^pbIQ#Hi^kxtrv{)MeJIhagz;Mgz{2Hf=MFmVrAtYk$zDi{eG1x-VD5#kB_E#rF7+x zAdfR)W@>skO2|`t;syyDz)A3BYs;&iG$@R_pU)0dst1za@V@~Y_9oF^U#*Sqf3khf zFz9?SY*aHt{?T-?;8%;|P3C6mTmsxrIWMTVOLr#o0WQTT-39Nh8mss-Hbc$ln|akU zNgbzyznDltG;#Uj>dJ~ydi(KtZ#gh_tzF@wv==@2O$O#HZMvC74psV`6BiU*y{Q!J z$C)Hz4AA;=eOqnx3L%q(W9?J+QC2K~(HPJn%Tc?I^MT^R%?C3WWy%4chI)bbMGhVG z#xn_(m8^7^3jNWy1^YE=(Blusuh70KW$#UT&GqY!6{b2zSx6cYY1u{yiWX@FO`)3K z3@?ft9QqC+AjdezsKUkw4AK3C1=|@CGc^;Z`ZG6fLh$~4Us6Zw6TKiKwHaUHVqHSt zqDV!UhMGR<^f*vMJMMI6f2m7>~D8XPd7_#p}ie612(s#34egq zcshq{I?n&2o}M0HOX2b{v#@lHTrDe84B3w```{BQRF)qAtNbgsiLn`BC-9p@i_GA6=4FLUvsow;73tqg_V7z{}+|HHyWyrdUEiwAuAv zJF?RL{H{~Vlt4JG+ij;HA#;oS(HkmGpRZ@l5}8#5+|}JjLt2Z>r4AG(R8@Oud~A$I zlgWFggNdCu>cDx_J-olWqME2Uz_E*N=k)nN7;J9FD8GjZrgV7R)btJqKOubwb18ZS zZlGFJ@OIlI`sCO;D9Da5ZjaB=TVuEpJXv@Zz2eB$g2;C|p8ZN~LMtJ6^_lYLW1*Aa zpKGy4N6ZKDZ7%1jtA0QGo3<%7`0Rco*id%VZYnbf#w7EH<(8_h)FEo>lZ)GEEWkxd zlIpgBLEjb(@a!b$ERTv7nikReHDHr5bq{YO_}-w%5ciH0ufW3zElYX{Vx zW`L@pOCjn4xSbpletR0F4}7Om&na6PZ3W-d*iZFN&%>4>xX7n+W?hQ|O6KaA3x0J! zG%I1mCa*1yvXdT?QE+P66HXXDr+&465S<}v(IILA%3=$K)V`6IG(DA1*PkkKu+`SF zQQDpnqwjh7#)3kb>&I1BnsBrvZ7V3yWo2g7o^IHNt%n6+v#0UN4PG50Z;AJv*%%!e zVR)A1T@GbVE6gjO_7q`W$T7mM$;j|+1%@11g;`nsT{(`3VwS7?IAw(~O*oh;8eLzG zM}t<|5_Ig&jTX)qISf12v{B0frcI==KosDn_}!SIDN$izs@Qn52un1u$uJmAIqu4ndWAkO_Tr(S83ZlRd9yFBojpk`!7YI+-JOzDEK1}|sxPBf@R#|6P;d9D z;!Ccs&@_ks@1P^Ifx&mlcymj5^soJH85a2>DdUTBnbRb{kT>0#gUHdfb+m9a? zk35X1ML`)IWLM!v{3lIuW=2Mu<5xR9)=Lx*JNSX7ORFiQ!JS?Ya9`Co9vhF5zEt(L z;5}km_`dpE6oU3@8g-Ca245E<4&Y@YGj|@DOO4cucrUOAkD2pGWm|JHWYZ8%(-&=( zbXAYbByPC&Z3WjRX)1;sSXr_Rl9NZvwMHLneDSn&OwOaR1hDl??4()XS<=sl^^nQE zqg-#A$jCX0DXSWyz$$Paoy(IMDr*fe=aq4C{zAmSayQ2`eSe+ zsJ+R7evevYfaR$d31f&m%S2i_7PZ?N+avkG#e}`LQWQT-;bkOJtyBf3g7HgrMGl@E zl?M}!tfYfq3FsD#1OK&gE4>fPZ{#Q_C?bNTkM@?yif(wY3ygVfP>t5M&1WpeSWi8+D1Soj=9&&GMR5Wg7lH?a;=9S+M3)Y5(E z{FayXmOUe7SIl3lCL5ccy|D@ICv!GqzKhFw16s`tJhbF5ygDnAk_ zfkO4ECpKCv8m2;wI+3j?W9r(^{I5~V5gqT#18lBZBiH5G zt*U!&PatL*=qh~stD_?lO&@c;LsvfI>B@mLToSF)ssa1kKV!l25Z*qJW|}>&5J8KH z6s*3Dv@YWRS?fpk(YQJZmXlGs1;@qLHS5zYGRm~qO&&)33H>-?m2FYrg44~EGqu*u z4&PXF{&QG{QKjLG5J~euzvcRwGm=W zqIuYP&k3K`XmmAo9b0A4yy8#S1&=6n8MbJlD&7%%A_+AmrE$+|VsIIrXSr3=`+6q6 zIbZaXY+ASI>+Tmt%j8$N@p<%g8P*dWOBOjJybwiW=jG_oqk&drIYQWQ>N@jR5)r!x z#ijwyhkdm&3P^h;X7;`1e3y)G^n?YF)EYISw(n>0J=@zw_9C>ohb^?3g7xm*5G89U zI&cBisv{LCSGK|-EG^O;@AkTD-qT_7meyNswtTF)C5olv+N;q~qw2IKbhXzdt!Z`~ zuf~vMbBU*QXsDy(F9E;R3K~dvxF}__%)A!%cj!0&n2L1jV3I1!=`{f}tt(bS?1D}K zl0~fjTE+uL{vb}qFk4St~9gT#GmcEBES*u-j&xwY`r1`6&Dw;HSAriN96TA zsJ<}jQ^Un}^JlP@!)(&x4UT;(DduvPZ45B?gGbSTpH&-R6s~bh?m#h^>io=x{_2Z9Zb}h63Fc?9Aqvy3AVM$ zbZY9ra-<%o0!W3~m9&u!G0kL2opavmz(sD_-vKMF<3qgd-3n{ZNav|S-Zkc?->CW; z@t(rqwIAxWm>0H&V6q*xR_%X;_dBxM z=r}ygGY7O9x}&4O#qt*oOsvPsRs3<+YJ(HL-a#@CNJ3XlDyc_OrxgK7XG03W^I{6z zd6|?M+%E@sfbi)FrhdqmB6k!x>su#y`o3%bAYd*u_%M({rkbM0edqoCpOX_+LaWZv zjq?FNc6X(?=b%>9(+*`8+Yf)Xg7z{P=g|Sq_(`&@YAMf!eIH-=QuXljCm4jZNBsm0 zOMy+c_GL>KE_4~}MH@TlX*wR+G#Gd{l8)>O!+IS_DAFWUHfg4rSpClEoZyxysF z*tp_I+#*#d2@l`3Q_RR_smRc(Kph)vMH6G+aCgi^zHfGn$rnRH%;Ft|(u)#Nb&t+yYaFkfm$Fi{X> zLuhR7LhP1{-+(7bCbblIG`1Ha5^C*5K%3UIeY=M9VN#p^H8a@%S=7b6e0fMZD7ScN zA0XCNhKA)hiSrhfb^bIq+uyec4YHp=xw$=b78`gJ&RRPu^)l?Rbq)Bm@Bo6UtS8aB zdKd4&CtcS7lB9LBq>gOK0|rvlMNlhu!Aq9MIel9_Xha!#|0A4xpP8z)!uWkwQ3;Xg zurVA~J@LsQYerI6XNb0y!TU6AI7B@{K7K@qU#^FzSKWNvnakeO%gf8yx{$2}o(%ob zB-qJ*t>-_RIO*%{HRrgvpSw3bZu*ML>%*W=wY7!931^!p+)M`89LJI2d{9O(NfKJ0 zbE{5LhjS^qLIcJ=J4NdC`Hc{ztiZBv?O!FX%AhcuOkxufk{*6KF*57Z*{9QYGSY-~l#_SiE+&+R=a;jki1h~4o z#?|h+@~=$GvvYF(G_D;Sx3#r(cWdhcTJ`Jv>o)Fs+btwzIE;NU`mg8LLq2|7F9^VoOgtKxLiVctNZ6u2JN=)RlaTNd!kI=R+&#Dj2=4CgG!_B`65N7AaCdhI?(P<7+#A>LkoTSX zYO3!1n7VVP>ULGmNgwNdwmf^S^{h>ZqPzqeGCnd43=Eo-q?i&645%0e20jB34mgsY z;js_wknANjoM2!W-#`C@b3+7&J=o5(D2Vc{aoe>?4!0bY?a0t8 zu;4|KfSKE?-G;uyX$G;}3h(k1_PEm6(i|@_Qv-0`AYe!qf5EqziFSIxGrdQIVh^8c@W{P2m*XF|m#B)kPk2%HhRH%`Ugbv)N7%ow_p zlT*5(L|8-wlph2f&_5F9fPFrL3HNu`G$vZ2Zc+F(Z1H7>{usoug6R3=fidEbZbNKr zZ0jYCpUKH6!Clq}&&P4c312_&5&fUHFe0SSTR%+1=N&2Nxqbh;r@jh3-vmR3`MecE zd@kaD6z#ux>c1|GU-$)PvJeh(;CXL|*cY_tV)|j$Gsx#TSzFT+q9-%OOXo!QZ=Rn! z^Q4y6rkS(hqrPNlwc|*RgS^4T9q@%*ctYNX{rGVW7U(M5WR;bdmzR_KtYt%r895u@ zHnw>j4RLBn;S=yU%Wk%4Ay5>Ez9(D2e|{7F-l5@PZ_m-|YxkP&k#xZ)3;vN5?#bAT zZ`0G$XBT}EHD@A7UO0k5_k}AFWa-+vTs%Ct7FpHoQ#JF?%_B5`57=ESQDbbX-CZ58Zl$M4=Ty(p z9Osm_F~-EifE}KTalrij5Np~r49D(tUOM%B#plij8lR#%V#U zvG~EmB}b1-N5V>(F!=FZlsjLkAU5htGgH%~YrFvj(&;17B>Lz3VHU?5pdfh#g(5+Y zmiH-fxj&;jrZCl4+;N^yBKi*-)KkqUMM?hNzfirO?(6#&4^Kr!mFz|Ew{Ic(U*TNh#UC3t*LZUAsA|etbIuV3MY zg@<#P@Z$)MCbF7Yww{cn@=__J(fgJdgd);ej+kcJibIXHW=;Q&#o>U|`}IGk?#yqO zw%AUSRA@h+-YDnE-+)`y=}lSC!v-NqDJguukKlcQm(OLb?iEeFsQ~&pP&3^juoN12 zJlA-V?W`I?D-f~%lN0-Tv1qd!!zf9y6>~$wPV&k^sFccmiX2k)^WEi8d~@`|x-ejf zV71$>G+SJk^pDZI)KpZD*w&Gp+(Ep7{)NWC%DyMT!iHcYZ6%u&@I27W3&PFOlLjHC zrly_iCmSs~SIa1JlrzR!wc%J8jUy8DR>iYe+Nre!t z`H;hZ{K(Ig!I}kXL^BqK!d^UU9Oxlvd|4DiHY!T#qgOYgqGzO0lEA1eWGbFh`Zqp> zN#;!k&693QT$t)qiM@N}jf))e#S(66hkL9!ndJG6FyZPKM6KZ_sAM4=WsfdsM|f!>Mq% zHY<3t<%t(`D0s==-;e%t6Q$i6GtJ-w9P_qlJ?% z@v#!f-f5Cqjj82_T}MmjJYAn#9S@Bpwb|P;K28jlSzFs$ZUpXCbL3q3phEjGO6>mD zthrFr9mq1ERTAcV?*`@aJ=?myyQ8C$mb;;$$U|JS>V%3;)g=WM5<9GA1WKR?J%`JB zXLm$j>7ZMr7?s)-Op^G`QdY`75Ho z*E`r~_~%P8;doVipM>^vx85T>_i<8?E2fmWzh`a~>3@88fQ$V)6U6!M-|t6pAW8J+ zxlIUhNed~G@Oi=nrl2}3QtrROiOk-PLdcO6I12piinQOR(DQ%4wsUy=-q`&L%HI~c z;RClkA9GF$b{*C`J6%{mYz=ddX-Q`I&&mdzH8a-~b>Ozg7h{hi6b zw%`7UhzRa7*dLU+4HeI#gr$Ft3`E(es8SCQAuX$iKu33J!)q_epdgSNi+=52@6ji(}r}(9oc89OZ0Aq35kB z=#da=g#v=xL&)C(Vwb8wa(u6ZoRaO03@~*ZhN5`2tb~#PMdxs+dd5lZ zBVXHNs1$q_B11itI+b*!CipZ`xt(%LBghA5mnaKEk97~_L^Cn}f&`(e19hh{pno=M zmnIDh2XyFrEXv0jX9Gdcoh42hnA6OvG&~3yKl#!L4%kHy^J_W;V&(Mo^q?wG#z=XD z@Qn#nt*k4)9~|_Ola*D!Uo=4jf-^+n%;mX#%B@5*eo}wHa6fg|_7;~hn8TcXE`U^A}|)tJ%o?#Z{YL8h6%s{_W78KYw;7%W@`Y9`HQk zM)$oo!e$W=5H6@7pX=fiDCqV^vy}@heP@9HOa>}^KmS~T?MJBoTX6n8eRN6 zH=kM*>Kl{rBg9bxzpM(Ht1n`#dhTB?9IEMgeDvM2(z{y_T+$pdX4x}bz1`xRCfVNl zW0%Iey>rN*x9;xzCoVxxz>}?55Nh_cn4ao$$o?SFl?3V9C#kv5O~3uoNdEkzY-+(H z*gMWVMioEFPyk?)FB&kw5+r-Q)xb#stEy$jmsaod(D-O%XLmeaVqmTKE6vf#&fEI| ztRbo#cdr^h?z_~sN@bN_YtNE6m6V5^K5F(;PM1h{${vdS{Crnm#FVr&4z`FNV(&u4 zIx$nGV!1fqDCAhmuDS`-nurJ6b5&pTG9;b=)kztp)Msac7sDQ#Gv;1HF-?N>ceq`u zBJw$H{UCeaZCG)JcY`{p-3o5lN@7hM+Ow2?swgWPJ#^g{L`S!6h;Vzj1rOuk$A2j9 zFho=_`!#A>W!Wq8Tn-?f**iN=jrA#4PKIDp4J1qj#F%=TE)3jmZ`b{<6`%k~Q%;dE zF)}8tzMSe~sWk3p{~0V)^FUPx`#jDM#xdU_f>5FS`4)8G=~QcK!R z=nO8Mx~@UT?W%gkM{2jfApD>KGoM1J%RVDI92XbYb#hDfSlx1-2@^5j_k!`x>z|NA zmzKZ;S06xYwDfDCyl$ySypY#lo;~@LXY+8L4g9Tzq_d z+}s5Mto;1mds78TOtLmaQQgcBp6o$)VYkwb4Jiv1W6bCI7dVBJ^J>QRczyZIe{WVq zEaoCKC&#Kd(-bu>u?7oB_M(=p(G5OcCDXGO{vtpnAoju4!r0|>^D{tP|!iszz+Gka5H9V zBZl|f5IdwU%h6|^Eoe)vlYJ;?ZgA|GihcJlH&S`sHUmC`Z9ZmYWfc__ZC#Pe9Z@gT z%F8lEOzZVieG>d>5H0$kn$2ha=PxMrOWno(DW8Wy%m)mdsVGhQ!WlRDG(iB@Wf$0U z5z>#R0fVz2t3)~7MVaD^tOjQf3Y#mPo0n&`^1$nLS$yw*cIM8<2s|8!&2!ROnFGDw z#j}Dwe1dq`3=wqoaXuGzjHaHV`|r<<-4Gl94LJW3{P7<`{Qru~{wE6jpUG@f*E7%N zA~YSW4IbS#djI?)b2pg(paMU1F(<|6BmX|YH7l1LL5?!UdN?&sI%n=Ly?#FZzwt2- zLrALmH9r0+eYE%Gvhb-pUzDWcmsnD2;pZ)dB+{>aX-pjpTiYY$gZ$G|2kA&G5CtNz z%?8m3I~yVWO8w7mQjWqu6&jZ-Jy&moiTYB9@eS|@rN7Vn=bjE7gMoTPadxRf+Fx+D%J*-L13M5v z8UCU$JQ5PSWr9EvvWu31Wq>>g_@z|Hs#Z2O7BVqye}cLJWU#sQWM?P;@qRd&Q_!Xl z;8Z;JRm%Ug>vN+}%mo3hDT!p*>!R$W$erHrK~4M&9%H(-8P(YE<#Lo9lZst$&u_rD z5dhJ?_nv-n-dlQqkd+^?rl(w5rsYp5XV91FmRmD& zHyrg@H8mcI{72g=eetRV!Dcu)%cZyX_e*u*NO0Eyv;OYxt~R3?olZ0%9$r*c)&jS% zZk#M7Fx4hKdQ9v(-z*~Og*;|8dvPSQr`&8RA9j@C|J${m`wIjMsKAKEIUvE3IM(jpVy^zH^t?zgtJtvux|0j0|4 z)n>&dWE^+~is%l=Biq~9g#Fo{fM)z|>xJt`OC#p+l8Dk7UHKsrwH)JJh21x=Sf9e^ z6|sDHr0g5lUpzRjA}vj9es$yD@)i!>-){k4SKd14v>;5PPTLlcxp2Sljsi4TWUZ8` zBTvMjrDt++k*-E5IP2S(^m zQ+Es|FkNAwy7S!`KjH6?qry zc?kCBej2F318Ha~V}~O3k`RUX1nI>%P(UUUUIFHld}F@-W4epCG_6eDH*Y_VF>DLx zP4*Mde##bWJ?YL%PKL3$VvHLoh+$aTx~5vx4$o1_m^rSNG3eK&(F;gYZh&cG0O7yv zOiN3vJ)`Y1EIEqtBcd0MFw?8SHm_q%K4FEBX-)HiR^VsE7 zjcX!EJic5qm#7k2^!GD-X%UI`TNUhc-D!5T@Sq1 zaV9Er+yQVe_;Y6KoL)HpM*I*Hmi+=1+VoMaA%g13l>h_yFrt0U2i#qi(8DF3hX;67 ztTiAy09{E&=KI;`pNd#0X>A!w?zcYEs#}M#+v(Ry4a#5UqOf8oqif5%&g@AY7w#5G z^Z=@-+=zt=3Si$@4VIDFbsk`T2#7N(ARmf@m~4d!bv3TZ zXDk$T-1aloyYvEb#p}yb8et}WKHeJ8p{v(Z%PWm5I~^q=D#|$dxHgW2!IEP7r$5^X zWx6fzw!4$k2Sz`R%^U>W29>CPi5B;{jghgcqf=O+uDD+oYhJK(iN4$&>-50nja!G_ zfNA4yZy@O(g3V;6Q*1u+rVNCX@%8mrsEh>BOx{)V^YatGbnE7D?no8lzA4Bu!oa}L zN<5umrcw%hiP7{6L}5h8!=_?W=y2eUbcunwgC-mtR68V8quXdjwJR?oGIsuFr`O8L z@yv_%HAlLp5DJ!dkF71z0OhpS%lL$h*Q+%}YC}wXgLZaHKYa`9W}A`sFK&M3CqYSN ze{$wt_vdJY`=k!-UnG&hQ@{?)kKNnfK8D?_=mtw!*+iI;ND-s$f8q5H`ktU_+8pPt zP+~HWsIV-B3ZI)eD*^8hL-jmHgO`t(z^4|Yt=>giG9BAcr7uKEUsk+smJVHySA7MG z%F4>Dx-hhxT-gCiw1kl3=ybU9AS8M-kTgXqyvtSx%8@ou`hvAs?V0C+Bwb@_K&D}X zF)bbW0f~2y&)nxV`+apfr(+PNo5tE_|0A_syXs(&I_6AebH#ak+_|oJz1}@qBF! znEj9_09JhAaL!K!c~{TUJ)&tNCWb9 zj%9TE!&tFjX51!1DR%4?-R9S!85ddknIP`a+TebH=>Fm=Z=S^2eRjKk$Bmxm?pCnU z`iJ?Ax6}kpe4zOBAprf#@yMq0c__6=(^AQI4ccgx6#@fne0rL7#i|nqOv1 z-*FEEaAZ!pa=IJCe|c(`seftFZeJOPuEDmg-hP@={6hF~QHb`6@=j7<)TVs|-9NWP zg}iH)y)bcou4sT}Hpowh0B#zE44Bb;PyF!EgyVa z?r*}v!gBU*F!OgaORr-cFY)p4KHV|bYnK9K!|Z**H}f##PKuy611vzw3qqHYl!Q+} zFnK4_w_wa)>7${mm3%KfV_H9o876+yQ{4c1^X3hccC&hGr#qj+{oLup-SNxjm6a7i zkHhmlOG^if3dKgTJ*Wqu{h+BS(R9SeubuSubyTi+dm@#VEU!EYs6U#N*Ex!aTne{< z27AkjG`>iL6MFm!p+ddW?_S0`FN7!n1B%w zCjzK6<_Bh6(8Tk!2(fpSevP&IQZ*1rNzOzUXKpG5bl;Tx2IxnebV6yJ@)u{zg#zf>hD7+zMc-N0P8J0v z1($@@Sgrke(r{*HYI``k|J_iojk&w07I*Mt`jf|&L0!}Adfyvl*_iK#Ugh5f_J@Xk zs4x|ui$~JRu;KFqT(O@Wi#s`}%b*Lo18Q3ia`{t+V%Dhd^`p$ zFq$k4Cqt~G`OBKr9pMZe&N(5l`wIxGiK*VuC2r~RREcrURWKBg%+kwrS~P85Hyy@i zNaayVm%D6t!27fm@48tjgHlV z{59lqkOMmb+4&tXhP_Y7ydui1RjQMW43ZB56aqW>b6Xy1SLkv+ zRnS$E2-)$)ZMCj=4z2#gE%#`7tpp!26l6Y8_5*9FBWq_H11%B5H*b`)PXGu(Dot?l z#}Xtfyp974rP?jGku69Y44=M)1q)3gshn?NMC0(MdF!T+W*_FKu+7eFuHrLDE_Q*% z(nICqt=$k_yT~*Y;VH>y1cHTK6~ujE$;yQRC5uGR-pMGd;V!x*y8wr5hIS0VQ}a5iwp^2t6J9;~6PTQ<8Wcw*jdl&jl{MKrnlx*I_x zqb7B1eTU?24yNnrwo=rHqx*V= zPB~htI>_F}Wex{x(=bHjsV}qXRl2k1O?*`|-z`;H!BJGJs^M@o3}!*W^4A}ezE{3D zKksvE-@lM@m?W&o8DjD;fH&m-a|(j%(48F1k21-=zP&?`JR=3oBmoZ}DCQ5x?RbAmXE&J?@DTaaE?>O{+5J|mO zii_Ha=5 z^Xw6-8r|Gmb=-6B68?)6gnw~`0V-7Z^w}ZeEN&C(A%!2!Nw2F1WL7@q9f&zOWkiOW zPA(q(`p##%e!DX<@s6NyxLMb(+v`FVd+pxkokVh?^NS(Vs;~aGsQ!y=00UWGfj)+L z)H*>$GXiCdy7yTm!r4+bAx)<$)ZoK~&qq67Fx~aw6FxgDEytcyKPeSVEiBl?ScYB% zUC*J1+j?mL$)jO!504w%S;PgMHk#V1IaN60LO+h8X`B<}<}(?3LtTr41*>ie%O=2krdw=V8O53EI@LKVNO#vs|EFGJ;?k) zKjA|>+8U%+Q|k6BpV)tugR&n*l$v$+Or$z(o&NYph^Ir3mYNmv$-sm z@;!5IpG;BSMNZ1^%57t1#ou27Lb8gHkxE~?V@myEuF{-)SlV95w5OP{~YXOX?n`)2{ zpXdI2x}Is3kwUN#Op{;t-3@@Us{Q9*DM`$a4CV|d;ZKjr1=+z%`AvIHvwW1u3l=gv z!~`NPT{yIWAL_rnBFL=oNTNRMf6S7)Ay1;F7H@|~=?Kt;2l$8?J~HWSzO}0=-Yf%* zN+g&A`ljW_Kqdhj3fNpF8hLkK$VN?#B`cBE_I%xOfug!}%HjMoN15K*8_V4x{p#jS z+h<}${L=RnI!HO&nGJ?;-9QHgWBzdH#VsyhmG7o}H7^J>RtjZFl_zlR*Jiu|FtXS? z-71iY*|t5h2&rG``L|wZ4iib$1e|oCzz^f{s#2X600r7PQ7r7Zs%UHe-gQo@E_l=@ zlY+LPC&=d%etv-56seUp+a2mQzCLflRrv`2qf4oZAc zKqutgst{^7+}6UQcj^o18mxgz01d@B?eg6nFbJ#>95%r=XH|UM-G< zGIU(%<=6JRYK^to8C&1z{`@yX#IA4p(I*$P$LnTnS4V8h(oxHUt%_8)r43y-;8I?oKGjI3h2K+PZ=tj%*XIXNOt?iYIh{hc8^ykZF9nN-lpw>h;C5>cs|WPEJI*b^ z^2HWiy{Go}wT!mePtEeRmL=j!Hu{VQT@;<1mbkZr`i7Ot znVsWRNcT(l2hPrDV-_2Rle{p$w%bk%C6Gn*LQCwtodBg|*;iFfxhlbQ<8&Z^Ql`Y& z#3YeYHkF1Dz2$a*?rg0y@cSD^UE=^}AGp}YEtF9~-|O-UwB&=J$;nCI+q~6Z=nFSM zIt4-vIGbwjeCDk=5@n@N0g;UW3e^*EUAiZ|7tpd_9BP6qU2fHx^-hyOc=>o1Hfxd) z>$&aDHo#tE3rLJKUO67}k!6^ip><4l>tAbXU7P&b%~$Jg_uV~kO+z+9tcIa^97@$?IJ1uSw&&u z({qrTM^i|}=cTC~uqmCw!A%4O1~sg5HAHxQi0Uk#P|kQgkKH~4WQ_tM;&G(;q` zhhJR$s)HEKzfz(ly?_ZeNF)=;9apxmt*sT6HG}Wx&1y)vxm>xp?_18!=sel;HQ=8z zLIA_c0uu!mp7-Uo12h2?(BaPKbFwB}t7}FnL_-vllyfhQ`<58*gI)x^IN}m|)AGuy z9fx>xH>^9mUGrn3X0Eq)kJP3#+Q+&j*S>8i3W^kRMU07)2Gl=ktky5Vj=Ipqa$1C_ zrRA&8lojU?_FQ`jH#@9p)jW`?2i!?QBVZ#Vqg!Y&28j;@l2B7&eErMW9WLdYI8f6S zG>sInfM{h2r>!IB4b*t+**(gWtvfkZ$aCfrAv_|Y3U)D(8vXh~@A}WA?@8SD)15Ep zJ)k$?P@MzTElW7r93>_Db$j*j9H7VSMXx^Xx0SwvOFcK8$ITn8Nqse<64hr8k1zqS zoc9uG`Xdg0@rHoyp%KUv)I3D1Xan{h>zr}Jku-jSF!2jLDazPJ^wns2%8ycT2c;l$ zx|-Q(5`g;yXd4QJE-*7ID=SyZNarik=Dw;mSj1XW&MaRQ%+KKs!L9WDoHD0_{87#? zdOBwfE95JoOuE+*_XVw%o?beKw(>ORp~1{`I5QiY3UsSE#NWrX*p?-@t3!N;Cvk{} z02bgyIWsgHr`Me`7Q~cPR8?2DJ2DJr*{WKU2l~}qzK{1R^y2>%YT0y_M6cexLXo;p z{%SH^dxGap|C0%)ijxm1roK#VhmpU&2UK3o;n7XuCgyg-oXv6vCs$V*`?kK!`~@96 z*371Blb$yOVxuEWI>agE@H4$P;=V&I?dhyy?JNl+G&u9vFR*leP`j8X)el#dQTB*s$TOdZmm-Cex!9+fTh|Le* zWn884VvZ6$UyVGL2U41*^{J`Y^}3<`xfx7#YU`(+tA*W3PqjVhwvqKTk*ps8#Vw!s zJ??=?uVt8Ur*v99;mCMcsY*-VD6cAMc#D9*4hZZm-S#q>d?0+J_>=be2Wm0Q`lZI0vFw#mGjd_=p@n`uOn7%|sMHd&Eb6GVST5z(DC8YPRyjd|^Ig{lorm)cXBiF-BF5q+;E)kv z!86~9&RM`YxxLu0N?=6OOj8i4tEf0#pLqA8!W$x2#%}Aj+GXoz)HTIT4tI$KwE-t1wbDH;m^lvnUQ*43*nD%k2N2z~ZJdp+#=^;{br*VcF;5D-qP3YoF!`BPx z$z_sY-&Gm-{1E!%bw$d%eM?rR4=c?ss2_;G3d!J`n~D!s%g0EP`|Q{G$avkWP#gOM zqS{=RFWRKRT!b2Rg8+dG&B+~K98b7NM8Ci)v7abFh*`Y?-E(UQPZVn1@(l>ij{tp> zU11wl*%;1JFem4Yijy&7%(HU6Bx?Ds=e{1e5AD`D)8phEx>|DP$>CpFn#;IO8Z;(} zWnF$xtN;)FaEb8~ZK<)MqJuDEm?CrGzV;sWNneL-OshyrvoVu$sa@0>4o1#-NAa+g zZr=JbK)U5;_5AkK;zcw}1I8+cQp439LeWF5CQ-F!uSNQ(+t$z~FvAU7$yi_JR=pis zaeugwAn{gN9cR>O%sx)beS>l~l)A{1DBH6s@`F&v7tmo{E*|%eNto?W8pYwPYeh06 z`-_vc)8etB95pmFYAZQ{yTx@qK`==Wzg0Wk_3}7IKD{Q-oMvJGZCB%M zpPLz0%>Dii(yFmhjZesN9>VsynJNH6G1hWSzj3oz7$#HmNi#WGj6WKLX#RlL(AinV z&Y2;nCwzu%i0S+J`MF9;T^0Vk7RJ=xx|G?7s=B6Tp+{*o7urQ;W+w3@!QE}DM~3ba z5@?J~w`}x}#_)+O7h&4m!hw@5qmKYCz}skjZ?<|&DPy7*u$Ci}WTI~d1}gi9+b5_4 zgVCTKfWAY>@2R45u-PATD;sflbw`feW2g+ViWyQsI{GF=n(-#`tE;KV9wA9tNn+iA zYlC()JldUp4TxGMX&d3P1NTNu{!=a|d<`EH#M}q_7#bWj#M^9bZEa73hPEM3>SszJ zWH-F%x6rRJV!78gnWsR2wHAo-2AFC`%6~qNR@v#1zP$$`Uc`qGaFvvICwPLpAi!sL zus6H7h~cDs@!{&bO!WGNSsK4jGdPK*pb1>w5`s2X4j5~%ToOQ%T9x5S(3zB43;`t* z!cTI~+z13vbS0F2<6-5@*iSXNY3RWl0O@Glg1G>aY9F{k__f7(xpF z1!7zCuW4$!J~un#<&Rn9kUx1Fvh z$w<$%I*@QgK6mIUV}qssU4X?z8ojU%R25O}5nqs;z! z`*%EyUXY6$kMCX-wKS>nBqtb2dWtdLCw);=+1C>VDwI{Fz3zFFyRKIk7^vzE#J#|q zC{Y6hhZ2ph+7U=ZDCq(THP*x*s)USrMeg)9%tK|bTe`yoVaQI7xXTviOEnQUX=(I7 z!f))vQ?;L(v&9t}&9U|Se{J8oC66iK-d!RnYisrOJ>}vSAl50Ky6aZ_=B>qqDd}u1 z)G0rw0CC{5#=WMHK}E;4ssP}EJWkHMpN;+DUL2Fa!;iRM&g^X5}1m#7E5sv;_l>*wr-5|i5=D7<| z!_PP2;J9Lx1;STeVFb6p&XpC?nCjk;#_@p3@a84a>xkZYL?Xt7!R4QAD~%Ub7`4Mq zA?|dPr!kFT#fgt8bGa>C%s*1#E<(TaaRJ5;1K1kPuA9m(8(Zt7H0ea)nlPLM>ltZ+ zY)d42K72^*4EG8X2hL)?y91A2{i=l`@+n{)ORVIE52_7+sH%6bOP)MCW3Wu;rHhj6 z;|3C*#S3Vm6NfoXY9i2^W>@aD)Oneum)2A^#N2dFr5uqkbR*-T@hYD??dgeq>d2m>=d_kGwiOr_I&nm*-`#a2m< zY;*_$KAYc~GHs!dnj`|~tdt)qh{N2{k`_N~kLU?VAy8mjdd2eC*OoXFM1~adKCmUS zB(L!3v`7&o$#?!#!LtfC&Fy}9A%TQc)_Uex6Zqy$!b)Ol3GS<1+|@lxbIKTQHe(uRIXT@JR>MMwm~d9##NOS;jp#E2 zc2$T(4cdH_X1I~<0P)_alkQe#lgDo|wrexz` zqaBL!hAI9@Iy8;dkihjrK`Y;Z>)nG7Kk~@v=;(0w#bU$R+Q~YZlqb4G8UEAnI65`? zly8a`OGL&I@B8Zn1;ORz89GPRb5peBK+X2Vjl$H;E5N9NadUVY47hSB|2TeV_gvk}OM*ahklnLG7U^Jd=qfp=D zXFG(DoDwH9oU0;o;jwC>oH-^6y0!plMXv4Pq36e|KcCuduU;R_~|hh!}R6t1G;gY7#PHS~MH7Cyr|%2)F7yVLea|4sC8Wx#er#O#c$ zr>{kVelZ*2bYYtBY*$w+74u_#37j-E_?E;#zrG%G4gxCJt z+1CJKVyG)Gw?E(cR5lBw=?}kBRWK8q4ds+pH#ZZazNFBRya+5b3r?mn;B|JP*ou+e zikX;ZdxZ$vq%PF?5=Eqlo_(HsWT})yD8nm=8LBXcsUu%xKcW8SYkMk?<=`GYO!}4b z^QS&9j7_a#r|X(JGRzL{v(f{6{=YJB{Wn)4{kyd4pS$M16I*smcdavP)$)S{Nd7gN zQ2*m^qUl&x`LZ*E+1ENd4IILJwpmF>9tt7BHQ@{kKO6i0eWkguq!~iCMA=GqH&xoW zUx3w0@k<7<1)Mfwobja3FaN*s*?Eb)fCXF8f-Il^$3CC`w*s<3ZgNORlxzt9*!D@EE8>5mC;ShrN&A2D6uO24 z&w&;Jn+$Rw+#)PY?dYy^cRSFH&wuiMOOd zn<@}OJSYa`Ph)L%DEK;BI61`(PkbczlWQ}XEc95XvS}SnB0?}(zdm>f^xbETUlBo1 za1>)~-Mq3XsJ7W-*f?doS!r>3^f_(!{6kSy)7@%D;Bk4>*+Io+Zm5O?f~!!MZM!fN znMjI&@4rGi5Sf zs=1NKxcu2U#Ya(i+Bq`nw;uJbLHdj@<##G`g&xpm_x;{$1McPDSFjF&_xX^|KVm$y z%3i|u7As?CcQ=Y;Rql7czm8aVv?sd)vE*+SZuEf)1s!#k%s6O_YyA`n0s6Q1y*8Ho z%DCSi#^T+}_7yPzt+JY z{k2MMTIea)X2g5PZ{>AscBFSpc+-W<`CYut^T}F^^&?2Yi&L^s_#GHrmW~||vFuBa zcd#k*8RcVBrrT3syv$Owbk?J45xd-|D%y7R+i9M-dvm25Adi)W9xERL#=1wXh-8@5 z+5Gn24E=$byrOWyKr&4YUY*v426je1Q+If zmir8h9B0#o7w`q*KnA^{->FtvuWZ9;CoayCiSQ}N=76H=#lS3OPpfX$N);WjVuJuH z!MItSK3+cW!t8mKiPVMaI*?-}FHKBL%=JyvW~8!HS)o$2iuUvk4(nDbh;8(np|V%Y zJ(namZ2d7~H+kP`)xyt^1IDf??H_m}x-VRaD_1Et(0RX4X6B?Ju1o!bimqUO+1YLK z7&;{r-ZP()1D`+`bJ3YlM~C6RcQG@khjWaOWE@~PC_20Rnk-^CdE($PYlqiOOKmTi z=Ta52_tl!N=Ju7H((Rc|OR^etfd|pQi5wO{n%YgCfs1}RRJS&Zn{Ktn$?RO~!A*rG)%6J)bt+7 znF#kCz9p?DXnM51Gh6-pYDHV4-tmV9&qg}H$X_@vU-?yKV6bR|m127|U2U=thzy%w zRh|3e?>#OL=8-R!OUTMi#pYiCWcOk*SqZE}jia^4F*8}I8K4_OoL#FFpi8EQBjr{p zTSHCVHi4|dk_|rKUrC&-3#0Ua%(7Xve!P*HY7)I2e_V^1nW^1g#AduNbf=tPQh!q> z`1mmpnze#`yn?RPd^Y#)%wSV{2=gUTIL*7-IG7jkUCsqnt8_DW(@qoDoCB6fT4jlG zYFEiJD~u-^(mj^!@3eD#^y||Y^138*_M<8braNoYBP!w+M)Tuv7-I3|eP+H-kKt3* z_qM`}n>)+Qe|$&DX)K?@MJD{mC+Y_L{{8!d*9;U={kNT1{nTU)dTaZ!@+4Ayj) z6{sLM&9<>5x>&nDfN|eIx2;N3Mp9z7@xhs(kU0#kv#2i-pSftV_DXMEvb+_ktWT_k5^utAlop@b*eBMF1i(B`Zf)< zN8>ZK*H%qjL)6R%`jkLDd5R+Iex3Wf_rzW}*#W zRpXs*p97h+=|y;@SK1o7jIWWW&(elfGo8M8QYjK0Z@-F=K>k!_ZpxBavshP0!rG%t z%aJtj)N^?1UL7~stE;6I<2F~7V^N=OYv(U;xaM$I` z$EK`V><7;oNTV?9S`94baKDt&be}t9w4<^f+uxaHqL7>xjL~r8RzBOhg*(HWg0BO= zj6py3N=&IEFW=4d-f{A{@;!2Dx&spM(?>%^ZgA7)4D3kkAY7`So_osGh$O6T@Gxe zyfwFPO?*Ff`3j^zZE?26F}*%;^|}eCSc&s3zi)sGJ7=&p#lD{xM*2yG4t4^(pag@uWhid^@vd%_!NjV^{ePeo_cN z52(ji!)22y>~}<-r&k>}(a!oPPPm}A%t&w0aaS6H^o1R#Y@L37$TNX&?Ug#zdpK*@AJyC`3USk8Zl-Qs$aTo|g_5OSTc8m+-_FIJIEn%)Tv=2w*_b`+3^N z+?@RsDxNtb2lkS=%gs{AMeubSyeQ`MwZA@nUmHJS%aGS_f=%-PVsIfSFd1(xfd9ZO z3*iZtf-}~=UhZWso{86RjvblAdTKp~7)gZlRit7Yd_)fx#gdV>m=O!U4sF&w-V?N) z|A63Y_F#*amACDCOLF`~d(#oG)Gy9Q%m6bO#cWXfL-3o&0qjCvuz8G0w~ zYSu%Lko>Wp06#IUyf$o%a&-U43J&%A8m}Y%GSA<{CKKF)s1Faf#Ekj7JQoqAJ@RH- z)23alSnSgzctj z?4Jr+0eSFt;i=Nu!xXAp6J(Vhw+Bh{{@%7yX@~x3YkEp@6hDalbQtt_e}1rxTDIL1 zwQ(g8^~nwuR}?Vc)46Q|BTfgfV;14aai}%_&Zy%&a#e252 zV!W06t@#1Z^e%E|da06tkZ_8mtTdM;4j))%bK%ZMLQj5y{YMPxg-Y3@zdw-PNrDO@ z6F)8`t<+ebFC7C**#<2AmB`mji0Sy<>Z%>hWS;2a)GhdrH&)`(D!oLqLo5NHoWa-WgVi0&g@QOQq_3H8(b^CI)bGa_ZEiu!@-09Grzkc%Tz^%F^YgGiW_H=uA zch@4sAVN_0;)?}27R~D+WLpP^-PC!F7LUA4nM|n-1DZ-;88(UQ)wrE6FHdHo=Q=0< z>O;p^`h9TGm4MP|=Z@17Mm6Q_;U5=;uKT(Q5*zLzVji}0z-6-HGs&S5F|2Cf7SoRB z^DZa781FnBv(FzR)#_khOGKYmr`hsCos_wpolNX8cypXe`4%FzyeBaN3=yu2h%nzk zPcN_4*4I8;I~3j!=Wk`&!uK^z$Nkl0*9}iLx;E#(!lX*VDGOI0P^?oAy&sPWXWYPL zqgQ25tBx%s*JV#zeUnei&AP>9kK^|;dCN-OWS~7V#n6mD#uy`&zY69KFb@rK%yd{1 zV}Gbs95@5|{g4_97Z>%Z&*j9j*N(TAsQ&PN+Csut!nwmOyQ8K@x3sQwtx2C)Z08%U z(R@kt9J|NkBhJT-2YwS^2&`C&mpKA9@skB#^+K+=QV<6ns}a%3ot$l0^G!fzh+u;t zI&;8^3;rz#&JC|pbua7BnotmE=kD;JYLq^KN=VBhGWt!>o4OmkYOC~t&qy2KGOrZX z8|6`BC0tFWrmpm+lC%~!l(xpz#mQ}k9zm`7eCE1G86r*ydC~bL&)&ma_>*P2W-&0N zq$eY(#l^*Gb@4v%Ik}t5td>u!2DP6h_8I`TY!*UvD}&bI5>rC-UNPtU-ZxCdC9~5EM1M8gK@Zh%$zPi4itlK;{yXJI0xx5tYzae=6ebJQkZfa$jIqF`2aGef1 zigVdp_VEA*I|H}jy@b>}wZ&TCJb_D+qZ*LV8*A_sIrd9U`2m;4Qn?8EqdF9-(Xfz*Jp8Vx#jPOGL z;gS%Jk;ab#-PuPunAf+Sp665uK(%rF?I%$3)x#pXS!2wouCPecHzu)~u-1uGwf$&g z{;1l&WQEMDb>NjVLnKslhp!Hx8|;0aGK`oF%I0@TeJv%&-FVF}Z>UBld$Zc~F9*u1 z*%2c)KUK4!8JJN#`9Xn0=g^@)A%K~`Z|7I1?$TzwY5gOl73 z;bP1(FtO6k*6M|QJ9^v0$ul~XH0{E3ZW8wS(IFf7&yOgEg=4s&r>EZMkT1Bk^YCZb$&`!Sf`%(ggX$l8Jkbnute3dAA3BQnr5#Pp;xlL$R0;?i$J z%~(g6G4zhuK8!=rAT)E-@}ox$(bq_&u#(Sfb6^~k-=NRPTR!L)j*|GsFB$iW)b zU2gD|l0Rkc%tR|`wk#%mGTHZE1Atr$a6-|8M8|9WcQ(y4vOGEiE|(o%yEq;?PZFSR zBc*A(i%;6~_42-EJPZZ48D;w29qk=sX0QLgsIr|>_8lJ{;4Hn5X2q!V`;8rzy6@<7 z=Mp4YsKUVm2x!JxT6SKsB3!9R<6ocsZ8oDu(8Elx_9jvR{D{Qs$P6Lk+bSTXegx|) zF3a2O(aN@F|Dcw)@FtFY7uzhDwGMll^on&2!63#%6;2aHGmc9+%UxZToRU?yeFD( zjN=tYi}a;#A5-4(BI}iHqeu^k2Fq4$CgyLI2q@1| zKtk4aA&EZA1Ikr1f{pqQG5$=c`@n6uSaJI-r#hBGl20La%Z!iw!_e!^yZK8QH!gmxLs|qG%gYIyp*?P~aPY zAgT7^qal0sZ3ygjbafqc;L6EU8?tpivJVr!&JVj=6c!JAgaV2-TW@WmP$!<~LqXJg zdcU2x7aKEo#S*bD!ZiG^il*+U^ccCwlTJKcaN+_wR}%g@C`?YgEz7Ge`_rRT*^(4P z1q4n_tEt9=YOgR%UlM-_i5lN$ZEh<3qTBBS9)x?D3T$7@SWlK~469Febn=yd{;}iD z#(Q)*Gu`$KWWMR+!Ut{^Sg;Z>~AZ{(m=O^xR)6=b=e8+5VQK*q& zLh7}vF#WkoN=kO|Rh`pF9}z*mnCFBEfT}l|$m2dtG=#w?HDW#s)`kz6J-*1ZJY74B zbN0vuSvyVZ2-u15X{(&V<2=)~uz#t0cFp6b=_Q3dH&?Z{_j+;WnXai?6kN2ahW+$u zZ*@)cI7_D*X}r*%!uhMNi5{naE?!(fY-M;ur+goX92Y2|PssvU|V7oklS`Piq? z)`2I3cK#L6X%b#ZxP*h{>-WKC-VRWlRs8O9oQd$}3o~(7|G=W^v+7tZ z<*3&c(Y?n~x&|z)l7&egfW<~jgIQen9Ykb?0 z8My7Kr#1a#7J)u?y!JzFW+r$pk8}{C?$86Fw?QGtl&vZ9R1G$xX=3;KF>=G7Vwmj= z`Ac0U;6??i#)(k(kTg@bO6N)+N(DIyHXO92zuC+rElOGRR^Lfx081n7Y>F|>tQX7L z)I};!ON1(v?Iw$Yuzo1l_Hq2F*^;KNJiU9WUjZ0qacpU6X@4L600su&K|z&+7j4+$ zYitbkd%E#*8yGK3NPtqx<}EHpjerm9qZAs~6OSC6JZ;@{Qo)Cpko(r4s~fByWvNT= zmNC6g`H|t1y_FTUdiJvI=>ukrR$kOfsE*Ag^h zK=Nd-7s-NK#iGvdP`!BGF~22bypl<;sf*}dYU5sXBZayDL;5YU0nEY<+nfPKEadWw z8K-8EnH*NP%QtrV;Pz?yeY9EujikL2d@AYU);RxJnHXJ%mdIt3H7$o>7_Hhj$i;lXIs1gQ6bdK-NqXHpaM<_5Ip zha1LlA39raCsMTdz^7j!4Hx(@DD&ufx487P{XfEYHi{lV(^t_Lx(v<=zz!BGW0C7^ zSxCu$I|?dH@E8Vg7zPRd1vghxYGgz<7w)84=ISNtM!slnE*3d%FX|0B``=7Is`Bml zfRT3;^KJ>!sEM)Ur-gG{nWY&!$e^G6uDR~J-JVt+JVmeGBcXz-01HO_L6bPg*2U_; zh+WSNR@~5@WkZM(R^aoY$n)up4ZCcT5~dF)H3DpI9ijP-SFv8+wog%3K$8wZmZGUr z*O6y5U3%vZJMOxCMf8yyxTtvb&LHhFa|;@#gSs0(;~O4~ruAu$&*LFIfPDghA6*RR zZ?8T536YD6*hb$W^e)-t)r~Vi4*Qb3Hy8 zvI$WqD(d-iyAm1-j#&|Sz61OU>Q(au-ot9u9^|E_)#vO_vJX`6%NdV)@_uXkPiLxU zhxd_jB539WcqTkzO>GDU>HN)#!Z|a=|74eDLvah;@VdbX=fV9{wH4$IH zUD{7^mqvKl%J{9L152BtJ*HbPaUpt%r8-NnRzl5GMNe&_gw7U{27S!r^S6(WFfPVJ zk2p;PR>iQ^mubw{#Kdtq0cXuu&{nTXYZH-Vyl-`0Nr~$mx1hToIW0eIix;go$Ihy{ zE`>ZeVy0o9N9-E+>PBwWLt?Ddq|aE7_iL}lotatBHw)vy;}~e-FZ;=mpwM5Okk9b1 zbD62~B^>OvMtCM_X2_16Q|DH^JaL1g_w!oZXp`Hume#7=w~btk^M7(7N^t__h{Zn$ zSS1<~BUfH`OZp`eY9U1nYWPVbHAB)b&a~bcM3H__t-0W7C6e z>r*_f{>KM%PQR*$^58g3Uw!o|oDY0f!0tky)Vpfmi3zA0gT;}-;e4;-Bv%b|ua`&5 z$Uu#)dX6>yR{k~&vmwF8^lr39fQ98tuEOTtO8p-|r}%uo)l1aW*4EaOqoc3e72t0O zXd0uV++VGIQwYSBx)z(7$58p=N7Zc=$ej1uYjq8lCg}yp@BoF;OX|AA^;6hs(JK^G zSiC`%JYrjy--L-84S)=j$p7_p)nJNo<=xyk3wV>s`y4EBWQz)t_w=g0PNt?5A_zDv zf2OjF!l|Dm0b}O1)Og67Fw5MPO&UqeUj7-@Xw{O4RZiuvN8bD*v;kySu5FX?cEr2QWdeJ=!Ip zy$3j#@Ao$+e=O+un)Cs-$+Oex6;y!eC(fG< zqUzTNY(qi~3LP7RSfY6zo@JQic8mJMdu}T7Hhy5m3N9vx?tb}vWoGL^iykxp9I^S) zNHM+0$CwYGDwL|eQ+TlV6~X9TL(?uIs6E5aQr^XQ>Z)KB zu}%R{lP~eRH5Pst?&B0Pa$R9-?NrJvDeevI(>QT!@p!%)Vd#`a7B!|yP?JMP&zm^_ zxS!78f=WE?&O?K4LH-aCsT{C8hs}S#+=G#=dcMhsvdQ0@sDk#ntT!wiw`*== z3LN0sM*%1Rppv{|?A%j5!pKBW#)SV!r@%#w0Dv4Ur*L;ElyuYiaS6i(gZM7$g@sS% zXBQR@A4BBz1{Hq+c2=Xefv~(+KYu*3L{f&C9pC^@Gj)*h)=NWzW-=2gI85n zpB!e&sR}cGY9Ts`#;hK~*-tCeG+Ty>nQ088Z1JnAYz#7dyirUwwhugqz(ovUCwOQN z_^spJK$j?0;y2$jlD_E#-KBZde~DL5$*Y2C{$>}{d|Cq4hNZB~UNL`0Yh00ZaQBf( zaqGP2{tkch=^p*pagYDjQ;y&P|GR6>H9t|D5Xwq(<(M<_Y}vHDGK87y3CK>&H00H* zOuc^OGyk?1ErAGXkg{K(g^t6CDr^O+tffWC985B0eOlSg<3_Yi$TQkl>Fnw9l@8@$ zv<%oUQqe~_K8fd9=y_U0&bLW5yjU%>MBg$|H4%mfFD78J?@re(uz79clb6`RviDwZ zM{s23C-`rE@)D#D>^TD5!K9G+UVsVf^z>kr*Qv9Xh|Y|`9(BY&g)W_o-#_3Ws7W{O zfU(&9^GHyfAM2zb;pj%yrw%W_ehXcts+Chlr_M$u>VkIg0yzijXYs4<|4mgMih3GS4O34W&3;}e2Zeahso-MvY@TQ zg{hO{9OW&R#l&F2;@r?>KB(G%&GP*B!?IY6H{Dn1qr*m>Br%>8vRv9Ks{F8<&o zHmBx&#NXP;FDy=y6!e*LfXDr}>Dd=I65)E%*#pVO@^0PfGmHH`J8{*>x+Xg}fEg@@ zl!r#1I2IAX?D`FYF@D<0KNK+y`-1@`h4C=A*^;j={;xZt?jf$>=!1!g!#-{2IF90ny%K#Ddl`{(& zW6ZLi1c{J(1AiO(L&L{p1p-CW48^m`(}TmS^tii*>im2aB+_7}GFdGE9cWuQk&Pbl z3)qSj=kvWRs%2-x7E^B#6BFa|SO$hEmvz^+Y8hq`;(q!;MNmuqJn?vPasn`7hDVrU zjk>JX!@4uom~l?e&s&6C0Xu|bp_=(P1;Qhs!p&IzBK}4Aa`+$yKk@AB%RLfDY#wl_ zg-l-kZswX#E36KMZq=s zS~qetHZrOmZMi;}SzF^eJ3HHUTG?BUN58wjkBf}w#YUivN5-Ou`S z|HyKC6(KNHPa3)>hx_gH7GOE%J{~^nUZ~i*ayqFBl}^hrxXtEcCJri@(O`&mmUNJ^l39*{i?`y5oCD!a%-D$2n7? z$lXT|Qst5`%)ne=5i`C`t1bwH7a+%gFL%6eHiwqMBBX|-$1}+G%uY||#Qqk+ujbi< z9;GP1q5$)@gkP)IlEppuUi*HljN6-pqXE>L0CC#Ebavqx15-dCNwWMGNG^I(a*uOq z`t|B&;#qay;a>%ux8?4>POX{;?tC41-GxKv>dUSH*BaIL;TEw1vM1aRvYT_;cF$6~ z>0d?Hvm)8q*>V09RnWNHgoc!FIjUvqM`32nyj}WeAz{V```#VA!W(3R5973^XJ4B* zITg=Dplfval9937@*QZ>Wv6DQ%<-$jkdq>1AuD&z!U(~mpIKX^5!~B+-|iguDTT)J zQJ!*Q%ixs{1&ffFZ2YCz&P4a@-&uZ~%X%n(W*5&3nme`ek?q?%$jK(~5*Q@mEG3ni zu4;Lx?yU5m{S^SqF_b&=Md2m*EELPmB}2xZ<9Ud8ExoTo7e)JkohD-n=*vEF>Uj|h zdAx*T8_~aRinCZ?m#sS~DaCag)9uK`9QKrd1=}N3eB&xBFTWhWz6Eixb8;h>NdLgG zvrm@w|1(_Foe8r-Hr#=8X`{vZ)N=<)?|K#rRKI{#Ak96l7-VHWezv))4P%s%qO3J~ zHX1N&bw4FJ5M%Y zvKt+H;H7z$6lS!v2qSMev3+H?7a>E@;cnZ~-cIrN_Pb4_+i6^^k?xdvHlaIB?kibu znTFWj7X!KqP^^y@*?647oj5cpujsm3`)1!(nq}YYL2&q zTzn7j=`WTGNf^%!k3rz4Glcsv-4=oR5}Z?uD|CzrvN|a zqN9?*t0%|%&hr!20!Nem%z8dl|g3;K9hyyNZeE1&%UD9|b zeeqUT%in+1lnlJ?H_$Fhxw_q$GtbY@gDuW_Zi<<70u%dJeQoTU&wK#}RXrxiUt$|+__S?;k7JYW!}8)sCh|nQBX#>*_%`Il*VC zMPt(Xr}Ct^Q9ho1YlaT?acx{ulv5GdlW0_L?YnjR`-+77^BLb}(6Z?8ACBhq6*0*; z>J)|FpS66e)M+U!iiiA?Adgpw<=)ksY>AJuYrgFJ8!7bMyxQ*9O1qcVhYK&nOEOZu z7Ec{MTS(;?w%eUdPlo^;CDjy7fDXpdAjeI>xuI;{xpl#04sxKK0-ie=w;SuvA+ zec!^@>`=}51s;0_t?5<&#w;6Q9~u7IQ_SXd_t?$KFJ1$K4Sg;{gOOADc${~>ckBTr zTdY9SOcn&5F4-(E2Kd<|Rh~?;i7vGsHeiVC`JG9QL3>njcExAnJ~`dI62x+zJ+qzD z_D80>MVLkPWqmYI37c8J#!z5$8Rpd{F{kQf*Z836ofg6o2Ed-_y48Q5fcRq=wzSD+ z1lU3zZ)#5@ZqwIn1txxUTFB$;PPjpHU>H~FT0;6J_nV@}o00a>ZLZ0@MgCPJ97Xldh@A&2b;Dz#k{~VtK~uV9Vw@T5BdFoph7P>0p9P5# z3mA$nW5%r1Ym5B51wx4&(!I}*j-1OOVy@6|-#2|P%D0Lueu6ljD<@J;HCr2Kcii^Z zv|P`wD>l9?$#_~anzsc`N&G&FP8U$gH^{~nDvh5E3fnBiAS6XGu2#-0O@XbV?BPXk zb6QqwUsGPL=zcA1F|*-d*v+T1Kys%gRo0z}PR8MCZG*}ec(j}I-^!W@=;HAe*QlQA z3}?EqI39C?jd?3keC zOTu1lTRVz`*<5|W)PVKkCnYh#@?+2Z@1OL<-Xf5bGk1_uu?3`cHgDF&1%Vg{vV`F2`&VAvR<-+$HOpU+H?i$< zb@5*D-s2;W3U;gr!b_xk!qaO@qmYBfL2Rs$?`vp3)tj?*5DS~u6-grj(pQTM7&6Rp z1>;Z8;$}Izam#w9C3$Vkpah-p#1X?&b@QS$)?))yytVkX*1{*+2453EU|(9Jq=@C5 z1ISg6amC)odP_pe%07<#WsZG#4RGHa^Vf_(lJ@oOJQlE}zfjNi?d<3fr^x6|;5YRC z_HM*#j+otb_k>TF?;#gWoI6u`%*#_MAs-3JUI^{a9DjKO0C2A;f|3%YD=Rl9c&vp` zepXKwj{;3tjdtqJF?)3-Wnyw0jhWvl^GIU6VIEqSIG5~F3wjx8jfot=}BfnlSA!`Ie>Gk5Z@7k>)3 z*LG!4tW@Ft>*;#^iudp9VWB8J0+B{B>yVTjkH(bI7W+G>m2*9a%=Me*9B`PVQIBZS z*35Rz(I0d=$5*~O19-oT3YV9!vqXbgtW5n6Syqa(aqUOgrrVlC~}Sc7Xz$7diUe=K!3V4VI zI$0((GGs8Yuo|e;MioBd#=B|;&$!izH_-tUl2OM>Y0`(jH8F6D^RdC*Qku1l%N#EM z{OmFeD4Ods^mvB;_=bA5=z}zF6zF*>+6Qbt!UQ7A2q0(&ZW|jLqJUkMg>uA?Z7DG& z9a-}K4zk)`l{l(@`L+bw1n9_prjuzex0VcXY&o}dP1xCNlf;N#Dg-T8bs{x3ri`tv z$NYP&pLxV4PP;L79*QZOQDW+6?10@B0mfocO%0=2RN6)b`NYUtsUlTkWqqJH7ZyfG zVQHznLR2hdZp1q24tTz*9D#_%1-(EyIWAZ^9ZBNX6Ru>q{NP=JIX7mVly;^4d8@Q{x%K?)rH|=F^#eB;2z^S7K8PoQSfW>&0 zpEuIFVj-)ff0T;JW3aLd8pb{Av+PB^Zw4-#x3tq)Pm~`Nx)i(HGDSpLwe^KRSt%q3p7n>q zJk^2nW z9W3Y?fqC71byV)){S)}9or$y|gHqwv@zjSE+qk5p>6FLDjA_%fB`(^$*u_LI^D_V0t3gkkPb3g9RSMBvX30 zNs${xQd6DZP?uv$czY6SIT}XFtNrp|2a{iDZgHnuvn$$tF|Q@(1KN%07jyG^S|MbI zvX;aVZfOFpa?JfV-5s@b-YI5j&OELtW?1{iO|vPnqFRdU0pvjrW~JD6qjN99!>%tX0;b|0IcW?{EY&Wo zDIYf{{>H~2|5+}63br}ou(mNq)B5oepm!5{t3^)en#>)n8Gadstx>AX0y+~@^Kf_9 zfdRV=myUrThV)F;)GFZC;o{k%Bz;KR169DB)#=jCWRIJYfBiWUP+&r;C2~c2%B8o^ z79<=%f-JTMI>W(z?isE1-xpa`uH(c!F73a641%I!6K$`+Nr(D?s$J~Wz1eO5OpiY_ zF8-lLE~?#iWassLsKVU3mqfYf+4Sse%^s>d9OGqZhG}Y2zO$Ej#$RqEcM1-Y-Oq<( zH#Oxh&nri!h<@?Xnnuby`l^)j`>3W#s3H^|#<2*v#gdWFH~+>D!z3bW>gE6=!lcpF zIml2J@pGYUNk_9xwSf#FH#@u8fe z%gujqt;#Yt4HMsD13OvArPAVU7YAob&rj9q#L%OE1JrO5NOOJ0;!mvY>jZ0H39xWX z&+_d$MKJRGGsrm9{VV#sKh+sK=Xy1`YH*s(5bFGWJYHKn!9I`8oDK*g1d-l7`Vr08 z_^E8&EnUf$xO``<;|`W|u%R*p83+$zWBT3~^$cf}lP4d|Bp`SS>=&YM6bo*$N_xkP z{83Q2?@Ya!5y<4LVG7kIowGU}2=%=7hJn%3>s3B3KSt=Nt21W%M2`tdlbSyd{Xyfy zJ31vhq(Jp?rZ|2Xs6a_N#MyDpZEtTUz8?qDo0M!6OP?GbdR29A#5DRZ)RbiPXGLpd z=<*(g`XOi(On5vtWx#%Aux)}-wD{hrn~JHQvR*-Ip_^aOAk?kG{UI60nxg}N4G+V(9fJ_ z-~^;Kt9||bobE{aR@yebPFl!UaPAA2{pFT7yMIRObi$PiSWV-%`HX@&UoalsNRcl( zq19#)%iZdn%v^PXA=Zj=uaJe{*kr*?@}=mxC)P(RnQJT2FDcWZo?G^|wrlNdKR^}N zpmfrHG%4{|_#fAt`7Q&ghJIh0Av@qcCng@`1{z`p=`oG&if82Kf9c&4;^r=!&WJ1l zFM~J(E=~4o1TiD{1Ac!R*}%iY7a&r)%jzBFca11nKGD$PAID}%`pR)4tfEtLQEXGy znI+p0?dWr^H;z1Btgca7k#q!?A#JrGb#rq$SZiJe2V5}qm`P{*+xB)H6f0bY=G~2E zV;Py;Zo|bLI2((P7&O!rRwYkA{mqnuH>+9NLTY;9fC{VyQPc?bI<;___>a^^{E2oF z<{z4qS3DdX5q`1Q0;!&)XOnrZBtd zRIC~sb30dEwbT;-lt*`A?_;3`#X5(yc;$K(*PUU}8(4~n4zT8@p269erTCsipHrF+ z%(IS|xB$}tAzSrUPD6B=MUsR?8Bw$NO^Q=s3fbWVov6WE^LNQa0fy_yZ*Wzlgwz7F zu;}R2eK89rhVR8A<`#cXdM8J5XT6O%EemlUd_)DunYo049Iao&~&vj^V9XYUm zS+eAZdmnWy%4l)11*ND=f?g^yb}rkJN;=H9YepKBTFA;Ua;1HaKC(6Sr&mym*H;WO zq7-j4{B@R}nd}mBNTsAl`I~v3J+L?Nb)-2jmCf?ZP7@{|9^sKRMGTM=QX;XCLTc|; zT6vLOc2DJCEtygNEhEUCnryQ!czyeZFJt|Qh>qgn<$6ZTG56u?*EI(1VXphLF+Lkg zk6!bV(SbwnO0u$a%-I6cr?{gEs4L9G=Z`_lYz&E;rDQzWqQ0@(mR1^SvUo00WMveE zh1*+ZM@Ycj>MnhM4QN`P9)`)|OuCuZspz9$*CgC?Kw*cD$LcX(L>&%`BmTU<-p&@P zsDxZmG3}liAsMK0AQtbZF7wgWp6R^~zKJ}&k+(GSMLYLX5+F~?l0izHqJW|?)@^;T^lVzQ zo3ySey>CJbvO?@)nlQ?w`{u1tdM$@1e)a19Mdj?Ojl=TK-*iMz{kh!_ftw9%=KOC8 zK<|K6_KSMcToQu`=;ekAdx@F<(d${tK1Bm%{wx9!g@Zcqs=zdG7_Rix?`{Nl4nv&U zqGjN1^?i0_ef=u?f=C?+b%I~@g3U|WEG<8=x5~XqTK^4EJZJCZXVHKlYDRs#_(9MN zLxLd#x15ocILC?)#sNgR&t5qVmV;Cw=h?xDV^_RNZ^GD7dNQQ0@^P)zkPJ2{Gf`aS zBh{iF?Wo+WbT$>QO~ucuJ$yMtvl^k+PyOJfrDPSd5=+qUJCpjf%)8YCM#(Y?*s%D( z2A}6&pR-%d*n7tdeKsWsU-JGN6_$=WJjy6}9)Orj{ z?(pm_A$9Dz5ptoi>8Z6y%q|~sO{F6yWQ#CrNCsi zYOeR1`S-{`4h|7&DU`x3`AHM0v!FOzH5!ZUtd07%1E;DM*|T|8dcpx2ixgH-<%})M|gYADgbSLOsWZ z)(wCetUVS=sF$}CV=*%G{j;oT`G|Gyvncn_lfl2vVOp=gh^#$6p&G8oTG0>01znlr zw9duYIGl5BdXZtkH#aqflXp688|WS3;N#4iCZ4Y2q7-|2m@VD266B!ZdXzP)CFM)d zfsszKcwcuAm_}ElzkjHpPH;4HCY+Sqt<+XW*CP#1#faodq*1y8VgddA{Zssd`|~8O z-%safK>K$N@`?fXd4uENJqoQ8dMs@0;;JPkQX_pgW6b@i<#{p_EU~QsTA3%=a+4DL zI=8RSJv_BOV$zjfZ4>8+1*D5TRqtsU!9DJjKO#}wS)NSy$_k&nE67EoD{km39NqX$ z`!^5UZiN@dK>W(dmx;OTk;tdMya`No=@K8pc&lghvtg1N_&f#g>s3qU4>Ee2gp(D! z$sj+_#^LPD>*AhMT!}VM6o)1-j@U#S>+K{y3K4o_F5^&Gf3xRyrUM~SVZe-66 z9ew-MxK7QwqEs;g9&OH+QU%3;eB2M9Sf`aH&&Ri71ug^751RYCDrjkQ5ytx=Y$NV- zk4Kk>#iQi*PxtKtGe9aMuQFl#&)QrDpUR-Q`|1VO6ioegTDzup?_!oDM!Vn5v$Jwv zxoAaei!KhweyY<~HZT}6SH?`Xak#Y*Xj2@Kii43h<$kjylsEheL*?s#kp7izp5d_j z5;>d_C-0voTfB4mk*&<-!A7`4vEK4m#h6yOBLYy8inbFnTd-dX82*VEZOMPBxhO{mSzJi@&g9+&d0DQ>2VnGj0 z*NL7VZY1z#Xj_E|AN?HogUhPKo=>r+8dmK+L_AG^UFQtlY$&bc0}4!nSmk+m7&fC# zUT?0X;R88H=;-Qpr04jS!ih)f?T?5*thNnBa$_g}A{(3-NmqC;@0N27ojEtR?*rR^ zZ=#tEW`hwP8*JbR9vSuYpex{IIr>Wlr=&5y;&N(G6nHNJO=Tpc7>fhy*Nr)B(rkFd`UVG0 zO(kh{Bq}sNkFc{a?!;q{F%(VzckNakrRS8}Yu;@3dEeF{?Vne;oP+7PLlG?g*1BUgB^#*37|`;5Rcw>xk6JDV{bXnef)WC zkC+SEe3t2J_$|%hX$G5#f^b!SbfvB(bv7BJopRN>aZq^;TC%Iu389nq7k?|y;`5xA z&Ohg-|n`8 z$4i=O7{auR?G?Ww>-N2rMSUPEfY^J{WJ&+!xqZe0-Zip?s|>zFLl_#;j$V9dXdcHg z=FoLj^7v}r#YE-4v9*ey~M zF^J%Ov%xt+WGz-fF!>t475t7?Y%^l;eYL}cJ`BT}+(aHJ)yAg6iMz_en5&J4!`;>c zR7F_Qq1ny&I+n>mi!<&*0rIY(*%WBwjvMEK~K)U#n1POuW1b_0JetN>9?8oMMn#nBwFE*dio z1H$C^5SCPqcwA0Er!Oz-n=PCRG{knNAp%F*OLKf6XQOK}dx|`7Ne4**u|Z6Kw^ir4 zaO)kfZrn;W(p+5wrx?@^*JrNps?Xcug1^taK4m(7sQXYo*g9`@G{&-U!h{yFviqZM z5jj!7_pYh6^(4`qkvt{q9T2-yZ1z6WjqkyC2#f}Ni=tOw*`-7ZaR?WN&{m745dold z#;$Tbb`#DS`@Sn>^qFxcA88p`EZ~vYm~XAUdp`CJ7tzmKofVd1xeZmLt^0kShAqv6lj$w_%O!GE)C!-c<94_{q1pne{_xOco?S_b)~q^1? z^nin2X(-#a{O3J{?Cxi;fAa5u7jQWLzJPJ_-y70@12ut=&VSR?020W5nbHst;w1ll z0GKiVdxQEva<%@qU-5tZ!2j`o05NU=|l|LdyMMacgBtUUAI*Dpc+kKZ#d^1+2d5l>-oFtl10P^khcB;YIm$sGG% z6c{ekPnzP;KwdB+5|RpkokqLgqYE`g!Op+AW&igzx1bkU{}-(T0Z{?>KL^GChok2I zJt+P^7@5>5OxJLrkG)$L<<%P)t$1-G7sG!r{!Q+KAVrZy2@3i)qt#2};BH}o_urtc zGw&n(HwpQlyY9bB`9FvG%3>WQ3WRatpZn*|&Q2h34A5BBQvk8gr13!H2$06~Y#P#U zY+#T*fiT7cBwfTT1E~3~EMFj=&BY<`dWvh$4#@ci5QrH64AFls+?6ahs$#w}^)p#~ zXh=v1fRTNhqUsiW+)WJro@FJsDufl~?(QBjK)(|<#irdO5g6Fp^^@tUn8`oF1Yq_E zLu-$W6BIDH0oFIR&-!IVnNHdEqB`so|1^5?kJP z_4^xmRIn=*?k%02YvzRY(O|D`!@<3^ed@ta`nRhvz|lZL*wFwKlBHJQTpA<{>Zk^k znz;#6*T&vr=M}{>wspW;TaMfwx*p1eYDkHT4FIIa>MpCu8-o<3g%&7?eSGaIRt4go z%Q2}+mpywr=s7TG;4+c_k%i$N@ioO?XZ*QXK3VdKvvPL_kMilB#&+7Y86lj`LcS%p z&ZS{Js%JjA{RxozXKZTo3f0=6MnX1mYe&A`?yHq?XfmN8y>;3)BIklC+CYUFe2yzoV+ziJv({iu!_gnE{KI# zZ_3yygQc#y3g0WIJpBOC)VuZvCHZrGhv#axWLi{JaR>-IZhAGR$0oHox_c1XLWcr5 znwrFvWAxCVx;@9`-I% zZCz=Qz5cbl@i&|CYr0rAFUpLh_M{M1oW6URBgn;5OXcY;>|&JufafXrlELUq2h9dd z_L;&`ds)8{V*t&!@bY3JqkVzto2or|jlOcDxu}(!&a~nHT(;4;vdB7SR+8 z0>sq5;4D~Uv9Iyk?uKjG-5w*GmDsvo`nLX~XGq~N2xrTp**uh(3jIe!@s`2nfC+_< z66-d-djQtY4OT04vktha$}l)MIFOK#*8Fdqn~A(TyPC4J<$o2-snZS((lDhA`3}Q( zw{H5-`OW~M9e|murFDQKwMY!dj}y1-ePYwHRJIo5!v1C;Po9#RN@s@j2LXO5#CO@2 z3+RN!7RP%CXTQ%VjzD5cEVh-lfYRCxo?9^JqqFL1`$9W&uCY}MFKXeK<2^RAE9IbX zX|I0zS1gB`OGq?s)B+&`~v&HX9>;A|)AIHtNO?Uh+EdC;AGk z8@;bV!aakImdKxF(7zHR!;h1`6ouX#E%KdLwG;!{q+r$ZATy^rnNF+=Nc++kn7mR)A>25u{@xPvBh9TG5UWj%s6IWt%GuHw_`h~>Y}1(}PJ z=Spylxt=ST!qJMMSj<2HbTb15g#Z@vS6m4!_)x(4Wmm(^FgaOtE1hlSdj!aqEr4o6 zu^++`O-+|8nH~hNBvP(hVy#n!##(c;ww94q2p=j?AG;lhF=j5mwm|%_=wowD=&*{8 zeKP))y$IOBG^ZC@pT|RGW}K%Asf4KFUS`lRVb#0cjr0SMDSVBK`ny?R`!)6=?WRRq zzte5a{8AADHz_$yBVhXCNy?#T`1Ho%`mCn(wCSxy7d3rz)vZ4}y9KEBvZHcgOjd$DpxL@sdn1Zz`ih49dX=}}>gqYGo5pl&`5A@~^YSlC z6{1%c{rf39S5^CJA@lSTTfn9Us8KSrtMLj*v1aj?2JW$8uIuFl! z*qN1CEa&mHq;VMFXW)jO=pe(a$zlf?))FBt?Vysv*A+Z6gd=yjKLEegWBL_A9^}T? z#!P|Y2#oeobUHH9vtRp-t=DF-s6l`NAm#X7Dvo%x6v99?m*bs8_3tH=5{5woFeafx zs%@PxS#p;S>q<((EDh&8<`1qlo@fDePlXuIMK_mhWcU^7k~m;(OlPGPPA(~V z?!Dd!F|xJ#t&$o(gnIKT2O_G-&!1~Be`wmkJslIwl-LDwJkfep?w*FRM^8^r!3FUq(B8y$P=CMb zz8}EvWIBkq=?7^#8f=VkQ6enzh`8Qhpq7zhiOmUG45jCo{PJ@kRG;+8R8kXqmj)kw zKn>DU{(x7D^iJwVk`xLwp@U(>i+!)3p@9A4)#3QW;^JZidqD4&6EvoX)hCLEe>9BS zrIM1OhIDqm+~bj|uEv{6v8yb+%e+xXXhN zx8#@~#D5Pj292pl%Id1T-INbX0N^{N-J3fM!jE#sX^hNF+B5LSjPyBNNvur2-B3H1y|M+WK+I81p+aQb{3RaF@i6n zg?ky8>ezsAILg?<0vrHKMo_%0r}f<}@L^jD2y={5pXU&R9$Zx4G_QYe>niGr87@Fa zZ?6Ol-e;XcFI?{>z#_8Byx-UgAY|8Roh^7Z`nc5l~Bj%6sG4aXam%Q#Plo5kzqmTQGSwPNoSVHk+S&-vsb05RQt9>7I~~``jbDLX ze?6V;T4(89JN52Z6eu2$-&2{*g35pD=wzURPyB5m|>$Y_ne$W72@Xyh_MXM z4^TmWMq#1hXN>I}yP;BU65 zWs*tp3C=v+G&WAZo0VCcGi;ho1FNq44kWg^%Ese~&Fnq}wn_do;FgLZ=7N+7?r0qX z92l{pz^5c0pYF_Q0Dd%T!0|N(jXMD419VAu>;PU0dt_v3axU1MP=!82MRMEe0f7qW zbu#Q!->_KMF55;5`-%Kt#Jy!qT~YTp2(%Q6yIU!)#f!UB+`YI{+^x8~ySuwnq?F?B z?rsH&&+hZQ|1a}qGRe#&(+?y~Z!hl1@%ezt%ZiJZc^W>^3OUW{A!xs-$weCrmfcF8QUKKB{nK^Q;2{uzq^$CaXZ3o zyQ{buFt6lCY;A*y5X8vsmGt0R7{Cz|1(gu=(*y#C5EfeF;+Amd=_x~0f9J@!R$0p6 z+qVhk;JM{xFhRtV{g}n=rn9*EsM?|@3~T;AIX4xV@SCj6tPkDx z>A@qk?xltPgBq^z@?du6pOt21{pV3sq=vhaCS7<66hAF-NGVHlp929Z!bfv~`^W(U zrbE0_r|(Z|fIsJ&BKlEoK>~HNr5K~%vbq};N$aCem;v2ZTafE7?0$o8D+251SgM9-y_ZIIZo_}LsVOwPtq!+p?8EcNvQQB>5 zDbz=v)j?GGOtpM-VdFF%eskHU;~e{ss$c{g@iR=r_wF{DS?1v3v{YCQBMH-uj234E zFZfNPfPPA4K1AVsa?{U{;}}~uY&(OVR$6lV0yQP!LW zZ{w4W9c9Ew#9Ifi(y0u_vKT-H1~Q%U+)5;uQP(rAG1-;<8w-ER%4uK!Y7B>gzvmW= z5p2VOBY^j8vi_=}baYqMYu9;SHs?iUIJ^}6M)a+X2DF)GB)C1$gE61>EPBAn?19=+ zUiuzYoeSN(VIYtSO(bLd8to=fJ6pQ%WZz zH{Q_Du;Rh1Gf}IkF;np=3d~i0{1C*@M{T+Ei4w~<9=9;$XOS~m-TM5vJqK1&5{#-f zLZ9gLPidf$(eqdHy)qtTavD8ltvVf1boiq3ex-C#TzKeP_$PL3 z&|1k+5PDN8?pj({)CfxNJYQZWC7De6fpWnV{_gvktReBe5|>x3Pena_U{H`4_Ni_I zS~9!TX|8^`MG9cUheB1vK{d*_Qd&Kd4;OP%4vWSib`Wu`uvNC1Eq(ITD;6MX!zpLPi|Xc&&(-ntK;@b47v zQ<;8hd=Sav9NP@>dj0E13B-)HqhnZVaDYz-SC3;$4o2c>8CT9WclX}m9Gd15UvO~< zXdb>D)&WRv(69nz)elZ$E8jSm1|TIkYXy{W@GhhgsfMe{y?(aW&3E27-jF`I`mG4u8KC|jmYAcu=5If6^(ARHzRdouva-fMsYmg zb6SnP@Sv+TfLcF#f^;V%Lwtvp6b1S~6*BKlt^}%C`n;gGYZ52k4$02 zV{5C4i{F@WGw8$ioc3yN%D>h0D7>AUoxSYyrH>vLz10kFmL`7(U)Cgyah>bbJ@**l zU&3<8S67#3yF(aE1_!9XSr5lv#V?xhp1i0Fu_fzO;)|)Nsi8j`4hYrYkKo!k6uf}e zF2~S^HMI=&`S6OzHgoco9V4WNzWm?wsRil}cR;{UA{q&JM1>3V_9<5g>x|&`uuv-2 z`LPDMM;z19RjJvNstd2kSccd=;mW}^ap_{)#cfyUm5|kPFU1`H%9s+wEK3u~D%{_7 zd^zvVEM4g={217j;7ue#qAd0A`+q_`*nv-T2vL@ic@!1Dnw-bOOEE2Z%fi9}=3(MD zfDp&3YX6TvA32B`poM?Pc;eN>#>+IqPK06Qwn8@i74hJ%fP?Yp}lqK zda|Fex4(Bqj_#oCh;adf;+H6Jk_ZHjgqhD*9}vIO!0zwwpZ~<((VP2b!vqlK+s0)t z3P~!Y*u}z>f>NV65dOY>!}T;4KSWl!o|N0k+b;};jqRWZ_>S+)#!XE z294Quccg%u^C6V{Lu{q~))5eqbz5h}uo|P>!@7!7x#>z=I%Al7;Q!U*$bQFZ9E0iz{KiQ!V zjt-VgKm5NR0lp`VqHh^eKO+V+hNi-YuXHq%-Y{ShEd72x$hQPJ+`J1b9hEC{9ph^2 zYr2f2*FPgA2}B_0Vl}a)-pxTDZooMW>*;D@ErObSq6!Kucy&AI5TpPr0^wc|E+&3i zM2V1*&LR}Cvgm)iH39 zj_w}a#vqQrf2jsR?l1p_p)t$@KUlMv?Q z?&#^hSs>L65_6iBl6I)C)_om!ZAZ}yIqJ`F+E{DSdwN3SRh!Oj>V z4Ggp|n2aI7b{z+GLO5H~E!;#TQbKwt=l$OwMHCyXh*aGwcc$T@gd#alBTwEwz(2Sw zcx85$jfdx?MV2wn#K_3Vz<_ig3D~=UiS^n1V`$d#*;!Uv8nZXYsgUlFP zJuTViZjjBex$4rl8R}UKX4QwVfh#a2u)%%y`1UvYpQzTW8XGqz&p>l!)*g(vP!)LnmYwP8>{d+);wpU z*OwRX@V(gUkT|+uVyLZDd6z?!*~%P5oc8@MJU2Htjyk|MQV%cZ>>t+*3En%g-a+VOW|<4iv@ z79uhvYKyt!23J^>6(LwN?+DZm2Dae?oh6!0O#PdJy2jP?RQxkZJ>Up+uzq|DR`1tB z-Jh(h3uta`4)1kz*ci+7%l+}gif~~{aRe&mYOgN1SDf_76?WI0<@p6jHU&uZkxYNt za-)SlbvrnO2K31|fpCM0-un)StKoU$`c1*-7Q; zc(!@$bIfkitl2JISJoayl?&Ul{u{9vtMjC!tn{zu(y<$MfU{vmk=t4aa!9D3`nSt@>3JfWqfwW}Ef@7U#3 zV{=x_5m}g~#yKUyHFk6F*(H=%ADgnj-QfFYp*rXS1}fm!7 zFs=VKXh9dM_1V$|5JiG=!?SX5sR)<~h^^26Q{|TrdqkP_Ra=OaFFs-~wO%`O!*pfg zxKq>3b6qkv$QP@04Bg@JRtI)v60NkBp6mM@)|>tb&bd23H}evo-x`W1H4r}NiROqn zh-28#kFLsvD2D_EdSV|evM{Q8S(fn}!+wUpD96j>HVhH}g-A_fV{2<+Coh?;b>6au zY|1n%hG;QfGT3Msqb9{aMERooNnhIBn4s{CqxWC|7*O}zx;?nOR8g9E1nPrC@nQhlSMWCyk^5@sF=EpGGKO%^0Uyy(9K1vtDb{iRQ6kt6o<9m;mL9p@4M$dUk z02&}ebhS(@=RqxYpD^PWAnZ1#73hR{YVyWxP12sM`n{s$+lKS_<7mW4mJ8X_Mq3)` zkUxnH#lc7v^2nbX`SAOMjj#S-7}N~1r|5V362;sI%f)ct06$Kr94>g%ZchoR zPuz709ZuPHpR9vHfh^3%2Q3>pv1QUuNz9IvYT<}V8ag&?F4kl`i}%VMB)6^R zRyPm61b`isOSjX21!KEN-yZi*#ek86>ZNA0Ev@$u_e5JwkF;-3#2IEkvmy#-PV)W; z&;B-o-Br=SQ5A(6lV~3JSe#v$IUW>#8-6pkOFJJ&8&>wm-NBP4Zrq+F@4a=&de;#r z!LK;$Jbn80JX#-Rw&6`k0QMv8bG8(i3iO5PhS1!vTm{u#>*3?nt6fTBYM^`Zr~8&Oqo_ zFs8@M;k+|Oi9F1T@vlDc*+j0~vfhDd-G2jyITtJS@kxu_0Dsz?Zk01WV0v`pjGqf8 zFhPO`QvRk~1Y!{q0k*pGQu8+}ea{#oMa*~QdnV3LICl>qY01d8c_G=p(v0m$c ze9cp*(>W_ZGO@NMYi@C9)HL>mDT*d>|2|(8df_#$$)ULm%jN*{xjJ77{wcRBfgvAz zSkVR?J^&wL3xC3Qt$bbx&!p7ViGnr zGU%Lb+bPsr0(>A=DoVdXmifiXuZh(I1%@ZRo5>Ta*QfTqrP=bNi+5M5W9A~v9rGV% zZ;B_f2pziR7kar|)aDiYRN0Nk~kyOeZr2 zb8|V40y`&GJbGq|{RHcNy5W9(XEXWX;xeDoCp5TG{#x?X>}+^0XsX-$qwcwhi)FVX z(ZUONC*#CRhf&P$1#D6^{l#|XGrqmdY_-6hAW50*m9>1@l(=3a?sA|1+s2!opf6VKz ztEUKYu8TgT+$;KOw-iH&BVWZJ#rSCdQ;}3KpaeBncP0n_GKtYSF!Yx+C;i-h8wx_( z@1Gw`n8s;{7mxq!P-fQKWN~?E7U)+N-`dwY{59WRuq@@1GJmHc$q&GOJ>f$0#4xL% zbhzYiYFZ$MfQ_GHzlBWqXl&IrgXy=_jlVc^(i&p+7=D0~_jadvLqHm#; zu>tTE75`?)sE$r>gy5s%iA7uYl88RZ1_9|x$RGKK#vL*&OO*<-*qkJ746F#)8w0Pd zi(dHG7^=o}G`CdStG6^^>*p@$Pm%}*8Q!Ob%4BFvgI{*;tfn197xhRk9uPv^Q87Ok+2wGVx#?P43IzLi#)i$H=z^gjDVc0U-_lacbkt` zr(F{ZyX)NZE~j(7R9#uO8rww>J=rZ!hnbHrt5YG%Sx;|$VG5VAD)$sESFklE|I|k?I{&^sqvThIgN$B?7;Na6~BHQ#3sJC|;-mcIM*pa(UE? z#HxZ7FSVS?nK0D$4N(;D4p3=?`pSPP4w2Ih&1+}b5%=vt$U3&7XT28#megr4E?M!F+-u?w@dtM;m9K#`0grPK*8pt)I(Pi%+`M_M*OzA zT+bEgAI=krUL%NLVE{G9(v*-ZC;)X=wQ6`EZ;Z5NskbkLniHtXI&L4Ifv-oAHDypy z2bTX-q($048EI)BtwI6kbGR-GCHLBMK>(5En8WdZhmjlvcO!0P79EIQESeNJH!56AD_{O051LxLgz zshT!!ViG5*CcR$x`Q_m9%>X&_%GtH#z|(fs8CX~xaNj1WBR8>nw(R1lls+a%wPKn; z>r95o7#e&AffDhg_sZ{SzJF?|iuW4UqaY05vNU0BG2j)Q%eq4B1d2jIX@QRB_!Oi^169iQKR<|tJ!#4meU!Gj(?G^HR z{{0>I8oGihx>fpQucd*y88<;V#F~6~H4(dRsocJg&cFlsVv5_! zdJu*ZRHhzg8j=ST_w5<`op)>PUXQmuJrJTvk!G#wO3B8; z`XOdH;|6ees6^kgbEO4cV@gCH?`7B+EZTnUCusPbqG9xv&t^5v$+3m4)yv}s4TSyn z`rM6syzIzjqj`Yh8N~2hrjnt(Pxg0DCzQ^q?Lkr#zjrw>-+E5vEw9M~TuvgmY$R$n5D4pw!&cVxKe5y>fm$sKsR>12knx2G!%d+Iqg{!&6jO!MNu$LV%_{Zp-qm>BiUB>f%k zPJIs7!n}CG$&bwsLgj}uHch!u7nQ-*X9{DQv2tr#Cb0X(vhX~Ylr(1B5B3)B5F+}{nWl`#eu3{#h4hk%h6!}3id z_v}gRLtL5HB~ymk=|8jX4y3agFydUNXM;h&5yN>eau(_GZ+(1Ov{^3l*PYDS9rRpV z%je_CPyZhF0|FJ=KWo%k!$urL95atD$??X2{u-8LNkLa|mF>wE`RM|9DkCPISudd}wz(7-tvTC(g<#J@BF6IwuJ1IP8DUdsLX zaJ!;O)z;wn=RlJuTe>ZcoMhuN0-;$KgAK`goq(~u{Z-@q`ZshOom)2mU9wy^uH3?) ze>G&t3t9ffsgQb|N>)Z9)b;^j;(?8=Nf^6e82AqkhpU05qt+4$+C^9b*NyRHrIm}y z;o1GiSzzAoOsW7qFO89;G|9tsoPt<^j`Pv?+goS0jT*b<5s3EEL?B97N$JR{xWI}0 z)t#Dp_;1Jv^eM9%wDeQMYq<8n=V#dLZ=XuMm~!e-9(7s8UACxI8=68oAck1s^69Aa zdw;DM@DdU#rm=N`1GTAuXpI~#rI&7D=go;|QM!|VdIDhOIw0tBp*^zt8kDDsWu5SF zag{BFB$Wzr%)Ktw&kctn@wlJ-1%x8cpeUeY(!`(+X0#f09fIQ?SM89A6p)? zk8tKiYu~f8F*Qtt;LUzg$bk$scP(4_@5PD^iN2B-3Q-M7MDXyn0@jNjX?}iw%gwg@ z*CQ-P3fOC2%^{W=tXWA}L=7i~8a#Ad@<;QO*`B~HaFk;`VIB*bYQG}OLL~_;c~4eE zle{3OAww*&Li4`)N{K%k6_@;v^{EvR;evw^Tf6%Mq{YX5HH>zqsG^^is7Sm_9xaxd z!;Kx}En4`z*-VI3?o)+~CGJg1{7Y(8`=8j)oN4NWHjv=t>A_QVrf`T{nWjry#C;BE z{`NcCaG10_eyF>+G;bd4ra+FsVw#;n+KI zy818C)nN_D=rZva2U+?b{+_6Vpf&$j_Bf8$>0E6 zORg%oB(EK1*yz02EQObmopVLWRpdposg+2^3OlVfjg*$Wc7t1DtyQ##rWaFx2@$q{ z2&Sl8@ZqDLzE}>wf#-Iqcp1C%Vre{w89TB(nufCZYv}dmMo}rgYwx00{kqF`EU|@R zg2Y*T9Ea%%4}ASOA@Jclbq&oN+|1qRi{a_iu!j@ZYNwD_J{d$bU+q{nS(jsu#wHH^ z4te<=ppLC*ezCB!!F2&-FF{3Uf+>|^(H;ahL^;5|o8wtw~8DhuVO7 ziN_#}p`4*9=hv|b`8qpLUW0RU)x2&u*p6kErMfE#v6ON(U@u~bPEOZZS65TWwSBXH zSkr*1Z+Ti5@|JK^f$5(=s^T^mG6#_lyVH|L6^|AA`^rZNNI}6bHnLw<(=x$S@#@Wi zPwUy!Yu49JvM~DV=CK2XuXIqKYbm-({>@kLSdSM(E6-=kJ644+aZ(8|>ex2!f*6r=>Nv6Y`QZje?%jQeNb#txVu*ZBg_s^0@g$bTWG+cL4y%b8W z2@|AGc+;k*BMm5vI9ZJ2&(f!>cLcKJSGIp6_}-+Bze69JuE5`F3}#7a|4|*t+ldroG7YIte1JYBkQQ$3F%bz+ z6)z@gu5VY0hFWTS%+lAI0UnY|L!AW7a+piirdc%^H1^Z7l4${4Y6Yt~O1DA^HTGj` zrVU)xd^ST7ft`K>-U?Ple>WHA2YGlM_AqhC&<{AFkkl=BAb z$Wx^O+t985bS#hNG_VkM;Dbvs8lmgfZlm`}LCC+CLqL>}{*Peee*_Z$9|$)7x3~B| zi!lDT7yQqM_`jDcMR~TOQ6dHk@n|gcz6IJ`r2k|g3;Z`PS>nCT6k0_B?2b5uz(DSQ zG(td8B!$9G(&GPLfz|BilsmZb`6~LxALo9`^=K&W)2LvO999x z9MGr~vSv0QB#`_^|0D7K3Wvk?y^YF?ulRqz&Hr3!U;1Ckw02Wwcti|66orkAja5}q zN&meN|C6x&w#^uTY-VO5!SFSb|71nvSf3`C<-h;0i~ehS6+F2T|1T9c|1WO#|9MUR z&)xgqR6YNXen(>O`Q7z@^>QeF@|d2Mw#xvgzG962@6AVr)zX7}2L=N0NVk?J3$G z=7FLTf?P}KAPOHi`-y$qbXtEPaDTUrAJ4-9-qfjJKZO0MOjWHIF?&w7_hRMqa zTS%Y|r1FPK!2a3&?^F6B2ErD{5?6Gb@fSsQWeX>2pbSvK$;bHYI;~Ht*x|G)=Dg!B z4MZ~0zP$STdq~IP*Gn?Mslq$k?6aa|z2PBRe-L7XDf_;=(ri+{{lSRQg!5xn^YJCl zx#on<2LdATW6j5H>vI;Q$M8ADI|bx(Ud4!aO61uMu4kAzc2=(1$5O}5bE~2>0>iuz zzR)JXl^ojaNFefFKpnYXMCu(+gq;Z58gHUERV|_z(v>* zJ(i_W+aR{4L1+d8LB*I9B%7A`l66L_}8prC~ z)|Yu>g#w_gHe};pJkr;RAE1zpJ5Hs zLWnXBzKcr};Vdnb3ZiZGh$tjdmbP0HFj$=CuK+`GJzZUf12jbt-n`FSZ-+eoTCV?x z!zolmXv~L$XuL^&w0_9;eCVU{k1)WmK0Z3)0kWO@?zwz#GSQE_46mTvD^(6N-3>X& zLqK(EGLv3(%9p)P9HV&gm_IdC4plAh1IHobRrV^%cN3MRryO`J?_P6K z$)W+1(Gb=n1q838DDu8cgNERnB!#pBduV^|lH$3KLgn5bL7g}V@4Ej|+USIT_eqJ7 zt~`S4eyzQYfZM7JH`5IAWYSJap|-EyMC`84sFNy4E}fnt?Xa=c3jgIBU8Uoc0Er8q z@$``QrQCldHp0X8(kF%3_1QbSx(kd3LA7Tq`(;<@5o;Hj9FS-L2J6MACv2EMYNN=y zcWlIr8$AekGk}9P{$^xw!8Pb(;ggN%$*xrzU02R|ht_pvXJrwSycWJ*I=(j*&eN5T z{cG>4UHznumxM=6>wSGHu2g-0EoP~<(^?yVS_`vBaiTPIHD&3JJ~G<`?SP|6OXJ^4 z(~-%jeOlvd)Ay*j8NtV%jRX$JD?S*)>y4^I%HiOkEhDF~Dql?U`n5>x`nv3sm1o&7 ze=)gmG*XsQ3~~+5->m#}+IMqq5?V!aZS-|{s~Xq_t6zE0zabHT7Uz;=_NFY7hb6BZ zc3xyD%+u*D<4_j4o9x6FBk7^F*p4>b#oA_s(|!XQ7F<6y+FhyNSwBDv7|BB0leD9w z7$rzufUcwll}M^6N`-x4^FuqUfor+!{sJ@>YAc z{FOj@zt&j({IWtoRG#>w-Y=*8WT)&l=cfJXMYh|=<_vx62&d>B6gjB?Ic84Y8wC#F zHPF7a4AUKFv0NJF*~Wa9{$M5^i7}Sycx+051B?C(XV>A*jrWR-7kXUwP|HV=mEvmn z%XeSf)!J>A%F4u3#d^a;+4RP{(NQgn&kVX95{kc9UWL~c(_Plw1`lUahdMY=?*0Snd zfC$Q(beVtm*nJ}#*$C-Rnd}BW2d~;sZi_j{I>WAeL>`nz5>J_|dSzwQL8H^bkjOFv zJINjv7(zKUH5VnNR3+_*w?^aG%&Q*QO-lkXPzb?&y}@*E6JD^{gsCv12ODXr`&K&G znQ~RJw@|V_d-uL9Nzj}uH~ZMtKE1bU=r%rwrx$|LP$ROXs~t9plT#f0K6Uf=3=ux^ zZqCwv*Cl>_LSyLZldH?`&Go0_fsdnPuWL9jm$BX|5YX`BNjvY?M$xEZ=jI66)<|{y z{RCqADUrN|eZR?5_57w45QUKnfrrKy^vQPIvZN8d_#wPMK>WA7Q|ES~ZTs3Xo$vBy zw)~vrYv!TORxSo1eUbN9Tmz&(l9bV5(*5Hp9Czl@0OFhxtvZK z9$%hMuy6x`Uz;E#5;8K7w-gRii%2YpkfMT7HV(p+;y+pGG1*~>6N38zOpnr2>k#Aq zw0SsjS`+&@*vQq|ofbYWC71q=59g|C0X3{$uZNClZ_{gXoMlP6E1XTaxw$2gr)_A$ zV%H|JDT0j+MK;J)oXJ0sDu+Nv%1JoxjHvzH;n(oK%1&X+2~X}XkmZxxJsb~T+PZOA zGFiX(c6ms)9Gt6D69}&lvJoIM`D;DOISbkbI20}vE1b-B;}1XL^=$Cmd0U>At=Sg1 zH0V8P@J@L+NIu!iI)C|lhcPOy=-V+`hWK{Ze6`lFeYvvoK>usLqf@*u1`#^dkG|0; zl_@{*cWF(%mv^o_y$D=r0lgLcivDC)Z#P9Og0aJ(TD4_UwTqutcCLq63XAU!j=3E_ z#{NoT?gs$=4CDu=7!s&?#@QlxGB^x!RVrm$(r+)h+E;?D{Q(5I-wi*x3u@lK+V7cb zTJ~&x99&*MSKWg^Upyf_ODe4MV>&hZJ2a~uL($$P_?ZerzYzoMP*iL~5rf2$q=cyeB2WZv{Y9-(N@CqMpf?HPp0`GSY^H5E6Z+?XrA9pmc9Z7xWm3uc!f{)S$!NgfJ_MdC- z^zU>e?+^S=<6x)E78?=LL6b>b=urKH{-{t*)~WgA;Ou-mro46qxexB5o5Rj(-T(`b zz7PCO`9N96PXp%~htKG3J$sowt|0|$5u>4AvrRkk?mNvV#yIQ;D8zuKHAD z4-%9OmK?LB(v9RiR?e9{&?ZvZEqUkS% z$C;sdIhW^x@+FP2X>F9=DOz#GEUVe+EO*kMxU2&<58r*ilIeIP8$PSQMcHi0X#R%& zQCVv1lu z)80t#-ctn;jt~!T%SZ8)pbt?H)zy-##`X&$md8t%XDct3&fL*h*W;?9426x`J(YWD zOvcdTVq?4?gHQi=8@l1);pjSzwm%X~FQ;;A&&QXaO9#O!9)_0WUEXa^!7z}vQhLH zBjOVYto<61PCKWo(HC!T$D6UtQUMaPu6NSe(UK%o`cRqgp4)g_EB9`<=+;5FT;SuG}c%hd`?B+ln}&)AMs;;$(-m z7n=C{jaz!|&VN>aS+cKOJ_ZK@&`^+@ssfJ^Ug#8%SJM>7VVCb08>=GT4Ws^+L)x*G zH~JF~%hA2c^yr;=6)}c#tG)NzReW&2PKwT~-teYhjZzMoU#*5TJ^%k#LP9Mr!vH}SQ{ZYT28uyk^i*GjS~Umd%hVeH}&3|&a0rQ>#~{gn|z2& zSu~%>NEbnl7CwTj1$)vo3|Og=)qPN^@$1gJqRp z&zv&fos*+WOP6mNDsHv)55Lb(wbdeMbLl2nj~GhzR4~BXx~8v1rt@q{OSGmyZyr&Ew_1I z-SgF(Q${_gmo4NcPYhn24eonWO(n;fqldaXp9&pYf2U@sK@num`?5yxTmt_Srut3f?Q`Y) zT!N8g3=v7Z?B^vT$0-Q&eG+PM5-yr()GS@L0B@8jzp0DBD9vb{t)kCL-{av){K10fx>@{p3waM^T8%IS+e6)(8F;Q{6zR=_= zQn3_xd-mPr`?QZ>?A6RVQY?LR56nu&Os-QRs!lF+xbSAj_T1Ak-W6WIlI=L2trD5^ zYkQQ1VYlzdK+Ubq+3pD!7-q_oMZ$Kptr`F3E08JRPs?Ndp17XP!ZG!tvECK>7ymF- zAJ)z)bu3F=#)fc>uP+L$)L?d1d%wtKPONJY`!;&{^mM5gJ4Fg+zb>ch_Q^@amO)-U zm6J5N5;Xt&Pn6(+R4nmzGsnRpTfL2VaBvVkG+;{&Ay7z4-ptJ)zb;0ATu0Nwhy86E z)0Az))$-sg?9b}ZNPtDLz{9sWn00c8D{;L3@Uh>y=1SIj_-YrFo6F-$0AKdac*P}$ zWA#Mc%agwP_OI>hjo!9=o-2ujiiJ?;(fxGHF~jU|3~QZN4!ro0Y}&U26`<2CGUtFC{JF3ETxPahR}%g*o% zNt3Cel)9jInk~+)f(U69r4f1AEcR#?<)g60Wo-UT;jfss+imCkDT`X^y2PT6gYiEd zC7A~KyLXER#hHsn7U2U}Go7#;+2R*b6l=*sda-;r(L2e<^;aI=E+yoRFiwvnqBSOT z(|$>U4i4|tVyZ4kj-@;{--xchpZ|#eqjvbp<5XuCJR!i-r;R(4$op*g_4Ml|+l4*V zZTG!24Sq=uy@=Qy$EHoqJqq)W1!~8&#l`#d^`{`#wMcx9xzxM!?M2*l&CIuydNWxw zIr7_UaZXF64c$Xfz@!L_frXZ)TzS^k*Vl2>R>-}KONOhNag!k9s0qpS3erY580JJfIZ8Pp4@S@E=bhUt~;IGIg9{f#oEk-=7zieJjO7YqN+ zKUY3PM8Pe6Z2y{kH<#1rg~wXv&bn9rb5CP2}=`c0ahRodG!cU3SURnO*9?^o(m!i$Mxr zIpoz3bV__MG5z?Bliqt_gi!{B%HiV37vp>#dhdkzB`)xf%25#wLwC%(&a2Lci?IZD z#-^sfH{JKS1Tpoir%f`wHh;riHL#>Rx=7<)rbrfB>O_TK$~61PsNO!%&on*-$g?tv z=sycmrstIITw@e}E}G6VlqXL6^?Q=d z;hqO%8BA)FDt`+nL17#8W=^7DjY%)?lomgMtUqc#b?qaD{ID^~!zP=l&pH(BZLjDO z{v8tY<5A2XF2%*+OHox8oq3EL6=<@@3}Tf5j;c%@tp$r*4+`6=X-tkhJMoB;H_V4s zy*7z-R5PB>HZI|VN`A{IxXI}YLBNSq5zST#S}w;;JwK;?P6;Qoz_T=5MounW+^=q@ zO7p;qTG(L;weTt1@lQ}t5T3z`Bn)4zQr26$9*ZgN%n$dTx~h}iHd(HBL$uq3OY_|G z&yJ;VjFtBJatmUqaBOn3@h!WncaMC9wL?+z_?ne&ZBFv!2Sk=Hw35kd)vU;{5F#5z zLRD=03K}d)b$)nM%>K9>v+20%_;|e;m|T)3C6ix~Z-@`C?QUM$a+% z)FLwaU=+6y{3S?!g$Fp1IXfRU5#Zo#7$c`ssGYH;&@!ICf|xH!Q4d8rO+pEi1CJq* z($Mc#VTzjvlb0I%JVRXlb*kLuczRP@Ukrc{GIv=JI#r z{;Dq>j|?{Xmb7{pP)-q6?k)0R5woQ~Y5eVC+!31?6@-P`CEjG?BHIC8C;a){rzt^`T4U)O?Y(~ zodmiL>^Y}C&C2`!76cM8DFm9%+_PB4Rb|ponYS8?4~43c&2-D^w}w#iEYN?gNX%Yx zDgnt00##iPHaD8xloEavkJNh#R@EbuQla`of{{F&QvI(c!#$lXuhwNUSOxUFuNCpZ ziP=?$m#%NaDW9e1o4f1v=4D!yQXFW5`4_b~acB1?zi7dTF2&|B&-eYIH@8ZXq3sftof+&m3KY!Ue@N~s) zJ&Lb+`}+5IH`IrgJO1>K;3d!UIKwhkjKTYN{+F)W5`XfHcqeIkfcAWKkhBWTVPgvG zw15mV8y*2USSa_Vps;ku_V@jDK^|tNjJR)Ib=9VL+Ss(|DYH~^{&py!ak2^FDB_84P~XD-`2cTJf^>-EqSY z&EuFR%@V48i)pVVd~uCY$nDIwa#%=5?>!Yu6Y_XQ_g`XRckty0=@N}dW93zCQm2Ac z$1F9kZ-oNNET>ichZbt~PQ?Q>+aN);*wQ}t&ekuiItfEx5?UXCUkhXbdT9IevmeM# z^RRaa7B{g~*zlo^koRR}kXF!G+1PkHZM#Pub3i8}{YzYz@`ya~Vd}@elx6@c_@>kZ zT$Ocr1O!I1?0cq46~a^IWMNy-GGk9l#j>$jT<$Y%1wTQ#n}_da7~3SPnNWaNIp{g| zp;XmEtSoU!>Fy*%j(sxLIZTy-%yE(zkFF*jgYen5>eHbX+PKtqoh9WQJ=xF!NqRZ- zBWf-Y?QCoie$CgR{cD#q8D1f40U!U!Ks}%i;*`-`+#*()020y&O`6 zQ&KOUY ztpd)C{P&xL@Wky-QaCM;`0>Vh@``8zZ*AxUR<_thb7J08tddkgqxm7~@OT|moLq+M zPDE>Dc2UCkOf{Ek4Ippq@j=sq>`&gSoE? zt7GZfB)A2a;O_3h-Q6unaED+4f@^TtSaA0M!8N$MySuyVH0ONZ{5SK=GndmB=-$1n ztE;POt@TQJ;)CbwUlVZ2->VLv@f9CrhQt8w?#lFZpeT%xwANs??NXgrZw*+MDLwUy z8OusjcD7Ud-qAf!8>q3?17%^_!^N_v6-Zv zX(5ZebjJv9FtfMZnVi||E^kc=>RD;j9k4rxp}LRI<_a`i!5Hkb=aB(iaP~$qG8E@X z@^%Jg`__OPFmk8`1_mW-Zdh7OHO`8~9;e+dyvkm4I)7n93`?LjW~g9j`l*@zM*(mLWvw2VWqNqP}B9Zjs{vnL<=PhBdu7Jbm*N6<<>x`TvRB61|*lZR8Yu{ z-FFR8)w2BZ)%4qp&G(Pd$0cBux{d;okj}vJ>>!_< zFBg=!M8--3sgK`A`lg<47p9S^J#;far;ma_{_wza^fnS*zQwG8KLomvmtS&-$5n?M zE#!Uij)SHrRl5te#6d{W))HD=#bx8=H5Qz~iOkAvT-eF;VN`i?CCnZ~hiYyTykF-; z;t*S>q>|&Y%_%x?j^Ek^RFoELes)7CjB4H0&fLMWD0@scstvE6LqQ;rlcvA#Nu zZ^NX*YBi|*&0zN(fv)4&b?hAQkiOV`TT4`#bKNSqlX|nMHfBhPnr02%o;PjmvYE|1 z?Ffz1(DIt-*odY*>OJSC5vZ&q0qr|W1?BQYcwD4LF}b>=YJOr8$dxWsM#&MVip*u`RsF@+bmmbBBz5xSNsySt zmd?=ZT1!U723%H%iSBm9@t4gCSTxQSMQKT%Rue6)Xf+CH3~yTZXEy8e*l0esSzPJU#=r(QAHq+? zG3Vzj^<>sZ+ zvPh^D+M(Xx-Vk=n*R(tiyL)ydGv>vl3kF3K<~e+piU;fCEHw{t@-LdR5>KXk#D7?F z0?ZuMw&wEINvPXLpmBaG3jQ5akY&X`lat>ZFeR7-i0d;mwuPpP5*;i_LqT%c2^7|% zD~)O8wCQ;M;3m-2Ui<6=Gj(wG&XbZ{JH*&=RQ>eu!71)?2*l}pl=(hpdiRGyXV3e1 zb5BS>HFH|RJD8hf?pTZ9Sw_a9eeUPpR$)!0TDAa-$RHn4^TT5MVxzVN zQkaf4O_D5byzo)770+`gt!3GLx6EsO=w;M^ig4*fYg|>1=ANDVcen5Omd1biIh(>KBU3KUy!F+xDfv3LX(uN+L4G#=m#|J5_5|mExCM zWuJyJ3noWAGP`W^LLElI=YYv8UDn-xdi&LG02TuX} zHMZaCb&eK_OqcbxpDyJl+%sGJFB;h~;DScnb4tnApl`Suq*s zHlOGIMsqc&Y(91tZq*lWiOsbI_En`m%e)4DK z#LnJla(`+4)p_Xld3bCkPSDm2$Dp84^+SM43_+$YJ?!glH-o+A_q!hhd5(3|WBtRK z((bNfdkl7{AbESn>Bxe}vXFuT%DBhr-9|Z1bboMiX+nYoW8L{Hqg!A%_IqD0 z7bt5wI!Cm(D=UAPELOPFYMPsHxq$I;E84m$CCD^5=KUr~IJqmqE-E6_lHa@Y8h^l2zjE?~}?zUfm0guznZgn1JOe12@QQ7w=o+u5h%u0Pk-Zclagwl+%M{RSWxFe|1k*vwycfqZHa zSb*21s0xMAPoOG(O>$O|FAmLqbqeT$^0-Rh)}<5a{a_WTVgMpTAW7$KyuTiqO1rka zuBqbeeuHSUfVyOh`lo8J6w$M%yu-r;EEjO4<_ZHj5WV>GD_$NYw3yVGa&kK}kA zkIvt_LPcwK)xheSsUsmhJzlx(Zr9UdND zI}ZXaYy)k`R>8_!y^DWnd}Gv zmN3(y@$qpWcM1SjET{^HlG;4)JD2R+93S!YZz5P8;{@<=2oL|ryiEZ@=RQs@9(Std za-sUnvN%HZG_MPB4(jkmtiPq(q^lVExY66LywuAJApTk=lBrddpuSyd7FLBPNaG%? zk@1Rr0K4r-69Q}BC7GvNEP!~c)l7!5=o}oBS(UK*kqWy*y^|$u=Z|wH25RHibQH)d zV|Jw^N`$vW8-kw$;g?N|m|0(o1SjQo1}aK3so2R{x)Dh8F$4B+_li=4_=4U>;!KU@ zODEn}k@g5|&|Mqn0MX^oC;&s+83O{}I3z&Bxm2@)Ps3|4~Mi*2cm_e9mJz_wME8~CHMBx!@-~bH8J8gsN_B}VT0=wf>Q^E z>F_5{LS?yO&%6EM!{T`CyU}!-A@W@@P0hQLxAAUXhyh$e0XifK_7)i%vD{9oyj%b& zV7EmUf^6;7YcVHOrF8d~(ctZIF5!;+!L5*}jX|ZPNnhYG2D`HS(1fwAk!2zdr@n=INCMFXuGylnYw`hl8M4!gbQ-T zG83E|&pqH>vH~o=0wS5Bn>IKWZvNuI=r$?YU_ZRQd8#;U4n%3$hmBzB7qloeeqLE7 z;Og0^P_`ERW&#uyj>~?Rmsfda@AeC4>#eX;w={O{r4d`JQ_HbB+LcCUm0Nk7HU>1g z;QsJvvMukRB;SxTgHTXVz|3TI`wKV~ECmZ}qvKJcg-YN8g zq;p4sXk+#FY4bNw94+CgBur=!!W3U0*_^WWU=tuYIk+AQ3iUP@59I~@qWWX-#nsi- z%-g#a_b(_w#9q23TXYvAO=__c;YXNp-;?-`RZd0@_^^Q0tO8Wc*vpes8L!UDDb|%) z8Lw;Jo-Ua=tIP-F;&uJ`CAy_z|I81#t715>=1VDo$ba>t({ZCIXoMPhJmbEqjMHgW z5Pn9X*Zw0cx+zh{!4gM8Df=_QRtCaw<9e~c2E~l=xwzdd`lAi^K>{kZq5Z->SOaHUV0YQDIW2Q>sR%I+~2VzZ%}k7N%a zLP{%@s;ubn8A7j$xB}=7egCx6nIN9w?UCuO4g0v#=(uwjOBNFDA%Ml}unzWCC^Hk%#jJjE zRVo)8@gt6e`N0YRSpK**i`RADZovPpqfcRiTY1hx^X;!&VPvEX%peE?kkwm$jwL** z59tvVo5d4kh?yH^Yh%7%kHxWa!cR&{0!jn)sg=x}B-HWUeBi#P>brb{hFzXuw)%iOL)F;q7|tRc&A0=S_#N9dNE#JJW0p>)Qg3 zPu(Cb#q>L}w@6xnn0Va<;cSsA)g~8W>YByN=<*IG0?$!1`a6$NbS3_E0KIJkjdlj$ z@A~$tLv=?$2~;uOiD}EoTB_*D&pn>OyFI@NkXQ}EqG*za8du7yBsGa7+s>nl!o$G* zvYzL^p=OiU{Q6M_un6ay2a(tOULMW5(|J`(JwmBn9^71K6_5gMZ>i!2hR+*qu5WUL zcKm|phz7+(V%5p(ExuSdOO;hB0-{J^5Inq7v%5P!Yggz{IU{pEb3snYw4z|r;g1yG8LwJNY~JO%=2r?wjHYl4R(zw zW^piZxpQejepyOC##B@4mlTerv9tIFIwy`@n`Og}M$NEgZ>}KTNf6Y+QW)avdsyi8 zEvIWES04g_B0%=ogE$ZGOXh0`Ls|?OTjjlIzE*jY+g~;iyLAn14;V%I!K%QJwXB}_ zhYw?tfl(N%*Mf?-y^9Y0=-@tO_D&{PSl;SJSraw<_1R;4yn-otQE>T(6p;QYI=97Y zG82A&Ld3~(3WX}^-h!DmR~-R0%B=d7V~}^9)sEc4Nd#?~?Jz{klq514TJ^Pczbp~rd`E(0HU~8<+2S81swuDA>8tvxs8TQtnPYL}7E8s0FBb7Z;hNDZ zBpUwlsg9xIQKk4E6dnE|TY}W9#<>;JM?e6NX_%y2ThFRn%Z&}7$<>0KdHyQg{q0i? zPelN;4n{}{i{l1!=UNX4!BYZDv6&s^U!CA`_I@MnQ%is#Sr6|$G6r;X@4{pKC~Nso zmy>B-oB+(vL-F+eh_^oN$^)~KU>*o$~{D&7Ej)Ox|ZqG^hFX0n`(Dt z9(EA3Hzy)vIXOk`89DEh22$<(TkpSh4g{KAlv%Ay>_o3kH!<`BR4qj(cOzlJPc4C&{ zu~vkB_s{A42Gky5f!zV(bZzlMJX%~J~RVx9O6wAKaLJshg*`aAtW z)C#&=BvnybNBuZ6BarnAk@?IQ-ne}q0G=UON&h5h9r4TYgUH1|jj4@_I(hdhR4cMc z%K0o@DL!nCtNFhB!c}g@*g}rA-Xsi0QQyQ6ORaI>`lDXs*UlT09Evl{FgV=iSSedyGajlO%2V0(zJ!zEvN7? zK`!%a20lcIm@KraZ!&4JN=E45rP_=?6(W#7`UkoobX@(lwdkc%Q=z?XSumz-dN@b^ z90)5%vd;b3w4jRoc$n~}fAe{Fuwg7O6DT3Nd3F_CsfS(VmOR0Utp@`TL1HMa8L5x| z5Sw^J{C((O*0Y@+>St*vAnmhb#3gc3)Z*|7`7qSOP+$j3z(EPU-_eA#o4{AwLY&t@ zjlKqh4C?AqF~Ne_v*#vQS6G}t?>n<#`vK5Y&y}AkIIV=yA&M|M_zS(ikt$@x(skHw z_0p@S58@f4uvA$vqka`Vg1E%nj1CiDOM*vB%&sdDX7jSb$qP+qX;R6i-L$BrR-f*b z3a#6e-Ait#$%h64N!}@BzB&kSwaP};B3Us5Lj6v})Q|#NEN#o=KZn;}*@<8W@hC)Y zxbqkd<5)PfgdUAytQDwGtKI8D$TClpKXi zOWN|$r=N&Ks;;QR2^TtZ`~~o-gBpJl9KXN`XX_?PF4*ws@e=$R+bdM1X=-wD5%}i< ztVrY#=+(tx2@8QueD0v8NPO0xEMVglV4w{3>q7m*fxOzui>Q)?IJ;+xZ0+IAuK~8l zFyug4>z0N^AtlN;$U9j4Mpv<{xucJe;GXiXx3>A{$2q3eaa4-%93+rl`^)s$ z*=aVaUU+%v32H>e8~{3LPOZgL8n^kk5AR0;23vJ<+_s0d<7#x*ug(w^Supn7X|H1) z8e3hws@2tObaZs;I)ZR*!=2!kZJ8}k#vq@&v$2j3^Fmo-kmG%OM_JfW5Eh?Fvda!{ zyWaRy-^y&halUo6ESgA#=|qV^(L6XSF{6nXwk-@4l| zi5rAhU%qSO3hcN_4!$J_wlYLisEEkBMqgvu8YHer&IPXy4v&o$PFdy?LJ$Sgke{d# zlTDtu^6D|BiWf=Wn^tRA2_z*Zdfe$YWYqX&0S;Pdq106|>PUPphtrgw@5%FTZ)NQN znx%IontVgfv|j^M#=leX9yk~$nP{$FUY-DeFNHG-Y!O{%6b* zOvmTV19t@ev|mazGi5Xgf*I-gbFTGEJI)-o);e$S2pq0?GYJVJvC44ovlwS+49YR) z<4oJtMv9sBL!Xr@2mf0okLpXPpz?@4N$E~!YPAV%{%IMFP+4al!|S??1ZdV@koUXn zkMH5ZKe4J3(dHTjqlaXS!;?Nv-#|`oP`C>P4;>DcG@em=%VQ>EaZ@stmf1@{kdtQ> zLJf$J&WMn9Pb@!U?^U-1Q_;mm(~+fyyhgN+N_Wp~=i&Yd>h_Z*k8eU~K~Ui0K=}6d zivM^1N0kP8C|IWawQA6$|ImY{x4V1eIZ+$^nVAWX>NM4%kdg)_bArqTgEac&-hhdt z6aJg3`L-_nik65Mh_j1f1ykC(hfz1Pep*Od{g37zv=laM`rGn}^$jq_h{i@^GBl&O zErT^*dss`QrBXSWAf)ajnx?Augq?e=b9pSk>A)R@x(`!@YBjy|O3XPEub}i&)tyod zSbg7^g?wjb>WWK|+a>;Kuwic@hwJGW@LkuF^JlFl7LBU&T9H)y+583YFHi}AbSnrXr!Xt zg%EuCpzI$1@G%w%UyKyq7?s-7u1_aDRh4_``?1B#266>%E%qiKlwvLlY>66Fo>>=^ zTbY!HV-jdT{h5)%7Il{6-&T!anc&XHRi1PO*5i&x&i4R7>F2^KjiA?5 z6tt6z3fF#9ou`9D$ysQ+S|S~SLqVPSa*PR(ZV)WgN~55emSQ5Hp*&nC3GN<>*w*l#Iwi>!PSA{hkGXVS;7gp1geF zKo@)l9o8Et@SRWUOt^X&n|zJw8L!h#^q)YuEOFBlK3l;0+eXFfQ)B&8Pk#w83LjTy zBMaWqGvtZs?tNg0Ga|1yxi5^Fk3m8b^zD1l}g2m{~VP;UeAGZ+68b!^>z}jBmJ!{DwSwVw^gp9-v2Rus2L)`$c&;(!2W;$t7 zkX`rr2e3MDSQw}<>89&dvt*8^0 zu~X41HYmXWXj`!&c`o7r`AOB+_x&D%<;UN!*+V<<&;g4Jz5rxyFcY{Bo)8o$YA(rC zapV{eW76t`pB=!uVE$vKNh|$Fan@3$<6Fs70$;9T+^ngmi0c?gYHH-Z^XU94_cC0b zZgbCxwbl&n6Q|1035pwwR=5*=Vivg`@%8)r2I4WP`ylvF7EfvC3FlPByn2G<#qw6g z-SMEhPj)+2dxzzPJj5k|)` zqfa_s${w`t;o#%vRRkU)Nq7;HP*HPvPZg_KnV57N;wMR2U3yKG(Ev_?sffcsECo0Y zCR39}24XSgOMSU;*O0Qf2<0lKKsb({4uXV|YK-m7gO)M=uxk%k7)(8$#vk}M`DO79 z9~C*%hbE(f`7(g<0og?$B#Eo6WKl69pQ%}tevnB;3x?bPpkfeyzNU4b9$oW%)%tIX z8xEuvlVNN@HCI@~A1t-+zdAU?gi1jA|L6L^!03N5DIIF6kTA(gTC)<0a(*gY) z3=M>>|2h7v9q_N&;=g}<&%OM6{FfpAKaQth|MuNK|Nh^!@c;2a{U1lj|Kkb&U*93b ze|cc_oBb2wWh<8_kVzq!T$5*5GC+G zIt2e?$O>pSNcD6Is}^HKQG%sLJM%>HLP($(LVl1yA^VBCoI>^w+x)-R`~UxUj?9wj zFlcD#7JVipY7{AQv;h;eJ^0~r6f8)PrzIk&a0ZIe^9(5@1S@M66|3AkVFtPu) zf&cem9zRt04c4u$*%UXYbm-yv`I#>m(U&1;>cD2PPQF3Dto-+GqNB4Sppv&bf6D!H z*UV$f@g2-m8CCf-6MXma{Zml<9%C$bu3Xr~G^-cHfzPXhC5$etb&))ZBG?_#(9j?> zHDyf>N(n7LvHK2qrVi~mHtW(qNf2~JA^>myM@}M3kr)LQIso95)^pfSd8dx;O&weZ zIO|OO0LmU@*-{k%Q6p(+CG$y4`3o?~0^-T~6-ij361jV0ne|hCfB?FcoGb-es1h|` zB9iC1yS*V&KGy)a64502;)qaMms_4+Tz*Q9SpF@Hxse=3Y)`LBO z%h}xvHw*O+L!}4uSgCRNhd=z|KaUTN$&*dm_(T6{DrCO)9%$C6e&F>K*v&#`pKot( z2TB2r3g`kjgoLlrg$Af!qD)1Pd_2}#??BRsfYQYKJlNhVdixE~+#P_A?lA(O;*?gA zi2F*^dCj9=7_p=Kiv3>FaHhMY$T#nvT-qoIq+YnVE)t}TWQZ)V71DX~ml~?8<63vP zBngm0x|C99+BY0(>IDaUSOMP)c0N+6=F^PRy{E@`MX3VWOE> ziG&oU%+HM1Cj3Cf%-NDy9r2$gHN?{IT`e3Ni8?39xkhN-P^*7H%lip9wwJ5F%<9@F zhuluobwXJ*ORz@F8I!lS6l}QKAbpBUZ?co9Y6eMYNDS2+2Q}Ra~Qk*8`y#KV*h}dzs4`_bOn(5t)@6D zy;ggF7bi2bp*d&LWTqOe_@WA(d?Y(L97Gu`d2!Z}{H4yw6ZphrhQjrL!kHjD&@{S=i9cCNuEjlD!|$#;`J5@ z<;@Qd5<4JsiJ-oT@e+CS;p2Tuo}Dc(x1)%rMs|sAeNm?j`1Wou4s0dnrKGN6ylhSp z$t|f&M#r1XgHUA5So#p8p!V==e`<8%y$pxm0WzZ&_5DVyLC6b>7PU6obt2iww}k|U zVf?vnB1#|YN`WK?kO-R=$j|B6f%!R&9m-qVIN?d<%ok+o+R6?y{CL751n$mON^E*q8y8 z7Xw9_@>9ap=J&hld+3LT3KlO)8jE6d3%Fw$<|2r%7Wu`_1qonNegwo@vOnS+J!4HB zuv@a}y%Bmz8L+Hn869QvxlfvWzwgx<==15UhXjVd#q5ixot?r{cHh>QgrDtJ zQ_IKWFJJJO2e6&yqH>APDX9vb*QOk%JZe&(H*n?`0uj{Bu_fx_x%GO&FExjI^0JarWr$U#?oGZS6h9GE zku)$o|D_YcO}C@6dSI>1mN)3m6nT$e!cw;{-Ql;2o_yPnF02I88oXb~PV;i00IO|j z-l{Z%UW<2?96Tf-m{I~axrA4Vlpo#_{08t&TU-bCuIla9IHm79vN)$z26p-@gi*|7 zJU-Pi?aC;)Zsxu&`W@=Q~m}P zI2t4JY+h^luHmwK`1p8pGe<=@L&qx92msw>uXF>mfgrD=iKC+mx6k~s>eCLXlWdVK z1kf;Gw=w?t54e}VD}0cMKh*JsM{`q?dqRRjA%tI6y1bHXegs}w$ANQI0>TwCCN`1n zkogzY(=#Bux7X_RB=BHjtFIk0R=CO`SmxAc+?-j#2#c!qHtsI9)j4;IQsNP#)-1@? zmq{C^sw$K1j`d@>j}4FiPrnH(085;(P*cuxHk-UvhFqR)YwOt;NLyLXd-Oj}j6RDm&0YO=Zs;|9tF+ zb4ZPJ053Q7MzosTnQdWFgZUPCi5Q6_OF;8eNDlTl|Im>8-$DpBn9Lue-YonpG{p)@ z)~S9?#s^<9V(rzcg=th2sRSz^EMI=yoz_mV=(#74Fd8ktubDdFiiR_oS@mFX{#nBA zz~BMSZKI)SjWKy;xvJXj$=d>pF^KF-+Yt>O?M0*mn5Cl#;?w_`J3CuPNn{-(qGRUg z9~o1=etH3xdRHvxW?ko+ok7}O0-)u-u*+)jF@HmlY`y>+(3%bx3CuU=Z=c9tm{L`a zsuu$9ZdfJ|6b(al~!9uRFc9Zay|c|@a~WT{;tkm5&c;2<6!zzV8E=% z2U;E_kuMgx6AKH)@-SAXY{`m+OcDB@aA+306&t<`%lsT?{duU=1(k%i7%fGEO!1puOvZN%qL!q2E_e0_b*NzNFuEtsG4Favzu zOijggc`6zk-J6$Q`rR6EfOPGh&Q(4^?M9Yez3u>Ni9E9Lg5qQ(1wXEZt?kUqa{mL| z`@QVO2IyX@NLVJ!?yU=*Frkj@zCH#) zJXqL?U%;wZ(dw9DP?tw+&I6Y_QS|azC0f{ubSVA(c@{Di{%moAk!6%b##p0FE&AcG zgi;6YOnnN~&&df$Uz)^nIf=iSnVH4Qz4${{<1|vSS7SI%O-;3Y-o_4r{Y?>#h?YOT z(#49V^~pKAD6}0wI%b3mm>ztUIiwAhOZDdCNp_)vG{eG*_hfs&aNu4C0@@FM{2JUY za$=C!(L(2uLop)ko$TRoI)LOT|JwJTZw`)DgLe%nYru{;GbxD2EIB?C_xwe%aLSd( zp8S>=+#2rV-QZx@sixivzr+n*p2#(;&s%7ZQQ{cAUHpxwupd;A4ri{wcbw&Gt%ZO3 z8vtROLBSHtqoe_|Kl$%bB%qk-iD=IJiCr<%ZTtMIz}KzKO(W7+U|eFDXEAfciWP*a z{%l24D@t!6kT60<2j>!jDR2?|-uPt<+CS6MHXmK|iRW=Mywl=xjT6{4mn%_!aywra zwmNWNKeAsrn6$f|Jy{Zby@(DJq^DihMd?xFg37s~C2mjw{?slR#~ z>P@b#F;SPRO!={r#==%~O9jV5`5aEulo{q$Kx%Fzlxi;EWscqHe|;p9R8e5Z0I6RyzE=M_qx11JREWP#n90)Y|iiL_GC_PaKqtz4E5F6 zn;Qi-#hyBK0nsRarjS}uAL6;aBz>-`x6CN zTZ#k9EbmxK|8`5QZqwA@Vc1e;<6(Z}Ixelz%$#7OkjdljBtH zx!gk7$U?w%Wt-p}Q~b?F?G%q!z&UM?sQk|N6T!J(uF5qBD@5Kt)WI~)kt)UYeHKk> zfhYq}Wolh82npAA^!1w|%*Zor>UCI?i90SZ1&maH4UCf@NZ0dVIU5p)@W}9L-Chxa z!0_<#x3Tj`cGYoSaA*u`f?<#+P2#UIsYiuWENTqdad&=vqBWeP07X$iIb8;k5`%Na z9L-U+-nyjgpzd}X8DG;{#@cZHcudfoZRFG$0D7`I@5GyrH$0x%5FKSdp*EQu6Y+5_ z?>`0e`sjVudj<{_V!RnKW}~GJdNOEZeqyeCWMq^tx&ir zEz6`H0}0r5*orxLEGTmaOxSplF70j)kCu!yGqrg;uk|%^Gx#WdOjk?yi+c8bBcYtY z0p*G{S;~D=kPz|}#8H?UZEZ%0Y3IP7ni}Wz_7AC77kQoCZE>+bm2UhpaXxc$N`OF$ zXvQKu>6G%Nc(5f5sLId9N{W;itb^wa%By81Tt9uV|Zmc>2Di z|3}k@g2I+!UN<=dFRaKoL7O2o87NFuo*mK&&5RXE+DSx47W}sz$~>+l)rv|}b0cQ2=l!c!tSM-2Qfdya?rtFNuBcm7xyQJ5~4_i4{L*@btV$^<;& zLp2J(!ZCwM{1kW44ulBZL9>DbCLlEp(>Z{NC#aQGT|ISnM`y0k1XlXoYIZj^0yr`- zLVmbpgTO470E8TL@QCbEq{uCTTv-{b4t_T2C?pq4S#Ld2Vp8%zBUrS7&-k9gm6p;0 z5>a+3{DWrL0B)KD1;aNC&;{abu2u0v)+R5*oD$Pq%tY^BdPFTI=dPwO~ zjkMPvFEiXFV~5qiGFWgMl#{x-9yV_Q53sSPptWoJR+2cBf+W4GG-j`~M?~Gw_h7Zs zboYcy#kZpE6}{0K{?%})Lw;v9L{WZsxm$FsW@kj^OA`aLeh5pSs+!tE;%Rj8Z;@)C%;LSX#=`YCe3>u9WM3Z8|PcUXR zUQ?G&*@voLuuky*Zd+NSA@fXr^YvV-47mX%RYr$yzA%?WTahuUlu#Rurl((h_Nf1>5hiRXF7%Zy({+nP!YeD#~n z?zXs#aM77V*igWf&_r-C(Of8{4fg5K1Y0cfdSIf}|Hf_P_S{{Ba-8(R-bkaGm!a#y z!!URb4~I+j^|duq<4Wf#XP%f8bBsyn+83Ovy(Dvv?~x<97ur3Nlm~b~I)Pgkg1Hm? zeDG^u-mFiU?(mAZBPxEwnFWc!lT(^bVMiXzmD%F-*2LPQt{Y!Go4T^vw6%X8st`3vrMlY)|>v zL%x|G`(%=o!u@ zpEfP?c9riMstDCl%!AAaS6uI<7Sf05B!Z1lK>qwLlsXM(atD*i@&i!dK)@@)Qih>e zOv9sOcT#s!AQtqBa*nUE^yq3HvI2HTf}`T77C$)C$0zwRudn%Mw&f=6Z%ZF0V&QJ3 zdkacEaRKFz{IdZ|S!>sEFfl_in)hylGlPELa;lS#(-j16puS>w21g>%xfL;cYLx%9 zK%b0RT`__uDvPJskyH8O#HGLv=%51cQ+jOtU``C|96fxG$2CoAhYRyj^9+qd1f3A)9f0l)!2Gv+EjosU zfM(nX9cDOZbsNEnM39Dl;6=^$H|tk7w@OxO^~d;aI6jd{ZXeG{&oB4U3>uWB@*H=& zCkl`GEa&MAU&AzkApUL0UqqI{X$*e0S{dPXurkXthoArg06;O}_duzAZ%1#qfRCB; z15EW!LjP^Pgcyn+CxyKGfr*TG(QDu2DlAhrPmr>9%OL@~iV|nx3>>$bEn&@u6D^hg z_OIHxsK?w?`S|UZc~k9UcOONn0O}&qb-3RMQ2j?jfVVtYnqO-0=%~WZp+e!*)zuYc zBtIc?^R^|bM{~@8R{7e7!}YaueSktGZ9FPkUsxNd_or2EH02f;tbq9^2okh7%T*78N>VEk9;}!k+;QxY zOCVPx9nEnhhUy<=9)IiF+a4Etl!=<;qa~yk)}rl`j|u1LJHhc{kv1x5xeS=DzsjBA zx45t_0YA;-V*tpV8^O2JtqC&5^WPZYQsHCgX2vVfJ8=!i5sRRLlG9z&j zgJF)35pGNzn4fp?)=rs!N>hsKlz@}hG$Xd}N;s6J1`fwEZpu5cqTm*R<7Mgx$s=#g zq#GT_7)q_g_e7AgVTh9-|3)!dwD+t=ZQaDiSoYn8vse3Ga#$FW+*-)PWw8$Rch z&_Sdwt#`0G%QVfR>jYctF;A|hPJJ9JIs(vndCcd^ZzI)xZ(Y_^f1%Yi?jIi`^7R%s zmKm8D<{ED=AqR*0Tesd*I8ML#d{`|zPfZBiji$#hf^E`%0TEx<0nxc|JE3r*EH41FD#-Ia06k? z`3Y2GpNW_pe6{i%m)gRyji(V>EtPIIrt*vrfeKpWv0T%X_Q(xj!vBi9cJQNN^9U(0 zpyXGk;*S=6J*P6W_pX=tmYs(TAYi|?l*s$|_)K`{FtllVYwqX+09|q2Zz$o}bs$lDt7N0Ujk!QEjvrE}TE-RQ#?exM6W> zNvh|93iQQdqsjAAG1apj5`F@50doS2V?i22lw#4SM3?9{?VXbRJ7>e7do7-O_7u+w z`e#*c}dnv*1?J@d1(!K$YG z`#di;VL~BYEJcJ>cwA`M#w^|?fS7M5s}C9Aeb@3OPmqeLP>%8@w!GQ#rsOcU(||x= zjjke1!xMBJ?7TjH{<9c14O8>x7q4nuKMVwCCM>&TqEN`J8sx%JvF>tu+d4d1X^Q*< z*+l+~xJj|Sn*Ybc2#|1h;C0LPnR<@{X!z<>jQ|G?uV!m7Vx9%J@6ws(y=Ms;NZ`kd*pz%ZMCVWn z{r1n!<{t0%MOTE3^icPmd8Anu*{_Rnru+avgpT?8hb2a`V&*+sHK92#%@XkV2vK}L z3IHSgtqIUaea+0K$UXL0BG2RMv1mcv@(K#aK z7%&&T{5?(U!#8X`gutg)1Cxo=to(&KT~I<=tRpyp+b?)BTz+6l2&(7=5yNmLtjmzb zNKW&^WJnj3QKgnnx=`kC+sBwZ|B2ytH1E{E`in7BW-}xRL&PC+gr;3%KIjV2t6ZP2 zKI^FXoq{R*6uhem7uQiZflr4gx@ND$y^RS|WEXivQh{$fkF8vV&`_1BB#um{GFVNiV6N zaB{*hn#@jQ#}gibkrg95OI}M4$Curmc}^u5@9H7WgH`?E2+Iw8Bo9y}yE{G}o|F*m zFic;VI%wlf_Xl5g=$8<5IaFI9b+E9IviOacFAa(gBs$YG*(hiN0ycr0V#Ny|fIKou zI{j6jVVtUF7hoNAe96HF@HNJI>ZnCh7Cb~iHrjbCKRH=jU}SfBnQG8o#2of4G=HjV zGCy&I5#YhxUSIza1pNJYJzJ9(7FZw06c$0FZ@k|NUfWM*Gnxo1?ikGy{Uw6kZ2~RL zD5;&mF+p7Ubt_d)G}kT1qFcsf+U?|_@LySfQN*%YUsd&^7y!MdY7SrENi%~X*Tf@0 z(gu*AVTex(l-m5_F$vP{1M$ZO6lvqk`)&q9q)N5+IULmVkr_HGB26+pmsgX-f6x9z zAkfnlbeSE8^`ksf>f&E-A{m8im#>{IbMhyUENZ4hWZI zMrHwlQODtPp2TYs$0nQKu-ljz>7T-yRKweGHUtdwnrp5!U6RvMRK&8>es0q%LaNwX zV#f>24^WAv0|fKMq647*iQ<s;t=!=)GpOkv-66?7av1kB1qSXW)P$Ow>AMyWX` zY5>O}%d2(aMe=XqSq1l3-H;@c^M9jw;yO#@2zJ?Q&`8*`~gjR!t zwId)Va;S}ZH>yVTLcZ5|{#=m8+ztE+7y62pw3EhDRbpKEs|o{7N~bZ6q_>Vui3B(D z5-olf0<~eoJYEB`WZ5?b&X1CGyug5~EF)@aQiTQ8ViOD*i&=XHVC~$Utwp1wfe|ym z{lRko{9!(Ym<18cRo+!^rV!Il!WvMjZrR9?$v@r}$LM^XuLEkoWxs!0;MsGz9s_o1 z7p0_ef2*s}yL9Z$x_|>OkQI?Ix^Gm107)|c(Y{TF9Ii!?KREI6a)Ye%$lBm-Z_zR3KdsJE5YHOX zQj#TWole~0hM=Pc3zYe0_+lPkB9f&}Uv!Sr5oIuU;9OgrI-yau(1X^y0*G1tG*s<5 z&{*D(U42g(1FR2U9+5e+zHp(Z(Z6BDybv5NWFzT*m?lS%bhC1hi(~M){0nlEs4R8Z zR@W|f&R0Z?X4qE`rcVU74!9s}zeW{V)Ath@iVC|bfgN`~@x*u2dMbU16kXKN)J(dL zum_@r)khBz@aPJ{iZlg8 z2t^17kd2YxY7msrt3rU#VUfdv5bB1|0%Q$MI0AxzC@4jcCRK`nC`hP5Ixe#FSkKu{ zyWjSGd~eRoygBpU%)R$_|6^|~XQnu;)VWb1?*Exo^Cz(TcqLEkl2iBZG26bH-12Oq zdTxu0^2Y#cUgHb}B$oy{(Q#}|6KTN-$?ephVIZ3yd}|VXC4<`cW(#oh%T*sx*6D$- zKQCBa^0{ydfk57T}ltsF&)9!#rg<_*X zoEdCPX5%Op%B6YFa{RZ0gB7NtxL7kYL_TMNuJx1%?Y-)%uXYmqW5_cgtArEJmItiH z5;&gJKgChF&ueyVXZXclE64)7?5V#Qe~0AQ+Ku!OiRvgZdZQX%doy9wrVI`Zf+&{Z+uWa zW!KNe1t|jO>9-&#orpE;!^vA_&9PX5B2&}T)4go=y6-$*wwrT6xI}G#w~v@93-3a| z6@;1ubG{3CIHg@?GMR4@X#VOX#{D26Av7MIzAQo*_uP8TR$1Zf{JeG^#IJbE*N+a$ zUzDIj~~IH#$OaymXgzq|wK?Jz4-j=BO4NvG$Hf<%P2FzAYYm;MC>*b`weTeo$Ij`YbD zCh-mj_4mZZpb8ddg(vW4VFa<6mGo-*Vq*e-u1ZwgcK6tc&O2zAei^jlR&<@Zo?hbB zm;8)!=ke>8MA4ll1#hhsnxE%ut}AArW|Y3G!I#;FfE{{~K$DJ^)-|gQ#dV=Uf;2c~ zV+2?@>Qf#bwvQY$vuHHx)0{3x=G7u#`1wQX>qK%$Ha_*SQsO` z9gF%9Gt&|MNwO|@B`EBirkI+Sp>%J;a1!#`oW2hDLoWgUxd1lW zmPIxgNn?`Z9CGRPRg}iKmZ67Kk~hmfjRTVt!fskJX>E}Quu=F|I>qYXBL zYi;~w9mfJHg8Sya@X`0>%jOn){mKX#bjv_CaYX;nquW`TUq5{u8L5~NQw;DYYp1jW z86VyhzTqRWSOw_a>6>(0Yf80qa>(O&o*2f-=u{ax7Nx8VlBwpb=g+3OlUC(dzENeycV~tQ+&8^KeM3M- z@E)Q-0{}w7xcsLOQ=)Gi4${8cO_|XKd8RT3SQG9R=v0fda<5w3+IGBNeNYLR+aR;T zQXFy^3zy;GFfS~b(VH3@$tZO$kdac%cCY#-pA!&iEF@vChVyfGkFPG&k#x^?+xwG!LvOBxz3mP@hvSh$W*KQ!nGwAfqy*vgx_ zE1FSFDsEiEx@Nl;V{gZk`3ZPD!1+SiO&-kt+LFEYp&@HAgll(42fXMxFg{Y+amszk zX~|5GJyL(oF~&lJdfkRvugAqD1=S02qmAZSVF6C_h8Ss$IZ}YFu>TZ5=ZSjRrd-NKr!V5zEuHzO!dK*&)$YdZR0L) zFSKUSIiVfI-xnyu-mi4yl861OBEq43!_*A3&rGFYQFi5Uv=f{yCHV=sdJiDXgX#|O z@dKC$tWMuqV<^+*pvV6d`_G5}R>wgD-Zd-Dc^NFnTVjHwXo5W+rn0uGEgCVQw+OF) zSq8I{nnZ(52bj_@*!j^-L{;&)T-bXL{5w$+2keNXGPwQ!U3N6tMwo-a@h74S^k1N6 NFvgZfWd?SUe*tHvqGtdA literal 0 HcmV?d00001 diff --git a/images/keyboard2.png b/images/keyboard2.png new file mode 100644 index 0000000000000000000000000000000000000000..de81c20955ae3689ebe1be2ef339a1ccf38a3886 GIT binary patch literal 38275 zcmcG$2UJvDw=IZ(0tSMpWDpQgq5_hGAUP^3AQ{OyXQ%=Jkt`rNXOO6bqDY03g(Bx7 z7eR8)P`r)b-+gcQyWQjd{a)7?9*QG%&OSS=J=dIbRq!hn**iBWZ(?C#-I14*Qp3W+ zEylva$-u`3XVNn~*1!(}d%5>cSXi8lSO2cL=Zd?6lelIQ$`V*uBV$9Vn%v-b3TJ6e z=Qnny&TfW|CRh^2b{|Y0%G(&4nW&i<8hbc&nuuUw#bU`zNxXHRSf5h0PBu$pao5Ps z!EwpBQGPo>=*zVntT;cp@MxSd3MtmNY6ai)tn;6}aY?w&x3ad6<#27#r^;t?<@$tR zH+41HXQ$L@X+a+CLP?hEiZ?#sL}AO_V8@B_%_IDP#e=u{f;Q*nR_}+v)>i{v8s{tu zr{2FG{~?m*-fCX!@ob^r1%FWGPTr_FFhJ{5Q z5JW_WVas1V+(3y1VPU=aA?}0^?iug`9C|Y84$< z%^V3t1j0dK-g@4rqJ|5vBRI{Y-uOue12`eFN=rKvVC(dxu|Iv?-v zHKEwW$q39RYA~eueq;>)y0t(~US8f=)W4cVEfm#fy1aCHdiS6E5GrDa1@QTjMdN^x zq~FeZ^x~fea;al~{pZvNrvK+tcnh&Qw&ZsW81dT9c6PuMd_ofSBB7o9xdWGHm*+8e z!5op>HX{Vr_9X_*`j10XqCMonC%DMYz;=E5#)t3fMJEB^uOtohrLa>H;d~-n`8u4p zK;Jc$Kcl|)-x|OSx1L_ponC&v`Sic{&0qmt{ofk+|9OJ^`*Zs5C;t68{r409=bZN4 zTL`*vA82irmUVr4Gw`E780ZuT{@;=K^kpss{3`hn9F|}Ae)2CZDG^M{FVbWex?02k zTVDI^M4ksyTMb8gnXl|$sP+#G4D9ayxcm0wV~MXB;D^E&9*vJF@*obJYp4uwpkT2X zcclojaKVqaA5&WI8h^ob(}R}$?F@k+$XFx`2d%w5o`J2ct+%%h0jmU40q*OM3a_r- zx!Z!vQDB4U0zKN)#dE`=74xpCsVVs9YLBn}6kJz?pGgiuK?t^khqz#Z{rd-eFp0o= z_;(L~`}yBKG_@YQ1cB9@kDEKXYmwW?XvYPvgQ?bWRRTelyp6f*{QO{Y!Pr~3L>&eT z1#^`;j^5d0K6hvRxZ_VpOH1sM{swq$svjO5vem^BZ}ePT_{dt18CQ%yu30}m9@At_ zrlF&uu{me`rdOgK{v#BdJys!PEA033URiHX)z|gY(+U3EXn&{LcXm02uY?{9znP-m zEi#0kGxX%WR@-Ua+$=Q;B*t&|v@&$?_O8bqjE#+TcULKyt``m8mo;@Sbv^N>eo1kFAnjm7$l?B}G&_>B**cT(ZF0?>=_ zW+tz_rR1(I#adj|+*RFFc%1jH$P<`?YQqaB_~f6RD6yU_Qc@^u@iJBKr20$z0LF83 zj3?tYz7{4PPRAtnX5vdzQ&TNlr@VU>Pcf|rYFsVvt^H{$jY?Z)N=r)%g3ToPl0{Rn zsFs$?E-`NDLJByXQLqOOWWD;YjYY3cv5K-S4?Hd|h&_1xCyi3_;dv(;e9|F*Xr<6X zx%bVGhzzXj@HaBqF3#1JL9yn(kbwr{&t9FiAIA?KJmBX?Kx!K|-3dJc!|S7TzDCL4 zR-wunhr`oYnm*My$0-PJmfdL^8gfoi5#~gC-s>j2sY)yEN|VD^eIT#mo zdltkezW>CpeNbk1G;_!f$;NTOhu0`3ytYl4>>pe=N*!c4xMkahUj+|Zq}&D5dVhJ~)TQsV6yHzI_jYIvd#**z z+oItTB(i4d`L7o~M{w`VFH61GgE4TCXCy)V@P=JypN$Ub)pp^_o#X0!oaWMe5hLOOE6Fr7R!2xtK1QiC;?ZD%`*AU(FL*&Bw30A7Ddg=l?~* zvvFr#DpFO?bNWu|pb6{jw*J}ORk^BfRQ5G54dx%mc5Jg~4YSWp)@c_COn|GQj`?|< zkQ=K!^vUF%A+7WBWY0p|VGVPlzSs9Tzg{$CcbVIPhht6sQ)pTB4K0`wosV5wRw5|% z5+XFbPiNZ6Q`EdfuoX07Sv4HX6)D1Dm$E%g&0F#Gb~E{9US58FUY-e}!o-?AW*enu zk?+6E9^cieF-Sreoi;Jesh654sB=(V%`OFjsFV}DxyE>Hgr5!V9BxKBdhfN&OLmVm z$wx3d75A4Lv)%O#FvLu~t*c1y>T1Y=E(x}vxSB0dp8$bO^H9x?IgJu^;hObl?yob` zL_DbJGvS0_J;e|%HVoO0q{}PkGa0fP+*8Q8=o(q4z)o!Ovh>6b62etkx(h+=99BEr zxk$f6#}uR)B`Q^^S15BzK3x92R#CCCjFE2M2XkzxOrH!ph{q55>jpKv#Db(Vk>X>N=}NrTa7)E>(XgfJ z=xCkCr+8Qq=~j<3pGX4dE$}q6F)Wd(uY0AwJVm*7SiRrHxxFZjAD}+&%qBY0{*y{u zARy}%7|}WE1PI4EA!h+!7o|!?g;Qsh=6%}og+gDJiN-)kmvMC4N7_w=kYC}q{@`nLtcKYvA@AGXdkWDaD*?6 zXD-Fw$)`i=t4=pCUO@c!6?We?EmKhu_7ev-wdn1)Z3lIPz$c9xU&$5NI`p&0&g*x& zI6aM)Eu)5ct^WL&Mf!RZNx{vTQDw>TEq_>_7+d%&(v)fqZ*yzopqewBx{s`%l;r;5 z;bDYT-XAOxwyfvlMK>1r_nqsKvBij9x;3UEFfxwVVR7Ld&kXXg(>OXC7HT-7h6mOHZNI z-lzjsm5jyRP)GQrN!I7%qmf_pr>9B8V{Bj)vaWj^FPmcNz3BgKfogeHX6>#5f2{?d z>{>G2Wz?TW3R*U^oodB`@u$>&_VqsN6S?jlWNt7NDI+V3=K0b~mQ`~{cD-mp+W>j% zLql{IvZp8a{WvErX)FKp=Nz4kxYZV+-TH_$ZwR&c)pqA|hThotfVbSj%U>^82(XPJ z*C>8!(Gi}^wc#yD@HiQ=qoV@^U_JtSvvxf6+q=@dOe_;6XVJuhw{0lx-zg;A1T(B^ z?!FnPTng_sKC|G!z}Cn6obf&knFLAazA~z_VAG_7M`)oQrFSWpmU^$ShY*ihT3UL# z+HOic|7M?|RU$JWhq!$jeE0*MBluM6WyP|Qo8U`5RR^fE5jqj)xxtAyrlaw+lE8jZ zG0Lb3Ji9vUeZyJyPfeW4stADPPKQ@R(35Ax3G*XkU1!|Vo$p$83dI_Y|G{u2 z<^5tYG?V`0&(J=N6YoYFC}ijrTj*^)PX6(onUQ=$;ZOcUuB;jTL(NYH`(LaPY6;iH zhPZfoSrt#&_n}98SDM19_~|&f;1s{5iToT2*W|I!-#n+KN=}1tT(m8|P|cz4w|Nr! zrhn{XF%BuI1_U4(lBwrHcyBd>D=d|-Q=6{5l+0Co66-pDNwwU(V|{KXGHUCTS}QO< z0WoO0CYEVW^xI);psRFthqsk1G7*7kqZ7OAHe8krdNE5aH=b^%u{L;shHy?4se-s5S2q=&rM|F__SqbTxu_VqnYfRz0e(tvYe( zl8G3%cC|dMswQjs{1s%xZ_R`bL6&J>D=GlC>=yBHdJT;8#v@W4(b(GtRZ`_NVVMAD}J{8cyl2?DWS$u&_Px5 z)Y8X>BgE0mt1eezb8{0?Rr{m9eyAEZ`-gBU11`4N0KgBu5KJg9V@B4B5tIMm!NLw1 zSbLo5IgPccpA^#pbPsqo3|8LlODacH>%4>VFbbt&vzP%n<+`7W@c|x8e_47eo9n03X(QbS!x!kQ>}%zL=r7A zD(6wO-V^Mzj!P5P^uZtl6pZ~w=E&}|{_r$HOn!1aX+;-ZFcoLgtCz70+Dr_tDfe|kWIp75LKoIYxZUy~O z`dqA6_}0|4R63g}%b*3Zp@MThGO{$Z@9db&5}#c8VenxCd*4tlh1rn1_pd7Mn@6!a zJ!-1>eq1)zBB+T;5yx^V<6p;Q$cWP%)K@lhiv&qK6YfMR{uw1We%i%i&j$tX-313! zEF>$ON?BW%xFP!K{q2FDuk_wr>-{n{HB}%b>hTTa8qsdY-O5V=O+WeG@T;622L|R) z<&lz-N;BHJt%9xq z15A#4xhy5UZfy9bPLPcm8CAMoU!$-w?|0{mS8m*gm16T3X_W`$k&y@m^TI>REs21p zif{f;UY?zuX=^9%Z0-Ie@8=CAl_}z-wZkXf7rq8=&k~8~Q+CcPDJ?!fCxb?9`|5BW z#WoLBfekJ^-l`ymL|t={#djc8%BYJ+k5}9Ad^9-$g+GDh#ZvCQh>O0wPkM z+QtVKPvetQ5)uS-##jA!wGSPy4R|rdC#NIQn6JrH4BI*`zc4<2{5#-T$d2LD# z^!smBtHUwHSc#pF?t}-gp>wy=II^Tmw1(T=Z&-e)N}|TDK%;N)-;pu9B|l_2>^eNM zDyGvxO#Xbe$dTyAJ?T)M35M_`Ilg3?lhUfy1$`%RHk zP$VWPXfcW{V%I-WR?@LpaV=0UT3fTa>5%Zwm92C5i{JHnOWD^Ylco2QD5yxW;h*Wt zRj00R+Wzt-ot>VZ9z1y7)s*6xm5>H7FJtm>{QHQzD@)Yc7Ne|`yEwJG;W%1hPdl)6!wt2;Uo(QWSX)?z+5ci}wo&@?gy*I3IpWP=l$W;r*{lmAq;_2hMx!sbv6Mu$S z4%o|#HI?`!F)2a36oS5|T3!Sd$c#D;#G|K(Bv=_5q zNe$rVlGq|HiAqZ~_oMSYg$l!H`Dd*9eMdivixX^v>9%y@wR5044ax7)`x)PLQh9by z@L06pRm;E(C0I$rH#6?Z1E`GrIIPgt`Rqj#g6(~PiJ9NVqngEigZ&6fN6!#`;)>pm))Rb=oO*{I}{X5#xbQ7HNz-V|rN zuJvb~2<0Y$Po#U6_f|_^7)f0NgB^LJ6F>gZ@l)rim!d(PqmCb{^QSX)Dk`m>+aPy;QlL3 zPVMRn~hCR4eXo8o$#HKk9)kI{L(XT2i(Zews!T`9q}ujzU4L(ExEhX`EIl@CRF$ zUgx6FSfqockj30cLxZT7$f0_`Y5?WE(jFb*el_hABU7vVuvEY(`9Bq(fov+|s(A?E zRwS6)$XkdT|>=(mZL6%Vok1gpZ93yn#IY@<*9*rHA@rp)l44lA>5dok_$ z39VuQAn(a`jwXeiN@R*BA<{%5b1@-=!o1Qya!?G}+1V@;FdoabVOM`S<)!tY@bK{J z(cfQ}2Ts;TWl4n(sYLhe)jYBN(&XXZa`9|a8(SOkU5n-%iJykFqqpPt?im1e?6Ha>}smNkitjFcr*dV|Mk)WR6E=PxwIGSkMy`kkjdZ8@7d zMtEmpS^1}g7Z)Z&>%*a;{o%yK1>6F{!{O73 z3Dnj(?}-|@;Py#dTNGN7C$T#`*{)hNsx6&L-Jcjg&%dkGoh=7gvp}cw;PL7#pnO1_ z1uU};r2midZ_^4eIY4GN`1Yksd0EygKE5=j+rXsbYT_2$OJ z*yuBah%L5A5@Y*`S$g&UP!)WIzg}Y3wRlifwJ^NFNmFd|ifE2zZ1Q~KV}N}M2?Wo~5F5CA+XT~}fnNfNaYs&*MX(~sDhw2)|<;oiDx0^lU>VIEvh=ssEPOrKX znM71nRlQ$FC82k^9fco_O-Dkh| zmgs8}BH^6`4uX|d@6$ze^&?Hq%W4PF9<<^Z$;;+##l~cGj!*~n@rl``PKmmgdvzEk z$F(#CLiTw5{XFEGLOEYRDA^|}QCC(^!RT$M9~c%3Y~$E!c_WfDuUL@HWUif`#}`{- z&+%4@E8mkwr+IPW+*zordwtDofJJ{|JUCy-_OWm5U)88yk@P>ZhlIQD$k7 z5{hEL{YA2h)2-!bpmV5x$5ZeQmz(IsWLS6KXse{vuq9l_Rhl}%Ttenvw=p-Ma!9Vn z+=7Y8z}`+giB;fkrr_8FtU-3w#N^wmq>d*OQ&TKklW1dta-(p|I@rv0Nh(LnVS43qe>xTHshJs8S;$Tfprvar@&-%*^_Rr zJYv)Ya%@*`Z}y2CWmJ^(aM8LtL%Nl78V*>S{rh2`Ebo1fS>1ly1On|T`Ej?zhE<_I z-gsF(0+wI?>HIF-XLjJ{NCabcHtCE$T8kb$Yj9aa1kUX|l06&vc?pigwWMzm&3$^i zABEgUhGWux)~4LN)%@>r<^RF1|J#K5Up?cmEYx&z*bEU_nV-*M6ebUpl=#1i1!)Rj zDk!ms8+eq#e|&uiBm^Ns1>zpIW{GS6hk%)#b_Y*aTic0ORpYxR5G#n8K3{1TuLv9; zC|*g2|LZS-TadmY7Ed6M0C5IKA@m<|&^PuU}+b{Nd3NjnZEY zRpZCFwNxWMgyVZ()YULI-HO5jAv8?{Bgy{|3+oDNZXqrq-!;#V(UD$fvjK-2lYVVa zVO3Y_!vf=NXlUr*up?zxqgFVHfI{8eG#qaEnLWSu3BWb5PXGUCdL-sZ?P%hMSE?(_ZbO%+m`|`gBjQwb6ID zI5}kwi=Z@R0}|N9mm2L(r(^hjK1#lOqcZ~Mdvd-{gnwVddiXw@s(cf3an>%%{qg-m z0UGo4eNNeDS0ps;;Ro&d@JO-KKQ%M7CoU+wd=C*H69IE70A4C&r&2!uX1t~rk2K{m zGCFV?Z)uV0vMUC(Cb@0h2i zG#i$?)n6aY7XaYi|Osw z38k*7tsOA&wWE0R3W`!;UQuKL$OmA}C254dq8Q!ok0Nh3eh!tK&EkUtW2%)*hdP_4 zZ^K&mY#+tl(rkp;PFZ&UD1uT6<}YH8iV#;~Hcn4Z=f1h2my(T)jKb5Ulv9$@Fl$FM zcD9o;fg!$Ra}_%=i;Ihq;+PstEz(~a{f@zzN#7F9l%%&-^;Ni_HTiN+{Bo~Z{Bjg} zG1@ljphW3}PlBz=a!tm@qqcGBSVyyL!EtbKu>a%MdT%?C)EX3s4wOl~{AmZ@ZmoSZ zm-oE`2>sTb^%}VS*C@vke(5v2M zQF+YoQaY2--2jKz)0__!b7__bre%%--ps%FHrI8D5aJ;n-p-oh3BUcoq39Wclhb2o zL;qq}|2b`Wd3m1*NvS3~?dFZ*nWv&UA07HnhRY!1=P|9Vtq0kq5_NTTJ6VVmq#f9N z_k=A_v%yE(fQosO`6))wPgWmx_il#I9GBzy*?2G10Vs>27K1H?f?`JEm#z9OK^!ri z0`(RPdnf2T;+7rInVZLnjG0XQZu2hLf&)8yy*;$TznOMF5xsC+r!&U3R>4et6~JMu zD@d+za_Y$yy%)6OOlq(f?1PbfVC5qi*9IeLPSW^nL4ohNu$$m;D)a*vdeEg`UEk+9 zuiJ6zN{HNg#M#2~Ese!f#6O2+`!lY)jz)9t)}|F9%4?cW;`^mW3xMWlLrG7l0USI% zY5R0`%VuH`-r4e5^Rv+y%6dF{L@3!i0co0yw?KU|Q&m+}=q=2d)Y#xD!LmWYS|<>- zfzmiEwfz!y zHablMqo?Puvs>QO7ZFGgCKi+a_#q58=e#t>)H2K#TTz6(-=ea9|9!9Ko<_;YgdRh> zs8`&QO=TFFO&W)bi)o(CXa^>ZUPba@%JcrP1eGb-A<_fXnB%O&gsZ0;F-&DhpG0GZ zaMQCoJWpsZ(y5k_D=Aq&rQ}Db>*~-@rP`nFsFS&Sx?}f9u9d;Fxj0`Sh0mlPTI&r| zNEcQ*9S4;`F2$Cnry+dF%6lqF8f-SVWx|W*fRJ7d^;!^?z^4}S^710Y4#(B}Q-I3% z0{68Xw%ov}oUTBr#l-ha<0%x{*VWmXLfeR%-kz%MAE6bwFEjz9Up>Z4cv3oyFP5oZ|9Up-M0y)j2CV{!Q zE-sMGJjcYky3VttE;eRN~>23&9^30q+dG ztWzx^n_w^XaCmJ|7yt6K%1}sdg5@HnnkV~Fxa~eV#rkr`@A>8+*D_W9VpMhsM=fFi+c+AAj*w|RCwGvfQq6`xVY^uRb4HOHFxs z!g<2tBGdh991cdwNa}~Dz^_LGn`y4H8U=(Lu-*JrcnY0h*&dcOG%;b~w2Z=uAsezu z3$3ZHcAaDnKxWZTjpr%f4x7tp*R!ML;Gz+fw#S@Ou~6EzjdKH&#%`$@NIi~#bSy=6 zW5}{fpqG@{S5G59^Sy)8_-Jonc0BqHC`8_voHwRWB5Oi?PMfcXh zDHGfq%qN)LSpU$e&@KHJw*tMJ;!r(ggu;B`vtE^V^vF z3E5Y+2cZDL2~+VLg8br&R)=rTuCJ#!3cfZDAtvuPveH9>vPcKGy6{fIM&h7>b7ht`J&GSVTnQuyTv z6b;knt`UVj2#b}}wlqPZ;~f2eM8LKb(|OvJ@dFyiCnlAg9w1XzP47P?2MF?VY34k+ zHT#~PPt~)}OI@*BReG3s#Bpfb@ak%JH_7Orbu|-iwaCk^?G&p~QwAqme&R|G&6V7j z!o&=?gz*;_7v{xXeq<6~O*vb~x&-P-j7={KVzr8scK(Nd5EG#_6$9w+=)$pBhxXiPvcmQM?wX zHivM}rMtsU4d1}8Uy@F$vlB_8?g33a(KtjzlA&5V>UDyBDfGb6qs(uRGUZw2IJ>!N z`+H8QI#d8OV0=lj=e+Zlc0M&p(uZVsQtZq~Go0Dkkm}cn3W|42muDMx$tqq=pj8_+YN%eif;4$}&bwGpZMx19N1 zs~Ia!v%7Os&A=Z>gjkhE5a-ijzhR$vKQX?taohkb;h_G-s%mJ2%$V2Flp7;@ z`0SLjcVse~oNOFD!Yz$YEpt#l8jXWSU0jH=y-Ig^GKxR`=b$>@K`(-O%vJl>6)!J& zs)CxrUej)4SuR=-tM%+r8jHwkoRHcjl(VV3cHIl>20B>{7^X*g?!HlD7Y5kN89a|2Pycl3n*(AGmPJQ?5V2_>A34g`)dAWe_ zZ+eP2aK-t`h({Z7ZE&#cz4v;tB2R6ZS|JFNRSmkZ(FR!)tci%Voqx9T(!9d$H)US$y)W>~aIa zB(ijvD*PmUW8kM_wydpd`Z--G!!5rC4Isk_XSE@CmCu0|&XJtn0_&u~zK!6`KC5m_ z)|k=;qQ*f-KXabS#KtP_PT>Z2W@mc^Ew^HBs;wB0&5S=7wA`*I)u+WwoV&Qqc0N80 z-zB__GtZ8;+$N_1J2PT_0hZIHxZRA(O}o|)J3XnwPEJnxB9KYGk_!~{%#Lx21|H?} zvVKo8^9%PiJt6Y}H z(c=!SU&X|jSSlChIXBjMOQVJ@4{9iqJ5{4QjREtXM2xSiP?1v+(sr^9a*L7tVd;p~ zB}N+M5%0`Ca`ruIBlP%BSHe+K(=3{S8f#In!}SKyk$FmT(R2_UWGp6MOZR=S&ZwFjrA0Y>+-b#N=5sY0Gq5@|TGT4i+Eq0^msXv& zIkkPM^4q?zlyXy4$rof`AXcM3rmNs9eUG)*m)(?Bw-6rl>4vnkSfiNshjQQFUy2;9 zR*?+=9dyCN&FzS8L-P7Bgg)WB8*nREy@&>u=mh}V@{jTLDB%u%5F@p#TTgv#ZISW?)*qN&Q#K%WMal5y~?s`16dsa$-hq$S0$!+Os2ADTLVN`9^wMgw z?sIok%c0uWVW`$j#WSxByPR+n?itpSE$4bgsofF68QRqhws`$V&TG31J-!#)MY`FZ#bOqTv92-ZVUOSFM86QHB@^_?E|ayntF&I}$LeE9Bu zyn38{vPRfr5EfoFWASa~g3rQ}k|*za+EWD@IQ&Br4R#E4;Bb6`bJ0?A_KRYL!{cW5 z(r*@~qCce{xa1@wkLG)AzA)nsl$5D!5RNu`5>iu8ICy7NJa(z!dFrwJiE-5T;&4H` zP5b^*F%^Z&yl69kmJ|v&7S5Bg8k~r+i3w+{z!#pg{ksEE|9}8up*w!076ALd+vrH= zcO?{IXE4F-ileKhA+-ETx&N|COBWa#@bI6swwgQbt;Jx5yY5S9Oq!8kuS9{(vcu0S zh&e4hGP29B@BLSy`u8*P?H}{xlR0|FT~YF+*g^Uh?P_>tTo~Z@I|1^`D&eh^j=k{k z0x^~gQXGlE7dPT@B2%Vt$#fhugeH~aCuQAq_MV-*)AA$>zb?AQ8XID$VkWKg3}|OS zf0sJ1h$C_yITq;`s_pr*{ApMss0#@Ez|cYV;6Y@!9|;Ku8&oR-MUWBHyYdWd->5XI_L-2HJg*h`swPXhc zn(TQxC2lT@in2=hIr{IOv1bU zD|Br_$}+${XzX*qH1n;hx{B~8T6ys>aef9_^6Nt2Nlc;2JiF00GGdCd!I^R<#qNy- zF%qeli1Q%6p*c0cxiId7f-~>)Q_n8=hSU$miqI;OQIUF4ryD=2 z^v>S-Y-kt2Ib_H_0c0D(JWS?YQfjJ|uoMHo;K|6?SoR{5An{c-#X{5cw>-^-ZySd* zy1$Jl++?Zz9dwEnHhF8jiD$WBD>tlTkd{?ixoU!?@|1QNVpc=np7xQxHe;LsL1@%9 zP0gsMo*Ex%YGy_?S`4!6H+GWj?Ce8`#}7hfDhd3avX_KcM|MUWJ;P<*GSD-VG-&_M zihT~_^8c_~o57`4-xnGH3GTv+Awo^FKMf>M3wfn6v!_cK@D+r;Gd#f6YC-PzuK}hF zuz)tK*B=KEGVC!x)LEO8v$oqRk<2Y;Q<6A7JO;Xia{vr>AZS($TyC zl{E1*>h`uIvdcduyhaj%O%X=#sHXvizcBp^?TT+LpA5Eprh0{drY>D2VO+vcY5_=w z4Pi<;0<+L*BpY^Xjq7rki*boYRr8)ka_srU1mc%iu8VIEmts}zo(@}(q zdpB_DqpKpk#dn(Bh@|d?wHKF_u{HjzP@R3R%uXn2mur#AQpPcE4;+~#fq}tt+6*Ql+VvkU1j&dh7Y3@To?rM$&Su!qk^jI=I00zj(W4i+BTh3pZWu6E7k&%;6m~xN{8gOID zht3WmVvfr>&9;*@SE0?)@gbSQqtYcY*m1RB0>BnfzA6L_?e zVOx`;=X*eb+M22xJr{A?oU|bDyW)jFS1GD#L?1rIO&%q^srG;uf7$@5Op?gt;#W&TY}z|y^12n5l$6j2E^>W*zh|7)%+{Xs zy`kYk1A3r=+{iU=mddiS9hPFZ_Ly429dl1}@7+;PPH)e61T*@N^>#X>w4mY~G@nuz)dHHF z<`0Yx+rn|pRbwH*`tU7p>^THr2FbnoPINnvRbe(6=j+Re&nzAd7DVZ|tsnX|`!LeQ zF_<}+zbAc$v<}{z>CMD+#PoQ<9f36lg^NXf%wiOx*6YYt6pxdGqiTONRqoer00+EO z(3Q5jv2mI^mV|!$<7xl-K{F!`&@&!2`FS+%u$$~LBqM20iu}EX*V3Vfy`3DfzzLSj zKVw~Y59zj%mX)=l-y&qeW!2vB1BB6|kwF*z7QqpPOdVO9VrViszWz{Ysze*M3e{tO5JSo+ISYRda!O-t@5=f| z*9euUS9w$Y`s>}T40md%-B%30G-ofC4_)w<3vk>dx(L;LJ;}0RvcYZx0HP>~*=Th( z$0}gYyNtOb$jKhzR`2&TTw=~hN->}lm{%888g=i%2n%PoKk>m9QZtW1-AjJt8J{VuBu8TR%E!T zmzIzqYWmeqdxt`9T(C2@<$}sH;SU3^jI)v+PM$_TiNN|vn~a#g+;mI@XHP?QtFnEVfz6Rcgz;_9HBMAvr`*hrY&i3Y7xX2 zM2yW{?()UlM9kwn5LduGYEq3;3iBiI8Gn3ow~e*+Bj=Z`Wti;~GYzv}RWXf; z=4%Oe67;~PWP!hKZEbe`?ssNAa!dJ|gzAvSXZeb6>M5OEs!HTaKc!#kLl;4&&_3lH z5#YKd3|6hYt)U*=aZv+diJ)_7=>jr98;pZ%;};8V^rM*0dY6KkC*P332C!fgkt7>G ztQKbQr~!0QdRS!s`fBjd_i}rt5bHY4FiAmgb!$LrWE?*Z#W zn;;`$ynV^a*j_T`M#P3fnV911Yg3=7v;c@dk)%f|r-L$?s>sk791z9rL6>!y64a@- z;;f>o+?+zRwX{N(`~6vP2jFs^Razw;nu+Yv47I2bsOW7}^LKbhfGI9=sP6ExX)?f6d^9{6icR$yzAglmL8a8uc zfeKn=X5mQ;qrb019JRJdn~<6cVx8NX;nsFD*m4;-F;}yBmT6>UWIho&BaFv>+v(JU zkwM>R`McwZbP{d{mP$5F@1tN5a*mEuM9q&#pW8wO$}C#YT(pZAIuM{D9CvyZ z0JRg6l3b}j9kQo71|}>Zb?0=n^z|bjlRw+S6F-(Pu5KQ@&PYuQi;;3aTpveVE|$&D zTwYE3?Kbh z2HZ4l5D|g@f(IS(33+(v>LkA53%qt$d(_zKs?@-8t!32&()sg#s@KlRGp0hL{KnE3 z;%!L-YzOy0Oo&-KJMTNvF-QE`*3{Cf1#+iU;njwnDL+^ry`1|p)Pq^}=jHAZbf!K? zeW3|@90WD@7~4HEV{JIwtg}fMoK`auOpFU(BEjam$@Ab7U;0&rR@w-&UB7E$BK#28 zgMK{?-d}vD3{z2yf61HuE3ca+S4|$CGDR$R`MZ=QkZNOscX^qB-X1G|=HB(%BAKI~ zfYV~^k&aL>f6DImJw*zX()~FzQeZ^1D#ApH;XzNQ-=RQ}PsV3r{Cu=Q{pK$46Ir+r zM1+SU^+BR$hd`UU*2)+@LpE3Q)#-Y;uuXaAeBBC~6Y*3tza-Qx+ihA^IFsN#^ICd; zdtNKin}`!)^-EE_^7gNKAWb?%S_d0*K*vTd&gfw4mk{@FhFwqln~BU_&ki@1=(8>O z;$`szBtRw6Iq>M)hnUq3Kf;~61zO^Mj zGxqs$P3^A9(FM-V?SA`UGvJ_0fm}~oSs5j?W0zNaChBo6{c9(T@v>4@n~I4{r@k+y zJu+4bRiqM%{6aYJ?Y_6WZzFn|okT9)w-eD^Y_#(oN1~p$f8yeC_ z8+PqY*17eL6yuntzYuU}8xwmqa~-qWRq~Kot(11h@maLO;-c74Zt9Xu=g-QxU0`Cg zI25C$ql>J7b9mlu30we)=lKCYGWQN6%AnLxI zA!sEHN*6t)vCkJ`RPUy7d{f0moZc^a%ldpY`!N#iLQ>sUJ?=>Qr&ozXgqd7I>R2?^ z6sX0jZxqdBs-UaH;JDrY2s~70xB-r#NvT+t1s@ zF*|s4-o*WD+m91I0gH?_%(jH?IRo=AO`}K4;uy3zUoD34Y`d8V9TmM z5_cW~AK#sz9n)y^W66g)88-&A7Z<(7pe{=j4%tdJVuV=K5oW;AL7$}&P$@&HBTQV# zKF2BVgJlMolc1{ob(#mg(5>l)Q~yY+PI!GiHJo>X=W1j`evnWC{9T_2Kw$Bat?Lbt zg^@ZWamFeDKNrUv9Zs6tNdCu!SOT0rF6B&D4Ed>H;tC!0Mq)?qO=*1Gfp1(gl$X0F z=Rol^GD^H?xR`g{p-PU#0p6Bhf+O|kw}F$SQ|zk5_&=Ls{`TY5vtNI_P5)mxqy&pK*-v$z z{9~j7wb&i+*(E@G|JPr$XaCtD^;^24va+(izIYxKkY9PWu5Ojc_S06>`*>S_ZXWJ) zRvnTJTKSLV>7iKx?xm6^Fb&lRTouOq-uhb(KYtA`e$Vos67tr+)#LxFI9J$ak2BDh zoCS$E822J~fwF&?4-X@yt4I zaSDrxJ$pu?A8FPuD5WVDrtlBS}ua(_U5sCIX+Ns-UcHjjlUTv z+4#zR_t>GA>XAb!#LDpdi4;wGkU5z&I=}4u8+=@qaZn%D4nL@wX)BxWJ~sD|C!~%x z{R19NOy697{Rz!N)K14CsMrY)zhi@JtTq5t!&-4dQdOlgNX@c_^rmyCIlo2w@1L%( z@9$e(Kw=+ny2t)yDng8=w@59dPOJI*BS@j-O!qGt?#P%po0Y(T^*o8x!0CE$yYEGd8ZgX0?H|>66I)r8e zBHSubBxE$-2cwauSB2{h3ckqKSBcj*W4!T?D>iSBPq;7)yPX{!pN*zR_ZA+K8l-BC zJH1xU`XLp&Vu)@1fG#@y;+zav0|;G?M(Y-6CvPC#@0RhWd-2{`7tND&E_^@#sCwh~fMxe-+$ny`N&{on zWsd12y9vx87P|}Ularug1n*L5xyiC5FFH+kWL>lsmpp%P3g9f$?U@-u$cGOgZ%h`L zbK%izcsubu>Y?OAQ8A}~N+4I6NmFlxNt!{))0Mp1iQ0zmy-WalK$Wg+qk2)R5l}1v zon)QN%EDY~T=D)+A0+gnl?E|syj}zz?Un(<;J@4-?*{yWo5~xflOyV;w#Nw>u)-U zKrcFD+9UQ106bptq^aIpUe}oz2M{y0WBbX`{~+!yqpFPB_d!ZZ1OyaBQo2*RL%I== z?hZK|x}`))y1TnU8l(N%vbKCLbd4!}4=G7y#{n!pi-|!=LAgJOs~(B9dShkRk!+qHGT$0cDE5~}c? zb+EhH0fZ5LHvlr9Z@9?oXZkE$*3iHO;}+b|!~gbGb+jN739N6$D=JK2IX~L4qFYW2VmBvFR2ggA@u(5j9ig z%&3hnHNz!*386W~Ui@>Bl%ArGB5%XrnB^C!{?J&s726jNt0K*bf1VL!R)b3YH%{t} zz{}QIw8qNo=1RxoH!tbbl!N{PU`hGhJa+UyyjfAuNpm-t)w3P9?y623XM`hg=(TT zKw*@pUis+gn74%-Ub_hD5|#RF8T8B0>wa{b!zW^7#5A4PjSwlR9R6E4F!%JDA! zlheUpzqArz?3$Vykpi(jyoI&1!5F_D!?A)wkHMfAbCoqYlzG24@A(zQLg%B>m~sR# z5Y#|J3CiNmXbH-bj8Og3$Fhp|2sve<l^8a>Ka#*NL}stmpb(*-&wn(vrKJ-^gQ?taqgux=Z>a`X?hA?AXp8!oKw-mE{+4 zsgiZQ^)b`lrCl1*n_A~TS-hLF^is7}0{r^r?_C*Q%n4^al3Ay$Q^#b~uRvUBr_B5b zkPLd=D}O~LR%8kQMuUxg5*Q3g1gO3wY92S5GG?BM-9bzPBf2(y{9nQZRiI`%NS#$`RAxa||77v*#$e%_qm#Au?}Z+G zi4^+?G$+?4xEeZpm$(swrSGgnrvfyc>qM5iw0q))E!A043vVK*&5!ePFQ*uq(z?v= zs;a9&-t6ytK}c-uS#lb{>#2C5=%0F#BLz$7vc&Y;8hA9Z4HqHyHYFDH&rzN`bvHxB zmL!#cLKhuicCDhBgM~x8+(nm1J_D-$13$3S=kxg>z$4gMcoEYmqK^1isndJw_&k4b z2>i)g+oB^=F&`XouG6z?pORM(>V`0uEwfrXp^Yi)so8@+T3T9AY#<<(0hGFaTJl?R z7!~wPubV?KLzAA9t5Fn?W;obN+_c}k^YU)Byc59o7yhC{7^ojg_}eU&^LPLd{9CN_ zGS+?v?xhgVHHi$PwPJ&%1tkU8T1=vY%)%hoPdzV*IRW1<^U0ecX)km18wKxaaI(Dt zZ0upjpxtw&Pl3XE)Sr_S%Sj^S50C`{v?=YmVjw3`aJO~(U~%9hHrIU78g+vikB=ju zNwvwF`F>{L1}`fInO1wf+o!FjR&sNlBJ*-PHtwtm ziLSI_#tzbQ7dLM2r@rlh`Q671AA@L=1gB6C$YzJfH!QSAZJKjKWa(Wq-tg0q@nr{L z*z+e+cc^UheQyV1d zg!a8=6_l^ExdN4T7;HH#`bXY;y?e)l7o&^57(jY56HEBRA8Sdo6QExC*);0h%j`)Q zTQsTvZAKR(L#x_A`%3iLyg=={I{gdSp-Z#j(nK_SuQY=^7R)9jjJO@Fh-UcVcWtQXETBq$cK1k?CRG zRo$K?+T}tEb9cwf0rKVsKU>0qbdRsP(o7iE zqRV_vgX&M&xj-!fAsY=8sh#j>w&~%%$yR19&jLMN%vlnpKAB3yYHVm9TZ5cy5N)jE zC#540eD3aM*Z7@-tw1`;q zC*PWa?~Ub`42jHZ{X66K3I4WIi8y20z7 zFHAB)dvBZ2a`~m!#nTEG@rqK$BAZ-OcB2`!h@IvWKnPbZfF^F{H~l^3g(uwT^lyE2 z8tb({ptQzrvpT5-ZCXTBLHH;DcsC_^Jd(JN;j<&8Kd zKUB_kkM_&QKXV_-8L(t%ROARRW$`TwhvtvR7SKwskJkFal zKSa$cV2MWLg{l4<5rw3Aa?hVqq!0{kd)9I2CAaTQ!d@jmF{a$1;RDtSGv-$VhpK!p z3;9ry5Ta%s#lavaE9wC>er@iOU#V^{G`rV@-&A&f3p}!&AUS#`d(Wm0A+Ymk%s(-w zw!2CqJO(lUzCUJM(U6ZyUa6age4JUoQnRMn=I8q|*W=y$FO(|E8QaHek}&jV6k6|A zP^-r$F7o=Gkgh0I*cRA%`*d{7!#^GTE)cZ?|I!kt_<2 zX_#M$w8IIqD~4%LP-ZZG6(xDJ>7(bEfSxwbzokOa50|iY4{e|h?)?~3J3QE0DW8i% z!^6wVW{089S5j3ef{MhNQP90i?uIqYG|L+>H?@5DL}%TAiXgl<+1@9CjR7N#!XY~V zk@)?;uZ~{b1*G7-!!@zp`nDXSg@?YXatT|5kLU7k!yreE z77(xc#yW34aT#OevUr$!G%GQ+y{~m{WEGj%EYq7ZHWUEjOy4J>IB?8X{&Y3rsc1c>3epWdA{h@f9W-WdB$Zc7wOUyLp~XLO#pz@ zOBkIIK-}mR1KFw%Zo*(4M1wi%#>U3Z%j441eXr|HLX!-J@pe2|GujJS*QtE!apO_u zi?6Bq0Ckz}t2hJd1{-J9C!*lRC;iUeieuG?Nu9#BG$P?D2-(u>#0+79a?Lcw{aEW| zXozFEmOU5&#BRvph5H6wbyK-%qxbcK@6TH38 z@t8hb)4TEHmwlw3gQu+F7`8J512W8s9Cl?Oaa}~y$K_H9Uck>t@Z!;*n8y<(?7EH~ zU?CN(s@D)a1gd}93Vqhk_rr8Gu9{t?LD&4w=RwrGBVdex1O;uCbwy*KoCxa1UyVu= zU4v{vU)oJ^{IN-(gnkEtdb2}M{9ewsDv4^eo%>j{6Xo?J9# zedlZCK2ctNKniO_0Liz2H!pe?-X2sXbP}wJ6qkL4+fyx9zrOtvl$-0&ag~Dfi=x27 zAoK%iNUGQE0NT&7dZo25DFeH{XKUT0N#^dGW8WMFyI$d<@_gFrfuSVdEwnXLhJ;1G z3Rpg#{MtO`uNu%q@SH>Nx+fk|GG7F`hZ`tg(&P9k^-Os614Z1dI$cQ$6~PhtfYd3A}tQ?dJ89 z_EzPWH);9!ZA_ZKn&j3#sWD_sm({CpE%CIVv{-lI9jw3t{ME@_op|an>qu-~gS;xR zwi&XTdg8?~l9Rd!68ap}_1Jrnjw~!Q9or(G%+0npyd=l=8v&-!&X;~|$u||EG`)5B zWL|SjMX6q?N!KS%zM(~o?YXfCReTk9HA8lrEvXCT(1h&f4uxu5O?9?`mWIn&EF3OG5oo6M&HP#WKDBt%RJuN zJgIvH-95w9q-PrxHXCc9riq^lf2%_u`yPiJIY+xcsqN?ohJp9hp{^hk?F$LsLQ6!V z+NZ@WK4|f#zr0r7$?9?m@#@KDyzCHK6Ilya(?~AKX!v$sRk{b<0anjuuFB(A+B|%O zXP>B%5ENVOF%Jjd{?c@HYs#b+bU_-g?DRXz{Zp^PF6?PSKr3i)t#Q3FSv7|9{4tlm zMD{P0{2$fS|Ei$=w@C3HE#CiPqr(!G_oSOZkPu@-L?v{;htPG0$>m9A=zqf_vuVH6zUr||E>*W9ZY~FS8 z%oq+)6ImAf-z!x8w7W3D)a5AV3W^{9O7qyHKoOqr zV2gG-d3wy<@;I<$NXECghO~GwEUONW0@Z*iA4q|d_32U58W`Ae9Fp{-&Nk5V{iuOJ z`KX!wcn<~;5Iznzhz*6|*CD+Be#r{aH^k2hK>F6$5<9k$i5~^x8%|#3d!N?EAs@uF z?wzxR7FQOMs0~OHs8K@s=BIQ&5`-B(VXlkkjz^b>ugdf)*e=$DI&k`?tYzskK`vDJ{$!3hE+^&32je>yLSW}Zj z$;Nv}wE&1y+vfCCvUG``0f_L5FInE@5CWK+z!at<^pE)5Au={KBl9h;I)b5j%4@IU z_GV^gp6RP_S>Ap+4w$LXQzOxgF+%D1bbKEX3*KIvxT`WW3GT&ly+F=vuuryMZk#OD z*%k|0KiL)ZL=1JVsTw*8DQBFrsz^8eT=zj&4LR4Gqnr_XhFV%JC|4Z2ON! zN~aR>T(7p~=HJ$y#g4(<_;HnY_b12BTsNmOJL8}A(+Yix@01i?*-}fwE}K=0(=u#= za!JvjxMb~ZH%gjM#lx?)0(VF7j}M)8 z5j&~!)5R+t{P>Z$Ya~WSlnyuGPr%h>sO&_0?mwyhCOX;p$j#Gc$ci*#3#wi7fx<(k zCdOCx^d}T49?6o8Qcgi##t#RlLNBZovD0;tYPAK~Q_Hxp_3O`!tk$Adww-0&k_^=* zqZc9i{2Lb`h24F#N{NMvLb?EHv~0wcIW(bfYD17ZmF_7+;-xRJKjBWUY3qz&`<0>O zRsnODXUsrfSA9{Wdd);J7HtiQ5igPlMG6zQyR+eu`aFyq;2-;I`~j)xK0Izr4`tsa zRKH1AG5w+)Sau};C*%Nf8NXuyBMZMiN>A6DSzS$Arjk6)Xid0|cCk2aB}iTt*tWWD zA;dkx#K}q_BFDj5_~x@>O!?+a&!vn>(uDQK{$TWJfJH_IrrNjkCc?0}RJc@t!ES}e6WEFx`hKelSw;{<&P=zgQr?(XhFFYqZFPpQ>+@KtFti8Xr<)RY98Jy6PmZNUBiX2 z#^!mh_8h!~EpK?3YmoT@{5(c_ssR}a4#M&uu!i>-3bK%3-3lfxD3fY7k5m!Yjl4t; z0GLAYeHiF-u=Jzii9#0>Fr1#`dVdQ9_6EgVsqw6Kn9W9_A6QBoL@I@>w{tI>*h|YVf`!I4hxg>?F%A#R9G2lX?To`aI7=t z7afUtgI3eK%EjtQgp=>^DV_&3z5C%W-YfgsZG8GCXG9#xhbQmshu6W6jv$9=z4-*R zw2^)lMJiRBf2Ar8~!O=(<~w;WxxCq2>#oW!vjyR)*En5$fYCmSs9`t zl`YOjj}#PkLr_()?V@cof7zZViWm+i5ZmOl6cORz$+DQT-)OuvjO#S$Ky$iojB7b- zzXQonUAd4~^P)0-f=Yf7+dLq{xvh_m#5ir~6sg;$4SRkH@UTa2x`HypgM+e+FJZg1 z0p41b4`!~T`g||fotX(I3I>FyO4Vq|$7Q9IqlNg(Y56n^imty*EjdC@*Sb-nE?l1R z-KVFe{g4{SHHFyhc*2fygx`yQ5U#R={7kktnPC?w@D^o=NB0&*krNuqzz>6L%NXCD zr&d0k+zZM?X()=wM22HfB7NU_%;mCu{9RzQrxmZ%Ph-{p1WiFo+3dXt?+!N?7pJI- z9@Ez%>L|*T9|5voVm%(frk(%h(g{^J$sr?6+3G|EKY<#_-p;N#%)$3z12c2GcQYRX z(_K-2(5HKoV-|zUsHi|r`V3^6rw>wZm1(^|;J7YhnW~_usQ;ygPZ}s%!n|$d?iOSX z#=V53LNQ)6QOgl}#mQ?8_y-V1!i$jw(*l==?Pna#2{kyyRxr-K#IJHZn_XGD|(eMoZ_sDBVluD5+HMqYS0> zy!!hsnv+Inbnx^4&i&z)<;WcAq#+`Ffiua3`9z)?m)HwqfR36bC7y@?70D9A_NM#y zcgKahQ&x@ZPwTuZ!7;iE<$rcgYh47$vAdJu^10NCHO8*)MtqwMo=9hiUL>!*NVQP` z%S=;g^|v#wXDBZEV4G2(#Bn`Xc#k5cAQU(LYx=|nXT9F$==DscO@kHV>q|f*DNj=f z&Gb4hUPt)m>$06_u=uSaPVYp{W})@gIt^1pw=MzBEK~RZN}@-FlENkR!{3O?c1ixU zX8eBoPV9NX7#aDZ|J}CK2H8MrhIfU`eg_5%b+Ds7f_jc-sZ|RTCO#K7M$qq+=aKHl ztiu*xd$SLlbVvPHmH@{hKO;zT3$Lm&CS=lv3yF=4#B>=&`=On+Uhil zs%GCfH<*Gwy9;9;!yoVmrckZ*htrl-RI-J?;Um=akEYtz^WR5;EDYYF$vEae;)kgC zyl@aE$ea9Rslw-O!Hys~0qcCAcT zus*Hbgn7HXcwg>b(rsOTJ!_FE7o#q$vrmJ|v>!c?A20VMzqapt8Ts+V_*(h9-Vd25FLF{BTOK*K zSI&g8p95S)A^5eJv!i}eZU^d(EZv<)Mv0rm{j3IRTG7~>6VS^$K)B*_DlUf2>+A0W z&vasdplOK(Asuwqrfsg6>r86 zkfQJNA!mKi_x7fPTj|#9*527fD>s1)H6kiN~T(wdoQhiB}yp$vz$KjbwagcVfw;vrH)wZ4SXl*RrPdoP& zei*)%8!DA{-uqo|<8sG5U@EpXMn|qJNbadK$uUUVB6gx@hUna(yq2koWn%+}L*^X! zxR7j#5c7MA@}+C^-N+nAcff*25M)gZ1xOOrei|mbAqwN6<50P}x^8T2SeUOyof=|`&q|+;@6GfO%Y#=_;-GR%fJ>P&eORpmaUEwZ z3nxn-m2-bzYG|+Vwz<;UjEZK1{X%PTMnzQsyfhiSw!GhH8m9W*9bXuH@r{lg$g5S} z+_gBDHyquJHKt(8m1~slO=Ygyr|a?eELgne)u0YKkfex83+?dQ(`?SS^<0Od@)}Wv zzgm>pDw6^|M@WGWF<>R&ypM}hi=tFQ4ICkt{j1On)4z;}%Wo2H%N*F<-EF@a<==kJ zj#Fn_Irt;wi@o;|i|Us>3QnRoj1W9Lo969d(=#L^H!PV5F^G>r`IItB#hg{Hmym_Pge!_upmr*L z+#jLIJZyzTf42R+2!9j#CF$*XqBYl1q1t9=`=X-PI8y+PLWxmneYfj5p`b^ha=O9<|L&=mEG0$;0jJd}Qge-e+s#)W|#5iRXq> zZm{+&Ml7CNv~lR3uJ#g||K@w7W}tb)lJSAL<>p3s?5TA%o9U8g?o=ljrh2gtvBg~LLg$3fy3mCT$OmF6uTxu2Cw887=*yVHKL-5bDI>(q3}Cpmh4#7n#M z0$<3fQealNrhio@RN}ioj3Y}=zw$zL^5-Y)Q?}Q^&BgJG+2!NU>om#7ZAcJG&0*EX zMbeZU`X;&MB-vn#sbjGeQbOHDX`FK!{f?@?*6O0reCyLOvs25i?c?AYGT|2}@=NA> zxJPpwNEOUkw8oXzdX%*Nsal9oQ#Dx09HbAs*rq%vhGlt;{M4^zsIA=UT=%r1&sAVQkjxvf~t(qJ-uI?U||<#z>Id3#Jqa9*jb24v54tqd)Hx z20VsE&jUeE1LLz@LIZLB0!7!>#h*W8kW6F(#xew30PSKVm7CYxuzr0TqNm^bIIuKZ zc=f-Gn&`O{C~T>^Vc>y(?6LTbhCx5uC~Vt+4a0pW8u6njRV}8BMZ+N@#};(FIk!8j z*Sdd&fz0nlk~xO27TtQ<1wiT7106+$$ms<8j!>K{RiHk^oazZp z;$Kh|xaPHifc?3JFsk`=o~}Iqkk#Pz*0Y#Iq1&Z^lZ`POoF&$%+*rNmQTkoRS0h=_HK3d8!BV(;3QC?kW$Xl!x^SGr{FE@#+T3R z_mU+@ftONy&pMrm{aHWOumIBsC(!l)kN*BJ#8*d8kN94?74Qo!FE~Dbs_FmXKmGy( zx%=fdGcH&rNjZo&50f)6b$0vtRU9g-c%CD`y@mCOz=BAr8Bd>>>5o6BdQskV6*RN> z&4&%gu}OCqTa3&@vhBD_0zX|W^*w$3LA%_aW@pcpl?avD*EmmrvEHnwI^6%o?@<1Y z?A#IxoTPCUJVH<<2C(n0;v-PyiF)?BagND3vcbpH-adm5eViHQ#>LBf6=Q_Sx%ZSl z@k6jq6eln8o9I`epI|Vf^S(n9m+|O4D7syp_rUx2IFWf|J7Z*QGBJ7A&)s7l*3TlY zm6J{9f$y^_C=-xUQ7b1W2PhhB{aA!=W59k8&ty~o8`Lu8wYUSzlr~^XM{bndLXO+l zd?NiXqEw4b)BYm((*->D2C={g9WUD)Lr`u!xTsZM`kG7iQGLF{*I*uA^{;|XtkGb; zfcx}?jt)f}9MBVgxZMyI_B>}KjM%pSI<^6L@l+@!q~ZN5M7+v%Z;6Y%VjO)vP-PNo zdD5fa@p$S`7#LfKxFdefI4IFlikS6L`xm-eolO~YR=t2fjFjlR;l0nHvrJ>PTgk*J z&=JVVf5Pq__-i<-5vQ0vd}iGe8=GR)_O*?(Cdl)R%7`2Dnueg*$js-$S0BH<--&xc zMgmm7e@97}%PU#%Y6CZPcmRmm+zH)Zu7DhE`x)eqa0|&y#OoQIpiVb|0(~ZHU*E;P z#_SK@#9}^{Vi#?_nQLtqAfhF}2p;yJq62m50>r)7ygC*;g!Nz4=K&Qb$M=#1V#1TI$Txe|eV+akgvC1dE8ny9)B|){mpCMSu(}Xs5@ldGHP$Y+D1b zD+XYSmQ%9%%CxNX1MhIAhQ$n*s`rCt4oh@Or@qZ|X;W?wWomA$D+nvCLDI)Ic%Gs8 zS1p27yuv_@UXE$|^731CT9((*GD^o?qLR=Qbond#Y>D-|mq+RITQ*KkwQO5qx_TWFL!%F!}WSZUL!sI*EW1&|XW zhG64%hj+jDz;P#|G(Rh#cJ@I_>ryz==M8|3H!j2oGcXKwJj@?V&p4E(!c%cm<8UqV z&y+o9@jslcwE*h5`elmSA90?l6~|qxN#Pi#T*0L)(0@*3VbPvdrH}M%;s4XLD;|2g zrQ{m}o6Y(}3tU&6&_G)O6$gow)KmvAO=kX=xLJ_4n9|tW&({M}4Dz%G7EVsEEJX_N zRa1_HvoeOCLE#S+KH;FMzKRQTOUdlr?w5$;8=l#zt@$s-AyEe#C? z_M5ROA57d#InV+bEMC(ra~3We`E>mS&h?xQC-JF&+V_;FniY!e$`?=H-_!9P#%teod@$Baa_hEqUV$3n{8q(|(uA&a1#3P1)a8I5)!*3Auv#ep`hD3$ z%@;6%2V-?+k3k$*L*?ud(n1)flZhEeC_~y~%$6Tuwvt1+u{Fff;Z?rhi*FEjP{Lvp z^UddGZV}|LF)~i3%jb?a8UgjIvc++wPxxW~;^Az`f0BJFwY-9{^nsV#_jg?pNZI~` zWXDSRsL&W#@{#>mmcVkFC(Jf?*a=3OGniR}yed<&69|817d@2g_}oS#f_v|&EXUF& zDNcXE+_(4K2cTk6-1lWqHYk_3jq_)U(Y0>LM0Vk{balvAPH?LK)n%ly1 zMu@A`SA0K`#sGN^<6P><-ySHo5sr-(e#}%P2zy^1F8kj0cR1KD+$|oodV1YDyTzDl?K*|y&P7Y);)s2xs+?u5nXD@GoCw*PU8agig>!}y@} zd`4?EU!^I3r@(zMZfz#NAd6Mm&cY%Q01+Rr>gez=r}<~cmU-U`*9W;f%HuE1Gi>h4 zHKo@Tu|4Y4p%XwlrSDk-Zei?c@UESM#kh)rxFNGet88JjRFUHZUReJr4ic)rVq4Pm zE8Fqu@YTN8sqGpTyD1;@hZ_4^SuD?0$*}9W1_7L9ubQl92_buCXKKV>R;>f#yTM;e zR{)?w=35;A9t7p9|K`@4wR8FXd#gvhW^-5G!r_6@Z2}OV!2pwva(E-b>14^=Y zi}eGj(yYV_D|i;=FsXioba8I#Pb5)HwzANU_;vb?6XSLMiqXx^kohT6t}(Z8ov|fy zBa=QDcjVlBVkb+Y0~W2_+A)ymnNJ$Ye-U~#5=8rU0>G@yCBE>zU>wfmm6rD$Dn&u5 zxi0bKOC!sF7ph4 zC20hs(J_QhP!*4H)|Swo2zZ0x19p(Y>9(KtP&+2PC_L9wSo$7I94(}y-BIuEhmU#3 z(HW>_@TH`jOMQK|Iu9ssvV^u6=6%U}rrGW^c<8h2>>t6v{=wievipl(*ZW=Ud7)D` z!Nrq!n&s&^0#@R4UX=rz?nrPjk%%zP(v;R86Fa^d8mo6-G5y#Vlunj_s~yN7WBh-( z+Emys9Q7uggz@T4wY9m59%C8l=7MWBjdSHfiWx%Q)@xS($sk@|^GQWk0F1iX_WDpF zzS)E8i5Q4Paz1b@A=yM<_yuyWyaCzuxEwYeiu=!kyxRZ)r?IYA`jg7@l9d`8HzGw@ z31ymr!Ld4Xf)s$yUKS#bn4TwNLY3oWG@yy=PlLo5oa1HJS|t90olC>x^lpvCmu>!C z#$l|Iu+{C!TJ21mYH?Y(Sq`)r`gN9@n3axq`S@?V)PRJ=h-eI4D$p>Y{t&cYp#K%s zLbnGw%!StC4bAT&em%dL?@3{RJa)$p(xyB}9sHzvIHcWQWC;^0^}Y1+t`}E*~diWHU_V;^e7@ z$DeUL;WPk3Gc0SxL)B_cSD}vwu$tX!{|ynd`3X=44XB^V&p1kTU5w~ANpJfSS1-`i z+7n^0$g1dvE9`8NYuW6YG@_lHpyuMn_|n(ee!+M~c~+h)@`EK}S`o{u?|1zRTjuGm zyaK=cb6-EK65X!ZbkzJ>DM(#GY7>_u^E)!Cwi%RYbCsp8J*Yp2pZ3S~=%{=3+rZ-G*jnQs1{4`kn%Ln znRr8}dEwUv>4g7Y+%QEpYJxRHR}M^{ah)#1AF?Z*5^YDIh9#@C7_KgIas}HjfHK<3 z)$D_blX!S|uH&HBa_$uPHKqUi?QK8^md+hG`PO44%#!oBmf^>o_QQa@!KtY!C|`ag zRf>!h$o=4EX8Jr2l_06tcX4)i|A1gYA0N~4A$!tK{r+chTyH(!Yn6|G`$Z*$m1s84 zt{F3aTZ3&p&0Fw2bE3jbplv+KtS90O&%6hHcLaeL**iJ!jMIvW2~}c?S7xUl4Cz?~ z9Nul00aPd&Ir4|^1C&xStblX;_$qm2naO~77WLV^oQ{Rf? zfK`MP0EWb!ciNs8H(x!<iu>6JTGlKR;J1*Ju`S_#l9%T!U1r zK)6bqpSvE$(q435F|%V=$8U_Q%kTugvpR+~n^|kA}PLN<_hhx%cc&i~19T9#K_6Jxwm+hRd6u+p`pv zN!^sBLYI4cSmFAl^4Y^*JT(}5M-s{GO!D=v79@{lMuE)A`DeU4=4HE zpkaXbnbZJMJ^+~b`MBu|nA3y@uFf*oM4;*6Rmf|9-OaNO-4WMZ-uPgz?HakP|h z%t^HEDY6$L{dhOx?CN@PdAUIWNado*!RoHqzrk+9*F`vN`DL9bY3t7V8Sq3YJB5Zw z8ra5Yh7kG4kp`#gSI&e1DAliF?Zlly?I-_6tXmS@yyy#@(nq)bazkcswXi>+!~KHz zOlfa-Up}qkHlcbUT^^zEx}GrE$-zPMd*1Pn^pTeBXFezUE!-6bz@1$_^H@`;C@U+H z)0m&ZE67`l8IXulRHcgqP-Xz>nWX>Sy&d^JTtB#;TVo+PJb2 zy|T=D6L-n|QT4z#PM%=V&!o)!VF0sIgMn+|qZOvlnrgAzb`rlaz?Otco4&iRB4zzj zGF9Sr41$4Hp~WooV!`6{!)!}gvAD^npqfN|o}NyOW_9JIh?8~ARqIjle8pXAdS-^I z;jMlVTWO)HOw3R^5f`b~Yxx)h}07P?27L{5RqbfnzG&(8>_4I z(=B9>FVC>(!YbRn-01k`y!d9U>tVkZ%@Kuc$vyS&L7eBUpXu?R|q zN@T+8YM6ET((UW3SJBTX4rT`MtF`3QHR;OkCpBLCnnpf?Op<&^74 z#(w`!T0(gQdkv>gTKDQ#RJ%Z2YMcRr3&4IbQ~{c_N6-O$F#J=kCGhya{|^CYkGFvP z4_5I%z`FnFH2jYtkZLTae`QvVcsySc=mE_M2E>0@!$)8uK;r@NE7#9XafnL*qW1_x zM3u!B|Gb~|_~`!v;KC{9nga?{>CUNHeIQLl|H0|~L-Ik*(f+|2AHV$n@*lriAEOyu zpePq2M(#q(i4!UTsBGU5`d{!=09k<;tV;Y{kE{6jcsBt>Hjx!@V8^_A2~S0*-?Cp z4LbHtl~(;q8;Gh?GGB3e+#ppGHDCmEwF@>Lx~)FVoY_c$(mBXC=|8(|0|-R1ETLj; z$u}GnM;ZX|51`A~U52dKN$BzluN=U+RvGw8FVLmDpXvcFn+VwwIK=n}gv3>Q2xrPaEUHT9SyMQ;Z_tdmQ)OM>q8_Mcl8gPZpk4)W|J0DBmS$N3NN+u4(E zscsyjH%6_(`Z8?D$pyC=KsYGngT=^O7UFL|0hkJ%&A)yuLG2s)iP{ZsV1rKj5xs5t z4i?!^$D=R3%f8cBcN+m+WK@`NBAIN|hvC-ws*EI2JvvPBvT^vV3Cx6}DnOX(rvi+(N70`g)2+b7YG{*>yN)K%Ue?&&~X?z%^W4> zGaE0V>tH+yLIAUlD9?-d2EG&S6=j9I`2%a})No-=8S+u9mOv5KTq4|0m$dz$gWMjjbeyOYcG{w&lfSYx7WX5au*=E+Q$k)SOZO71R*6{@aHZJX0ZL@ z6op$%#vKfD0dTkSU*l4{I3i0kuccn;9y0isVf~9tK=xZCEJsOhXE|Pfm=Vd;J zzAwEiQUj*G`f^Ok@=2pdpGCKPw&S|Xd{9qs?obr48d9?BlxaT}f~K*FxGEcd18k`= zHk0;%_u9~;x|*B-cr=G*3PfibGpjT0W{T_I0%HLI8 z^8QYexVhu)M@S9_qq3`Ng2uC`d2Yn*z9HkIBnS%VIgbV!tGX0spfe{j--n$S#J4Ms zwmYUngnIIi_lwj7pebsU942s8qrUfe-@kLR=)syfQLZr}de#^YZj*yLcuNYwc2(r+ z=4gSL-=cNRsmVB%qfEEGwP-88kP|s&bn<7HO}#3!%5ze5K(x{*eS7iq)J;GGGt}rM zDiV~G#c>z@(PW1JIu06Xt*0ZL!|&}&iNlsoT^BI({g43Qs>YhaK|moxxPnL-!gpB{nHqqTrH{>)z%Q&+duc*O>YK!oYM zv#_wce|tvQ3EoBJ1TmBqttuLE3m@INEi>9*8LYgz%-jndxkP)AVKp$5uo3!M;bkTJ z4H2LaGD3n^sPzHEKBdwAE5}dGlW>q9pXf&2DK!vyOOEm#oe*(Cxm<&aI%3B`laU05 zkN0@}7j-A_hanI#%)l3%$bW|w{JVFxT+rifR?eqit~~|Q?xQWPVJ<`oGceynIKp4q zy|poQM2NU>u}Dkc9-zmzP|@K!;n?^sg>ka@P{4BMEo4wi#M9-K9of&BJ?<8xNNUQi zpIJGy0cNQ)ix2=doJg}0{A_E_S1VR+njG;g(x3;JWA?|zeDgCH{y^ulSD)AAq%I_V zAvwY$@+TZOI-LA+2&=$}GQ=1suJc7xPJetWD}=%nkHB|eHX)C{lMKgr1jmzql*a); zz?V_jg#W!7`*;;}4>%dX)`bAR4V57TCBh$k8CbUxz*&FC`(K~lKYlv>mBseR?Fj6w zmIVewko(_Hj&hHmND2Pks`DL7)ze^dz*v6FG;N&J5BeWpthDK&|4_lKo!#0xTwTq( z7R_fnbg%w#|H`w=@K6=1;RN?<)8YP{DNLu&_-Nk%(EJ`V@erv21UOdOIwVXv1oaJ( z--y|~^p+S<2tpdLOFe{L;ik`e5xsiM_(6{&@)dMPLM|KwN?kd_fcEj$hb422yI&H> zZ~>w7qzp_fE_m%Re|)Pa5FA3pbSp;OtT(K0dzrv>RDW^u%(40}`XE%&fMf~yB#o%* zM~azb!H@#FG`a)&DgXW?09*s|j|6q#ONxGd4(~{!`;#@g{84Bk{39VCc3J4i>R*Y@ zk`qOQ8}}W?EFo>1RD)tt!Z&zuP>Rr)q$qEEg;KsMzH)3LA~t2uhZF~FgoBY!eHl9- z0V@rG^7j?06=UlEUV{XE%I=(0KWQxJ#y`Fi=mo1I`NwaNB{*;@RVq0=#FT`@oI>H5 zM6~p(3j4tQE1H-{DCxmE1PW1wdAD9+e(I1UHIea4pSQovR{rMYUd@X#Xg0EQhIxqa z6wZ%hf_ReF<3(g7aw^=E19jq1G+fsY5t^^Md2^)YDiQod()=KyF*89zhQEZn+5$tzv^Jgj-K?D1PSGFHh@#7B45FtD$)x-c~iT}o=o z+PMd7zHkJ~Lx7}mMlSo`^>LgC|CNzFmmNhkHCm#G>q-sgM34?SSy^d&oR)x<#FfSN zF-<&6AE7Ly^E<(r zNtrAWBor^?G2$%qMd|}rkdMFghDpY+oSJy5t%FsCw8Qo7%Y)02%O*j1xK3<0e?7Qx z&f+p9rI5GXZIm3hBa7`*(svJ3@55j(2Gp0nlNFb%jV{iIvD zdD<+zb805aW*c^uv&;$ahh){hQGNJ4Ao=I-u}gKnaw#o9$o4-a=o)=l|F z9TEcExB(R9$-4~EF~RUOpx;g(59G4#_rYl`y?-5r5@XAX_9M0+O|3$ii;qlOsISI< zq!E}%v||vdytbKH*i=a0&`#_dn-(bZ(Bq-6smj2bX&o4`QGrLH5jtrIA^aC?jmPZ& z5DB-n60)1JNZRpih^rpYr{t%M4hW;sgH3v#L3U0BnCxn_Y1W(>~F!96L>l z<2L!j@Z#SQJSYB!rJEN|F`Y`_cYtg>@9F!iQi~u-|ah3*yk^~-0GB= zmv`^+#~WYQsZ6=V!@=P2AGq6an@wLxMAAy4{z}+2p z_ZwEW?Y%1-rpm~Wppe1I(vY}>=NNEM@OrG-g)ZRzi|3!`@J9icI3=1%^RxkXbDoQ0 zRh_;pSo0^VW-2%q8|DKSN9W|{SMI&{@Ml=hH_nb4?-v3hO_SuafU*H>L=R%kH0v|!RlzP9&!LtoFB#lg@p z@c?jm5D1`MV=8p~z;~H~eF`!c*!BeiJbT#iNI@O*_$_RMqE)1Z$Rl_k`5gcHxK;uXJ}1L%3lxW8qJ`*#NFmXQFbIMq644ShdN0v?8$>XKgd`$5K@h!n1`$N>y^IpQ z*HNb2?S0?dzVF_xSUGFXIs5$f-oNrZ&))CUR1_%4n91<)@F<==ee@C! zk1!t(kKh{#J~)&5&3gmYR7iiV40Z+*0O}zL&h5Br-h|aZAw8Sc3R-;InPZ>%FG* zs6*3fr_b>1$C9z)3=YEbT=%$CkhlE!m`sBToW8%YC?+(}t;R2Gy4%dh_x&-lJy#|3 z<>y(SjewZL({dZT8vU2EMh!a$FeK{Kb%78x{6FRMkN~+h%+j#?^U8$X=%uZU*4Ea{ z%-ijk@bFec^0bSv@d%&A4`MLNOL%Xrk?thmnZXaiA@W;j?OxWY6r}@IcX#*us3=KF zJiLc8@^edFu}Ii~GI14gpTM}XJ@V%!xyK< z!=uKJQo$!@Dw^;5^AOmWe1f{rgknH>t9Rfb zNzjo0oR3?(r^L|{f%;uJ(M0!$=hn{7&NepBh%~9`9uaA(5ovx*%eyYWwmy;ECo(W&T2!UQsbd;!;aynWc2?-(k`(7I0QQ*7#TjQg{JqYN_ha_Nj{Obp5d|#7k&xe{ISn!7MBR@Yke zhT-YYD*_rur*%k7A?E9j5$?zcr+*W+)TE6a!<`wKVT0WT{Fh?1bB+4Uh24>bX#uCP z0q>w%6gYdRKCAfV-xj|(jwe>73xpaFg*Lo}?5RGS$!rWlqKey=o3z9sHi9h+j-K4$dElD7Bv&Er$h0szsJ|rZhR-m`L8v<2Y z!k~~vn8nQJv6Q}3wT-&^`uSMt#2(Re`LBwZF)=Y7v-348DRo|Z3!l*FoWBRaH!`*r zGW^33_E-ZM| zGyBB5Kc!Z4&k$KXWZ;cD3B3>!JD(vo$J4cQo_M0*!HVNYYxsOv5&&ja5YTosr z{y>f9n?|xeHesPb@UQvDZ1WKU2ptUObPr6o=IVA3u@H8u7(ZyTRx_6D6m8Buf-UOTU&m6u zRf`x>S5Mn;@%Kmg&=sJ`jJ)kU99)Dw$2D}UkE7T3TPfe*KB->+aS-?62n) zu|Z+C(RnawBm4QEUHnD}{k4qlzP_;rt0cPey6h;aY?J)C)6)>H-sJOUD#Y52=>}hI zBI4|~X%$`Oasl_xk=Qky&%!&dwzf8IBV67U26_gDgHvMpdW6h9*ZH3z>oTW9sr&2! z=x3bKYh!qVbom9wH$KI6FAc7}!=2BdMjm=)3=?fxX-Xx#w?A~(0Pr`CF`m@vBz{$IMoon{HNk~aZ_>9}W%u&x77Hh37 z^Qcq1v$?%3;p5g{EKN#|`8nyE6yyKI-f^+mW)Do$^Btd2jAg*$B!hcZfMVw0i?cuO zm2W{H51~{x=pd;6WviplQs;&L9b98Jx-}bF=JQ^Ge$;+G5b8L)B ziHl42tv6ET&?tV{+;2geJ$|px@b?lMsmZq-GOfisxh@LO|c^cw)zIC(&|0RR%4XitJWi z)+mmmL!b5q_#bc9P~(7UDvWTuoiS5Yj@me{DG22lLy19J zp;^nzr!DwJ0Ct&83MPh62kG`GK8EC{ypv)}@+jwFY(MN)LYMdFI~k&uu#S>d?V!7?Tjq2s_1=|Yl} znpz|k`&ut#vaM+FH|T)INgQRGY;E;QlNKL3FV0H4C7;od+J89gSYX+&r$3xM)4fE} zuzCIPdwA8XPu-xsy%&KdQJq0EJ1;NHYpE0Kd51nCEdopfX?BBV2{T^}r>8uOh2?o@@MGvPHFeOOGMBkQsPV0KzT4}!BR{SMDKfjj85oRuk zDss@OP@RsPVHAlzu5vfxjqM_)G#!*As9`b{vINB!HhSGC>;T3gbW=8HE2a;ceX zJ{%ooX0`^nE-P)Zu!)i=6ZvX2N9PRBrVI43Yc=;9otnC5N(dHh2V;61{qx?oj8I~+ z7*xQ^d$3_mH>Y&}s>as+f<*W=kBYZL_j&kO>2cfn1@;ch8Dkk{VjZb#`=hfFHNzYkZo5q{(Y|%v{J25fL$hOWKXr*8O#J6kB1`JlRa=F8?YV z6~R4@BvV;-$+(G32xoffV^HPdZed|&hG~l4?u?<@DJ<&i?R9sQeL(lV&{#t1#n{1* z0^=MFFgJ9jwtf`NDQI`%jKrmc=Kq>0hr`3$y@h$JcIgs}ls54j{E}IN35Gz+hLbDt!EHZt1 zLviEJWBD5n2cIh}6O36BDLi>1_h8im_mW8RW%K&N4M|DKw4R7a7l^%zE^PXg7n0-6u@! zw{X1D;eEjym$dv4Fk3s`t3vlhl2fShZ6-eUkiL(bo81c-DLO84*vRg8xp1TxjZqeij z4eGswqB|ggs(O-iXcP{M>_aUMd?fSu{x+ud@#v^S0G;pc+WxEgluVkURuOO?u{s~&%z(c>Y(MVak`|87XA+G>3Ts&6bJ0>h%Q8aR; zI=HESe?(d@;Alj<(Y3nvF6}wPLl*P2iadikNwl`7N6#RL%*0w zZLlNHMmtllT)D!s(GyZ@X;k!fD>X>nsu!Zw9N?N&;~a!B@R`*Vsbzg2b$=vii&&%B zBF&Zk(~VAxs7u6>gii`dn1#{WJ^E}r`7_d+MU}66uUc#2RtTTSWL&XtT3>%%QIUtq z!)&BIM%y3m3kGqbljgGJ9qqEGq1%4@s_&{^o;sMqr~LO#G^en^zxFD4$JH-$~Btqvojv?OY8qjBHTG9c1}5=XR}y4mW64=}xKK3SPtw!|I|Ygl|S zR^SFPsoZ0Cs|p#JLZ(xt^MmZT)l1k#`4b<9lT+r<3m%5xKgSkn{hPjUS1T*eDvz1X zCr5Rg#dit~dgV3F+N#o&KNkq&2^ok`i=_D20 zYP?l;R&OwmfkFFfcYF?pVaNrQD*D5?YsJ`rC^;y|sCi~)hDEGUJNA!F_S>uX5XI zd9pylJ=*kn=F3CIxbV!(O!ztWex0gu(oUVxR!jH^QV5N9Z-4FM<3lYiGLtu#F*LN& zEA?XQ+q9uxT>#Gi*9**IcIt4$0p>}pHr=Kn5lU74U7@k<%3P38IQMu@p0!0l?$J?Q z>jFkq`tj)K6wS}s(ebueYMock9g;iCdw!9?cPz2xoG<7(Hek*+iavb! z5MsFl;%c71)S=o=enALa5Hc%+xr=Mqv1nnyowiW17#eC8`_l1V+ulNsR_Zp7_BLb8 z(z)f|vIoHU{eyDf_82~{s;X){IcqGh$Xo5uSTDBV-lTWoC1 zeoNp*mz z*@v3N)!F;!KO20Bw+m@M!^tRr2Ar&PRaI7X#Vb|rU$ZV6s-(&8k=(UsSIN%c5~wJ9 z^_9$Hhx=@NbK2|AB%F2P(o3SmTw~5eDc(!)gVo;2$>e4%mAE3E9R&YoRooQThq(76 z-O$=QwTZAHK9PhFxf4ynx8-8#+K1wHsNn{p$LeX@6->e+-=>zfoP2aKL>$GBLCO4Q z!~f5AuZmS)<|nC^Qpe^uS6-M{S*;YS;IPDR$g_wWIw&pn_4yevZ~Lp9n~1l3BqdQ^ zZusO)ssIe_Ncw5r+%MPfJ78%vwWi#G-_u96&8i7j(#fV}yyPH^s(dnE+Z$VW?4Sg{1D$a$!f9Sy?Gk1C=;gI_;sR+K-X=c?Qy3^KM|OTiWIC zad*z&PlH8Ai$7!ID3&!c_i*4pJA)#)VtsG9DUgNyT((4n*qSD>%!=d*|JfW^i|;&W zQv239BOr8M&BVkMpPX#_5pQSapn3IqI*CEY@cahiQCW%R&R*9A~bH z`|z4-H@(ccMNV!;VyDiJ0s&wZ3^&M1Z)g)^RU6xMthi_4(k*sr7LeIHOjmEeg~z%9;EL&w(bCo!UcD?AF|k#-hI8STh%`` z!5t$}sv@9i_5mKmR(V;LpljAF>ohF#pq#=Ms>+VR1RwQF*? zQ%MEpVH5%Ekuz$&+I68hV{Q++bfqXh*%uv^>c3FznlFFdiSQRJrLoduiZKpB;`hY^ z>%CgKS@1gU^Stl%TTLyNBa`W7{qn}8&LkHlMd87#(@ut)H=}Fxf4R<0LcF%1_D#^Z zu@R84y0eILMt5{f-=tvqMST}kwc^P(69AU{*7)SflN8wc8kAHpwa<389aJs=^6($qawh@0dg||z4=XUtX=Uk z#(nY33WPFI_W?*x1-z{9DK(BO^h=Psv#z1i7}FsF0m)rh=DZBekj&}K~%Ps?cDQ-SL!<8EjzkMsoV=DYH ze{|K};?8!)Cbm>KaNA{&U9fK9aT+^>6)9s{K&&_G{!_H?13=puXGh;v(iI zj2sx%7e26zu@oNCo>iyWZd`qo{YZ)EL5bLxF^wjU(uxW?mGsE^k>rZ00ZCXE*PxuS zV_lAI55nJHdL3@V9YcdJ4&sgmcWb~;?CE}iz>_D!@pem`ub?3+Tgp-X#fh336~t6} zaS^g=cbDu{882%J$3Kv1EXHApsvf!6~8v= z?BCvY05!6e%=uI*4!uHqUrcQW+1OZJeb~qDUyY-8UyX6F%V+s1Qd~OGoyZ^nw_Vzr zszO$uWDy9TkP05&$F2VaknO2@He%!|Y4-e*=PW5lzbp$3qzD3tlZEb-PHh0*X=`cu zpHCs5pah224p%6RrqZ+V>9=QWkHuA?JS`!IzQ`^(@&bYhxjZ1tW|)?z&K;BPDtAKS ztu~EtdGAaC=0E*xjSlaV;Do9b$b$UHnbvNbja?1+6D7J z*toab{0&{(pwD{aFB@fM|Y%MX$qzan&91vNIBG;MfxG(Q0^C{-EL2tE9oeVH_t^ zp0db@-@q_@k&_^ zhKf($;LbOS9^>+y;P3-COUt(Mre>u#G3$@&mv^w+E1-;IH>{y~Vaid=I=`!;@}%G@ zyX&RdTm~ap+OeE2D$L(;__zx3l0Q~kTl<>x#}EglLpkL`-nkgP@YfUy765Avewg5X z?U>YVYhW7WtFLM2@`@15*puWP*b2bedHnpCqdt7V99K1d!VCS`)p*iM9;2EN@~DTx}0~zB2|7?ur$VgiAfY1{lx_M|lB9<1WBx5KgbM zoP`K$(i&IW8P@-1h|_Gm`0g*SmXqFe4#Xlrho$ zYAKSaV5#=zr$WI^^Q)i1D2u2d-PLY9)3i|PB4&qCyBHr8$%R__`_B?vd<=o^o!R1^ z;X~318PUcjX&!h}EDQqv=shlWgHtD0S66rUBQBZKXZDoj*(P4J3Z=xPy8tv89**y% zD5d6;Fo*4aM~T;CC$d*deYOhXv;J^y z>CBvF^~f?xv@ZA&U*d53HsY=(ho6rzTm8k$&(C&jdRaj@9zB7?ZjL!N9-S;kNixfS z!DIjaw!QHgk#-S3$fdFK89Wuy5&VYrI_GDTdiUHqGKTJ*EBua<8OKRA;94t+>OrA9 zQ{Qt@Kc@C+IfBO(cGQ1d0I6W!4qkq+rUhbR@*?<=laU}un+`bpcnwr%iRmqxvJ8c>CN ze4`ZKbFR>RZQ8EvA9!G=*Vqxn8B>s-uTdxI{;nZilyIpFH)QM_mBaiQf28t3vVeDw`MYFkufWG|ca zH;K^+)*7V**Ww`N!nwgo-ql|^L3MapHwWK_My>>SY&|}1>N1*PpxRs#yiNy_gB`@V z;c7*ajU|)YSsY#v(g2avw^CK9NG$zGqGX2@9VlkIY9d>99{Zb2+{tF`4eKA!=>{xW zr3dRKJN0}I%D1}YOaO`|;SxVe0<0uoqFbFM<^aA#>a~n7s)s3BVgje!PLDM zHakbj+|g}z|3PD%nF-t2s-l{jz4twA(ur|WgUfjAod1(NSxZf2iNBbsLiOqCX=g^` zZl{xj*7?IFms8+6pU=cPOpWUZ*vGg;*U)?5|41eS^Vv~MQW)emp@h~COcWmR9!lK5 z?_QAEWh^l>cXMF!cnM;8FO^*e9jSE3+4@GJPa(A_?C|3==&) z7iHtT*viUE#?Hq9GcNTU=mDO5`(81jm#HIh?BuCUs1)D#XbvaG*ROuj2Cc=%x14?Z zi%wg4G3K+l&vmbEhZmmmgsoD1WBxdsU!1VA`-pqi#+eDIX1#}1$dv2IYx1{ z-(=&4-}7|6XLO3$?v31c*ZL*Ss9Ou%KK-#-QukxN>Dsa~v#9o7vNVaa67*WmJ>`(5 zZjQ1FTeIwzNNSS9iA}r38lKFTYY{D0LYQsO#yo@1p3crC4j9AQTW;F`1c4k^UG?h^ z!z>v2?6u+++5Nr8&2B_pujTL;^qmi3@ZUeG-f&9Ctl-e8X4AZPrX;I;!&^;%eTZ{% z$FwMDCnR9*i^oT>UD5rBC&{P39o~9=wJKZwv7CXZ=;&g-9^kUxPY1LXi0B?0gyOA! z0Sp{PY#b6qEdXE=oD0P(EgNB%jDU;o>_O&$glhWXlm}jpx?gOrSHUNiF%Wsvc z*m#>F?z#*dO-zp^<#{BfZ=;f`s&=-+SH;_0lh?x#e8Lwr7b2OM%xYupiTaZA@+Q2Z zq5^Jj(cRi-xw8D^Ci|v#YPWpZ15!c>kpH&XjT{FECH7#~r+5(9HPutG+3M;5{hsY! zhY}s~hTB6QC3tQfeE4t_78>ZAcTE}*AQM$kP>_oL!&PB)N`;$eXhbi`Xl#=N;{r|@ z1R9UeHr#jUOVi1YpRc|#M8Il%YC{NDO@3ZKDOC$&i(yrycbUVw?l#ecYCl;aUNMe^ z(Ix!&9U2((fPJ0OZ&pfFl0j0SZev%_5K{fxOZhkZq{hIJT275=}KzAc|q0s zG1?0Zpie=DNhxt89lP;X0uRo%9;a9vt*Sn9lwf#$m|>OjVf_AzIrq^YbycJQeSqhw z%TWaK+|a|s1W~xN|9FaprN1c-K(p=wQ}5i z9p{FA|9;9HqnOQ=D~3 zmGeDVVBrE4WsUoY3g1jsO%0Pu;VR4PdB_9L?RPJ8%H#VOB2am%(Vsiax!qq2$40oy z^ooybrriN`>&?qr8tYI{qpxe;ndYI7h;ez()y}T}+>G~tMUmarl!GJ?nG|0U@W5%Q zueMI$D>4MGtM|w=03Y36H5)#zoRKu_g^|Qk+fiSN&snJRI&^k@`D1T0 zTXk9GR~1zeJ0^KkDvDQ2DV8vR{dZL*y)R#i1Kie(*IASM);ePK+1$r)!=<;q;f?yu zCZxss{J88f!-!No9^EP5SP~YNjngN#k=np%hAB6Ads)>qG|ELR_by+bnw-={xR?3v z>_9f-ZJ$TXK*!{eob_d=N#$#C{N%K9#z(U&fnu_@jf|7e?=2ILf_Y%Bo|`e$68Svm znicuWNBHk&)gukh(9LQk$zh2@BTGCg$r5ymH8QnaH1F2Un&ytTh%=r59Qm!p7 zKE4L183OM`a<|Af8q`h2kokKpg=dVh#Q6PL*sPh9!$(gqPN%rP@bHw^m6U1hZ{Et{ zAysR<1~8&n0i!|(8P?uzAa0mX_C&& zP3@CnreW>`*}|`&7vCJKKH|x{T91|TliKhRo-1TqQR*8mEcfWioHWZVp=A89r@QU7 zQ-d;?wS$TP^k!LKp%Jc|q`bLJFCjr$r zFx*qInzzJ|$D?eou9EFCH{rVSvOp!VXk!G>8+R|=Agpw^rx+-#Wt{+?3n1rOsBKlQ z=nfPzpz#<+i%(qp<@Co^ffx&gO-7I$W7o<7h4#I?Huz+Ua*aMV?@Axsh!}B##Vml4 zr2XK|W;{J|>$wGVv&@y4SDlS}|76j1Bs9>`u>Pd2H6jKQ_w*JPR4nUW1B&?QqatJ_ zS!?m(tDB!weEA!$ot*({G#WVD9u>x^BB!0%_4V*e!I-YV=Kxeu>s-C9mr+nKgBY?V zmZQdJ@deW>)pxt5li+L@$Kxy4r7b2QLipHI|MDyA!O^iDw(*DbWT@f7c4;F-MS}ql z0{uGo?}~>GuRU*x+u9`_a#kD>QS|ucXXZ-Z-`8nC%?2Fy!UMOeODy>JGOy9({0MYuEu1 zL`>`;N~Q1n(3)k2v2|~FAjssqvAg>-Cu7d@e@z$?i%roh0lLh4_yr{q#wFB||A{=eApxZijn>)8jft z?*pj<1%*UKD^WOPuYc=IGHWaIE~krY-@AspPj6;q`=;n+Uq$-eT+|H%6K zoz-Nax#Yw||48Ma;S!<{Hw%l_fz2YAbkSSxFtYRuGPLXeXxqHZxxKzH z{{Pi8bE({-AtnAxt{8>*^y$+Dyov_nF!J(+be3(8(97T71O|h-xrv}T(E~!@m++tR zl+9~7b4p7nfGXNPe=bC8yc87!@v^sZ_VgTU{!37N^ES{R{$_YNqV$8yfBhyS6)y-xO(2ho1VUoLYvjZqZbx^3Oa_75=&Ev1d;@g7)O)vz3ka)g zYSvDG?d5+(H(mZ4Q9o}?>07z|kQ*c;rgr(I#&+B>x#v?Z-oCzd^>t->lVmuac+vgXBW=wzb^oJ%>KF;UI>G9HEL2bLQ2jV(3xRX`1XCI))feQlC zultu);lLV)1hh9ZZlFM49PU;HQ>SS@ zbp`F6OIvEwg`8g(oz|13P`}2g z<2sTbUY(3Xpt%q9%{@Fl!F@C*!Vs+>PU}i9>Z*0Dus*}S9{Vsk(qLl!MeN`dn8((} z>OlV2?Gmo+`5>H()O|V?d6bf#ip4n(qp-BIjwO;3oQZ*bR91uo6S|`np2m< z2v-Z+oFfA+z-!l)l+~xHocakZR+}Ip52gY&kCWHpZ@`UkHg)cS*VOf2`PiRcCpmu( z-+tP)BO@i{I&KtFKTkl-dhQ#KXB!YYqDzd~`gwD#_ISR6%raoI#Ee?f)l>4p4)^0)YIqpg{lfuA0~@)*K*RDO~p*P!}i z*3g=OmbOTkeM0xrWsXZ)u5+NEg!hQ?oNf9Fj;F~7&8lX-B$mE^nng@Ef#x8jRKbUQ zc>0Fxm@OlUVm7oPwClqcE8T)iQ_Pcy9YNx=^pMblV5MPT0lxXE2rveeWf z0$ZJtn|{6S{WvUwuUo~2QCX@xYBlR{uP#>c_HD+&$q)!sJYGG7e<_qL`i8FBooU;X zgq)mv9pqUU(o#!c7YKm^7-X7ZR0OggnI_f?U6eY0A zPHwie!FRy?$@l;fnJ>oxmd2hAy1C%?azX&MPn<1qP4}ghsqI&@?)MaY8n$A|fDm3R zcK}n3=T}pypi)C;t|{^Ms=MjV*S2pn9Fp@wa@ir*28J6-K4N}mo8H^^(5}|c8lqJu z>MFD9!vM^0^hyCJzUi1_;J)I&j99WlzRzsR*g)?o;<8w0syU|u>aCK`Pr6RwUHiXR zSN~$d^p(tdhbWVWN9iMO9ENmGO~osT#wZ?Hm^)hQw8R7P$~M`AN}K@wj@bLxe4C|` z!ooCGQgI(XxK}}eUFwcw6f+6LI!KdGio#KRGJe5ZTlo8rx*2w6mUJV^^#8<${EYS0 zYe`L!puI;1k3xcJ3%t)=MT0#0hVkW&;hYmI>npA#@&1CEb7mGF$S%n#l=pbKP|*ATG(k+%j`02r8?p zTHHO>K1Pkop3V;gE+<`tEFkN^5WbO5(ll~&cuP%~pnkAosZT6{pobdF zTW2-`c%ELi7A2r4!^M+2JgzDeWykp;R=e37iru@frU_NP6XT$+^}3Iz@{8pfbM3fXJQG^@tX?U`K9!{RcG^2F5gxWIEi)x%R|!baY)*hBScO zap5Ut1vKMa)U-R#p&5{Nw_QZSa%es3Uf+^oK1ns6k4Ug^DaWK|m^cEdM)-??)Q<_9@@SEo zkt?@?Q^HOIz&kN_{bAuM)&on0lyMWYvypKnOc>60k8b!RdeP#iDLa34^GKI9pc3uH zw6DcGYJ~yny?%a!f{+eBYV1{cc{wA^94Jp&y<6HXSKgyGV*8C8p}lDu-0nO03|54X zUGxF@+hP;Q@#gX79Li?Xs0W87}(vVO*OBVKCO-0r!h z3VVOd3+Ka0Lwr$)%}E27;3nB?312BWo9sk={r#IO=P;y!LX|Yz>%SYQ1z37@naYsP z(SCJd!Sq&|agF8n1(788!JxDO@v%ozS|SL-fIEjv{tkU$ zW7foR^ijUwGfG9(3MIo@n8NO}yD~&(4t0Jg9oyzOv&$KwY4z*YLbMI4!xnwonNl=08zIO&O zF~}-^o0kE60XkI+ADSQ_8+SA_lad-R?h17ZmpVG6VnM_Nev`XiP-W#lWN)_I1j6PB zvZ!F@D@AQVx#s%ux!zf38J#$9+T*^ZJl}`f-cNmX(y#DYhkYTg44{bRiV=}8wNuTW z<uMA$ji{49T0s~{Pc57=32;|OP z=Q@V(HheTZe$0%ptQx>O6W!VtL3|SZ`V^L_Yh>#k8@5`&ikL*bDb%TF-_sE|G)0L8 zaF1pn0G`*wpK))Q$#qGuGk4HvR043Ok%~)XdK*~Vdh_YEjRZjqRjaY zP-5oN=Z9L)Lu{-VdUpHlKXMWd7J^)TONNR^T+lQ`9(v4CycQZbASni^zPzd3gpbnQ=5`~7&tqdvBZr8(aAd2^xYsFyz``jo+=>BHEbvjo;F%v|=P4OT7oT*@R6Scvae2}Z<86>MXfUAlIG@`}h(@1!o& zF9E}K`7K%CAwTh?B3lB5#yR`YW0?%e{BuT(!Fmcm^A(9r#mvtpDlIq4<4$4Nv!gya zblF#`^3hYf*Q^HGzi+mze+#m(wcTj@umL1J#LG?8SNV950-;-k{mm%Pk9uT*t*bqZ zpBEQLSiW|c3okGk{EF*ynvLmNYT=|ECF=T9t!V;>V(pg?&cx(RKrl#C+nuJGWa!O+ zNwd3F%r5DPu?lf~bVv@LC(PTV*Wqz9Tx#+sdZ*Zj$ZPlj_l?l@`4K*1_f2ucZl1b< z*6dI(q*02O5bk>KSJ(QxiQTgAQ&7buU zvOonu?$k5|o_zu-4Vdf4o;sjMlYU%ofT$YyT@(M#>~YC<$UV1NbPsj2aR3um_kG^3 zpfX~>dp7tXl(#AB{rg)jr_m5q)$c5`N~9DEV2KGdCpOlxMpW*c^*tac;8T}t*Ek8; z?#A0yCN~KC@{0JLv(pS_Z855+%WG%kRVA^g+>#u?P;7*1glS&Iw5}V4U@d-ibuPIa z&h)@-Tc#(@d!7L|_`$)tx*Kkkc8^&l6X@>Y^0z>azS7A=KrcRcJ=da?VyxB2&8PO*PcHk`;ROdKHabQX!Nid&qED>qZ|&uDBhrM# zazIEDOLyJ$YJ$^G(R$@?Vf}AAEk}XycVIULl-S|E&%2=3V5KiXqVHwiOPm*4gD}D$ zuUSzR?+4zb#in+cr_1o2N1H#ro@OL09)IPnz zsPXk|ZK*WU=b}=)D;;`ugyXy07_ZbaEm}$TGQ;!2+&zJIXThp$)e1h4cOFMgvZZ5) zptDk24f^m!iIR{SKa~GcN~#gmX@3x?3u%nC>Bvyb2+qTOF4r0lxt~CkFj2OW`%HM* zf!*1^(yVYPY-D(-2JmaiTAsEd^w%a!>gvwHL`u@m;^Jge$z~P8<8ZQm;PZR7n&T4X zWZX!`%0-1_Hg*DH$kmw$ma(FuBBcGe?CHS0KDd}SP+2}`>!m$~&Fb`TKhC$r&1*ND zJ$abiPuW&Kb5Byzs+|Pf5c)t=vMRtFEUt+p9KQ$3o%T&bNTtEA*@pEIRhd(0ZT1DJ zxIIlv5u1Kmi;UJzxVTqpX(d`?H29%4a$PY$G;p5THd77Uj6_LgnNsNuNb+wtrI3b7 z^tLqhH(b;QCH8ZpqoWm>#w8dV<5QTS*Q((!vL%P(ySBDAL%|PM!<^jhp_A!1fb#Rx zQ>ZCewm#QsE)0~I06yrS6PIo%hd3@+{0>x=!n9D&WSBj>O;%Z7WOhRS3kH2=E1MVc zh(XRQNs@^5U1XC#$g)gTdVs>%`C<}Wic#W36;aao#M2lX1^>~iX7H>B6da(gH|WvR zIQRueQ1P`?e{~~{g3;)TNnJ%rGFZd))sYa-Jwca)^X!b=$!>zHxR2)DFQ9?IU|K)o zWmJjh`mL7Cpj}CC08Y;btS1!4N4#=r1(lJlp-#<4C=B+I$ zDTM6NMYP5Z@!H-zyB=_pxzoHfhyQRt!dEP48qT5va<_OpQPZK`+-dXP3F5!j;2(nA z(bkjbee?zL_B_%M748DWfgDNf)q0D8Z9zrEhj6m(ZLGzQm%`#~IOTN*Lf)yX-=Fn( z93694Nd88K!P}1A(Dw4RaS0M;wxhC@wWa&RLo#+3$@QZfp9H_-e~X;|hUsJB*w@S! zyB2|3y!Eiw{_kj0fSLM8EKgOspI31~>U(;z2hsOtWn~dn*7sVSJlSg}Pc80Q?C-QJ z8#wS^+tHL*CKDP+tivY>JQOEhJ~#ypuEi28$!LLTn%dU z9jw~4(V@Qc2WE;dyUq~bRK(g62JZ@KhcU6TRy-hoLk<1bt;0AD!-hr`9@<7%^?SxA zNE`r4xW`=h_0=*%A(W%FYnUa}#B4cQF6|qC3k&|5;g-b9X|NOkI=1qKdOWDh@%>u| z@r)>pcmy?xyqRPD_8=$^8reuAKYZxvmBNDN)Wx$FsmDbflzuq!&^DY26Jx)-+}C2v zCY7AB&04Fn6u6}Kfp}nZJI-n#qEh&bP5(rX)(|eyR>wvb=mfF1%f|tL07Okm7NVBA zR#-PwT3TABad-9b@b~X9mq*!ka4G^oBUa)z{eW=PB!V&Ame*SH=RnuWc|3vk;+BF! z*(K>D=456Z&8(GsrffWKuL#LwPX)WC5cRvY^678ou0zy8-U6nA+%JC@eh8Qu>oAQj@5!j-*?$>HAu(fLLA_+A`&n zB87TnP-JPpuyas*UH@LK$efQZ5jJrQ;Bxo0swzL4>$P@E75>X!{Xqcs7;*A! z4yL=Z*ji>T%(U<-^G4>&yI;O7Hhff^=k_GzcAwynl@H$ileUPU>4x`%N{MA-W27u2 zZ|zKWR?kQ^dnjgSBvU=bnySroy4tYe7)~#Et0~gTzjo5+LLrv+T>X{G-E!*?xn}QM zg!nI{hT+eO(m-khs=#iAx4&$>n@&ll>i-ix4tf8|oo zZfm2dldL=KLC1=+6N9}If^X(~oHF6zSx1Wp0tEm6?Y0;X^Vrtg5&_WyEp2}#ns`+6 z@?wjKe-_m5-qBPeu|r=)-EChXyEuJ8?l3)s2Z6mK{@Mux@|cx{vbMBz!MfB)Iu!*j z{vS4(0B!%j|1?$kWve?Lg6$Q|%U%(GS+x9Xql=Dr8E=35Lkf`_5KXy*Jt<^b_72)u z9HGwtor2=Xo%77J0$j?X{Kd8u&?gt~x{QKWLp1-Upj03i+gSWfSg8?(mb^6}4Fs&e zKUlIG6PmFO_ICi{)qnS+zuq5m^P*$^AMZZWpBoB!ivSS*yONT$lNS-K3)-#epTTxO z)K6JB1QV4rRVZ4cYAZeT!0Wxa+48^Je&x+2GxvTh(EPXTXE?-mtpfs4?z%l#5j!Fa zddR2me{tQLd1DjC>xBUA1ItH+-CqM`G`9MJCE^BU%+%BrW$zmXesSp(#mt{O?a_;X z^Qj2X%Q0KRRVil9%or$;8#kH@$1}39u(Nyeu~NT#WGWn+r}15X7Mtr80Q{*hl0Ujb zqwZm=>3^y1t)r^^+OAQ$r8@;Aq&uZkKw7#4X(cxxEedRq77&mY0g)1rj=iO&k&^C` z7B&t0Tl}8qeZDi!IAfgip7DPC;Xu9bz1MxOx~@6rHJ9h_D>=pc#Qkf}_d#JRz>D{& z#>mJ(_z5H|K;uB$qHydHwYwdicmrUaPu4VlOm2cp47tenf1b+pZ|18re=?R5EPoh0 zKTnYTes6?GzoE_damQmSJhN?f-;Mo2Xk&|#%5s)!*SW4q!zAsf)x zF3$w~UW|m{8FvFN&THv4L~+un<2p2)i>K!Sbds*F{mXk#h>C*qt=|LH77T#>iiQn9$uM=?`vCsc z z@jq4UKt+Euze z_f3h4RxO7CM;oBt{7@;sMrJ5|hDlwkJh{YB-D#fiINt@NJIh`(MUly0l3xx~yDiUm zOJD8*;kNec)W!ZVh^{*1$vpABL38$GpSgfslxC4{-;B;5Y&D#eVUKw-d&>eqsg`hF z1JN;!`56GmApBGu_VQ`SlD!xo+s~-u8Qo$xb3CXP4XRfra{q%HMxO52yRxg0GvW3T0+z*WIO9ejfd)GxiLx&|hAV z+36%eV5{zUVBFQ!R+LE)&hMxp*s&uI+)|Ch<)wnw@pyy1g05pGo?^e7%!;jo&4mFg z0pj0uqnaO{0vtoywH`mB{aNWRHqvM;>`IwHBPb%iRt)C^#QYJQ*K^06_)+hLDh1^W87)^AAC)k3`7HnOK@So3z2QR>{aX&T`n=1_J9GoK9=BEJ=_b)hOfngko7HFvJkC>JtelkfA4eHqsD%tdZv0_ zSNF%}NV(i(vabwkUZ*pGuEA$!JN37w15#df`9I(fH40T{;;-w?%7=}{YiA-@AFa;p zoz7CPbJk1`8KKYAjtT!HtD`(N4ePZb^s@_Hs@3re9*}H)R#~JMNJM~gt`@5 zE+@Fu;VI)hPr=~C7HYcnRxmH0e3F0c+icm~Km!$F$k+UTaoL z;h7Vz8Dej*!jxj4*k9h$aPYL}XmUPtvu3b-mZ3(wn2a>FmkG&^%cx`p@O2$seeJwbF#?)11k%}vmX+0Ki}KylxcKUSoc%*kuajS%;jPu~)?xnv%8&FFL->wz6SsS1Mr9clX<~{pDAQ2z#QC;ot zizAP6k%;Sy{chFKg-%VDp>L{_GnOCZrMf%fn-KUs_r?^cBvBWJ!ZspE0ZhN~<}^r| zwkiFFiXlSok|j-e`UQR8`rm~G_){6Lot^pAtZZ!#k;U4CXevb;)$rk%Tkkq1%a;T- zf8?tUS7Kk8ceV+P7m}f+$pC}E&J>NpOMZb;ON;Nbd(if0NENjRpcABrj#!r%$|_f! z2dFnN&k=^#n(Z0f*qzT46k?9O5WBRVFM1nq9yMJ6c|2P_sZ?*hqFKy3bZk_*zF#i@ zC{_jxW{+vIJbHEdq-3$HvJ{C@!^_P?VqwMJ@n{<8*}B)(V!}f7!4TEn0=D83(ID?V zMg4XPDcdxWwdAoeGMzEimVTA+y*h?jQLtphX<<&@3kDdHTCURPn9v*Zo8GQQ3p49l;dLx@h!) zz;?2czxshz>BKt%cVjv%D+`Eb>?39WbWdsN((s1c&nMpnB7fHBdmeJ+_N zYd!#}6~>n(K}-9Qtnb`}D-c3B_nXtD5|c9E_=YOuyIJ}9Y*en=JuIngcAf{v;yr4} z1LSci@_H;3R0h50Vrgn^wbP|yFVnv`Y_ssC?{Z?%y2k5fQqd*byg zL+g}DmT7-NQQFPTje)Vv*Je{uOjWLSzFKL9y4I{*PgYB5XMD%UygVL46nlj8;^dyO z8p(dC*!Yu?A3yp(Te;|+WmBOQ7nuK&QLdEs%fjV=-%5IL$q^)z%er5tdHItEDl82) zl3z;QKq-$S-VjU7XATz!$Xo}60(UIO8i1fh?QL{qBm;R%0;%vSM5ZU`l{wZ?QOFKm zPk&^f^IFdq3R9n}YegqUX1BuDFl6N6CVaN0rii*}e;7X%B~4)suQlLiHIGR>i51H1 zp8iNmQfqtiJuZ#xckKav>_&_IICSx2AR}kd zq?+7eV&MF+#5eVB&dGBGHzWVxq&_z^KtF(X9~ylyiU;gkHsOw$<~83`w8XI^Z*zI` z(-fXRPOpm8VHS9}4Uu||NS#Q%L_8QCJx~X%Oj^Kgka)F{9cF(}7PBDxrIqj8p=r?a z5T)cK5KLiPejAxj-yHOLt%b0@m&8w=(yNNI=3D9NTW3!ssapkQBQYg)2uguI=DK}( z5ELB9Yprj0&a4%AC7)GzX!LEMO@a-ZZZHzPt3Aem@xt@;IA1PvX6lkds~o=jjh*$jBs|a&a&6`p&&Ow^W4z*U5v_1Z1VhK z`yToNL~CsOdnEM?*t2rRM3o4G^tssnQle8t+KWY$@@UT++n<=8C6K zy#Fm->|GblInDS7daK-?iLRBj=y7Wb0?HMQgtk7zh-dy;J?Tp}pYZOkWOS?<yU)!~8Fn>RuqPpcFm93ro1Frn1QZ`=iq_9wAs|=4rK5IoMU#YB(TeHy1D7r-iEUh^&-3GPS zeTmV+Mw=redJiOnZZvDA>2F$Z!8UobnGGx_AyV1BfxMXjmzJ}GGHR6@(b;P_W*Kxf z{g@`_D|I}IL{)Z#HVZ75qzMpRemAM(fB&OqsR~+6Mw3utI#+pT6)H?H(?8 zG$6n-`aq|qCL9Z(xB zv>p34ffz@t*pJ$jlwI2^h7Qec{FwoxLlA1S$+cI5*=D{<&Ou1B`jyb*{AKV+`(2u^ zkDA-R*?b&yDE!OpKzQvE=-5eAayXTnq7iz2+Pv*HjPI9Dh^P5r2m^)@cskE^ej|6< zwEnD&fPQ>^-M-c=j=pSm;o?$CkkI4R*fu1~^RsrbL1_t>B=_`zr&wlxIaKqZ!!^Dl z$8W6{A11H7BrSwI@$8|q0Cfnq4iWF%G;9#)=y7BAZQO7e7mEa*v>hkptfih{(j}LZ z>Xlk5%U&5WI!J(tz5=;aA|wdT9ve&U{qUqvUq?g7?$mf_i0d?wx|Av~(6f!#%h^CD zPIYx}yX5V_FTDE7jwx7YmIOn!Z9`Jztlhb?bfwXioXoat%1_KyC9LwVfRdl>LRx>O`6xn8k&p=HLPdoLXdQhqXN5(1$-P~Q)obBn zYOC`UFFUp7T>$Q?s6|su!Ps{AekJR`dweD-(A=gmwiTS{3X^N0YdmA!n(2nGpMpzc zeYq%ZANkx|CC(nQH&CL7%P;xjSS0My#@oHIel^(pqmWoG9L@}Ol@(~c8@bk6FxX8W zRpl5g3x)a|{;DHB+{!grU)_XPfC`5fr^nQRTLgKdPA&XtwK&P21qgVa;KbsguFP9_c7z2pN`or?;pQCPW{TWjqEjQ9c3(t`}Tw1a(9?Q5khl&T^ zT&9U{7=dmZBbAC;rCX>BvGu9Gn&*prN{l_q+oDP?cl zF@=7%>U1#FT7;af5-lQ&2L29Md&UHWnUmpYGFKm-T>Wi6Y7JB;1YLZ^lQyM9D}x=$ zIFPJ=2+_&~EaW@l=m@y4G(?<37-hkwR$E60B2paq_UUCPUqzoWHa2iGK^8w{IXc)a z^D@%2@sBmOSYZ}O{>ig|$%oNi9Ud+_+ZW-s(xCQAv3IxT{FY~-tyP&}&1-MP*4vf) z2ZUrOZdt7N6KpIwnX1aqhe^9PV{_tbUFK`Ea>{^HqS~S-qMPasR*H5n$zv{pn2$KA ztCi2c(T)ARve(?b$t_@OZeeRN%f0lCJ}76yK*J3T40zu-Ss)h>AQYxE8Ml%qv)KAI z_hXhr&BdQ3n-PY0Dp3z>$NQg?1&V(cr;7_{wJNpbM3oZE*9i>@`rdpssB~tPeS6_D z2tvwpgbJT=FO@3)SNe)R2|5CyMA_j{u2iC*eD1iFrz3VJI}xO6-T?#=@+O~%>PEJM z+L{B4$7tNrk~6p_kLUZ>{r=c;@CDgMSm*Cd&(}!MAKx07?kh))SmQoa3{Tgx)d3Z& z*f~R;<8GFFCX+Ay)O^g^El&_T)^+uMZf6=w*n$?3IiCmIGb63VGhxsekz3Zx?phs0 z!hYduOK7Bam$)C+i=Oe42EK){hm^3Eu|6>HhTO04!oIzbJiMQ+?Z@=e%9oEr(!yhN zUTJuuc(sA(RXy3*P404UWm$!G6aH z-QpXa^Pfvf+V{&h*y8zJ9pctjFWKBX-4!Lj1$O!PWw=7Z?GFC_JZ9w*(<#^wl;I|C7h6$s)t30t#IUdD)gbC0bNK$>_SgTd-~Rtm7;yc|7xes1FxWwFH5@PE4Za$_ivn*H<@g&jU3`}xk}rRF zM#yuZHh6r$Z$|vjzYp9W`;YN9rJn7Wf{wN}{gV&6wPrrcP72^MaGwHi@1r_^-2V^! z5Nw4$Kq!V@uQK6Byg|pq-vIvm*x1L}rt(i-RNyNdxx!;O`GfZPM=S>J{(zzyHTUAKX&` zGG;VDYV>-s$=|u|hs#sSp&FGw{nzgwSWp?6ZZ0>@Ij{Ntb=e9B9GIaTGA;Z4=L+AS zfGfL?QO)x&Gk)g8b&Y>~^as+0>CsfRUW)_Y`?l6&L^eHjNT_$v+84AAQ&ZEQo8aUH zUwfbRYH!n0%W;?5{{DW9MV3?zu$Td8>DsSg6sHndV&%71%#y7QjZ`l$FKkCv7P=wz zoIZ~1FP}LSjJnk`lzIkc4Mu8K&f<|Z^R;Jgcr|9m9F^&hPTt25Y6cB@E*3Eq%vaBN z>u!5sQaR;HMK$O!_Ctq@v<2i_mX@s-8g9Wdkb6Yd$oGa*z!+e$&DFjpmnz2Eh>K|< zCr9cwxkX!Ph$wD$b5?O|?%J<(qN!=pg$g#|LTIxB0&@_)%Eu^xC01(n*CTf-9L_OQINV664G4yLF{g@BQ{_lFSr8p0@d$9g6rA3w8 zgZ+h&*YA6K=CZY@`QT=I?V=KXWw;NjFkU5tr2qLJ{gUxDvZcM@-owUH3e|mb%6$%a zCVhj6;s7(xsQn1h~x0vj?|R$@&jPzMyBSkDX|}UTx#M zZ28-*s<%qG2?E7x+;5xnufUdO@pf2DTr`=u_wQYTOH-xCqkV>rliR!vF;j~DgnYC> z%-Gf&Co(Gj01j2$DA=iDeJFnjN?963bfn3K(pJO-$>yGC zMn>*ULCs|*llan@1_4zxx z%OBob5SP54_zayRPw2;zi1eQMon=<4N^m#C``DJm1on<-?3ss{4~d(x9FZlcZrxmQb#JO`Yv$TOg13%KP_=*}gPH zN+5EX|AtAC2$mV`K%{P9948FW^x*MOmMytOOB}89RR`OCh>}JUI&>14;KMkknTsS0 zWOjr-G;^g5vc?$seDPVrxQbgzrSfr6)HS0S#ebh<7KUx z##{ES$0g&%lTq{%1}m{)n`AEsd)246A-m1Oenlpk_m~s6IY4(k4Db#j*KTA} z3_0jOV8KQQRNKlF>N{bQ?^kVvA^LwWf}G*+-{+5Zq?(rmai%T$gaV{Qp>lnvT$y~E z!6eGO+=%<{Z+1~uks*tbkMs98btN8a;bnWC;-;YV01r8@CDto!AEBC~`2=;2E` zx-Jhq=cusoKx4tLmzsR_IlW_gr%; zkkaAZ9+uF_#owH&RhS z$0$hOB=ywI!kBIZ71q2n5|?Xx%jT1n$b)Gq5=Nt65a~K#g;2EIUt$Ka?Caj?7%ULEy_5U{cFJ+JAtr3kLON8pzuG4b#~?vt&P&I%jcV?genxmtZD zIxCd5J(`5$#UcMFclY`17M+T6nDX9V=qR3M0r`jtx$6ruEhez?>H+WpIBQy zs(n^#P69)cp-Xv(3%qxY?OJYQ;fhUcI|NBHoC{R0nwvLyl`#$JM% z-<;hoZ~h<>a%^LV0brNKFtG1VcmCP%9etN5iO6y(dv_5782(9ijE;5RmZO*r?Fg{q zg}_WWEE{BY$lCLWkiWy-yG~U2>KiFazbdPfKr5}KZS8!5f<(6J!V+qxd!?YuqE9fM z7|Al-G#S9MxjEH_H^!36v8-FY^`_IX};(8|zJ zYnuA>R7K6g;`yh8RrR141m|NOzgJ@~?IX~t9~T+Rom()=A9-|xV-%9a;*hwJSAm_g zEgjR#H#rdXnDs=@YIm=PANy;-kLM7M z2vuLEdOu$)2Kvqn9NLwz!Omm~N61#$`$IvZ$8E!6lL4PPBuj&$f>N^h$-y2=81jCN zg^BFifp2RdkpAhlu=b5rQJ4CCxH_(`{nz8ysKI!S)UG9sn`6S zwB4Q7JB2sj>bc4!SKv7LoSM9f?)~5Ky{uoZ=0>inR;*Xq(Bt{K~@nfOWnrcq6pR4Ijrsn zF*J@+oN(AHeXcGGEpx!)*fNqA;0MRd1-Ny(FtNXhSCZ-;OFk+ek(QIIoZ114kn(7$ z$#17{0#?*`h)h6lgsSiu~c&&A|@>A1OHJe!WZ>STePI%a1+xGH1KO-!|3Laf=JyDq zF!VjzT#Z7O%{Mtczdt387V5hu*p)5qSxs@5a!fIWC}fBo2e62NLfrpT|ykLY4b0>jO&RKNC3KS^2ZNN zTwTyIRZp-pv9D zwr2$BL&d%}<(0%Z0%~CI5>#DWAN7$O5$_8d%884!1&woc^~7gJsaGMyQgypN3~FN!1CK1n+IkOA^-3UpY_NA+_GKHr+r_o85t0|c#fc6`svV>aa*01z37s)`yT z6eHwcZ-cy|n_j_1gce%)#5QA8mEN9Z>m@58hnICTL#we#z>BwG%o9j3V_}W86hn!J zrRFtO<2t%gE;se-yQZun0eoK`o*i!kc2ZPz`gc&o8jDp?u((3eo5KO zr;;Ny->a*^p&ewK(yNa?5i%I0DkeXLNIN2wo9Q?`6Mz0=WN-KYh8>RwwlV5nYu@d>qd%q zje;a)WTs=PDArDghDzU}L&ZxH-g{hMVI!`XpFx08NbqU#zIA_iSoo|RlD*A1>rpV#QtG0>n}F zB1}PLk`R}f`6!syZnBJnB&lle^m=%!F;CFJO}O7o@K^TB4lpksHAgIQ_mcyE@F(g~Kg ze)+oU0zPs>4p#@)G@A-2M-jg#x0=t7i&ULXEOa|w1Elf+ceZvGxAR!>D(F$u*L^a*m0Gt{_g_Pw*vuF8X9o2>pC z48&C)=0Hg6VA4Hi2P4^BCO0r2@(ZRnb=)nU;LVFY6hYndl^&9f1LHeh;-Lt5y6Npv z4}+C<(Fs^|#{~IDc9(>6d^N8HnIVVOaZA_C_cDPmNPftL>#S7qmDQ6`C7-Tl=|F9a*1g@b_vXVYgftOw|K=tcr9kTtD$~S=YvG&Ci{MEm=R9=P zU!VCwVf@c2I-aZ&vsfV6_pKrI6E2M-8O5d%P!ceTce7biMv;Dk{_cCX=FXho+?Lx>dn{O%H!WUB4aL$a9o75?Sk2Z5vt_jeq+NMJu@UzoX*vvw- zq^L-c`T0gGwcYE43gdSHw-T}iyT^>$MA#l7tJV!zp=Vd236iT0DaYYQf}}V+@#ppr zl)P~K?=u{rzraazfW^+GJbnV+VQ^zsf4Q2k#k($kpw!@CV^eFvh;#uT@8Y%5~eitZg z1YA`F+!O?sfX&MaWJ*Iz@PeHm(D`}y?#qsF!mW#o35Wq1KJ=R&Pq%X)fIsv4-vO9> zrSrXh$;qE3d8JrR$St6cZ1*Sp$uOC2>_|qDd>rYZI?HON{*E6;#67K<20JRc%A3rS zt*s~XgX>~O_C&TI1J|rIWAHqeh4gTEc!$k;?FfG#Hs7kDjInkQqA|5?pi|FE4+fF5 zGS8i~g3$VTO)i*@GH}NEX;ZfBp9Ghl#C*9D$zfL#U>QDs@+p`*^_&?Qi5aH(X?REm zCv4!0Z?ou%kL$7StCL~gUWy-d>Y%1ixK3b1d1SUJS&?<|Q-j`k@Z-+Roif>6S<@>_5xZXZFo400Y83*Jf{6WyyPzW(4 zyzh?&(tQgeRb24Y_a3TH^`=xOi^%0B{O`G+>)pekWqs?LM)8q-Nz_2VEHGyYT|kmC z6WiE;njcS%U;M)yM_Em~$`A%XEEVW*NXyFNJ*y5KAE*3@chAq`&`XQTxnU&ab{jT` zmffO*2)OHWAoBfeWvLR?qA9nUoNpnjJNOb>#Z7TQi_@s-rmBiAX zud7{wMA6SZ=5tZHxXaW8#veFgJW=}F&gbhA0tqvFzUOQBGUpI>;c~9pv}{eLcLks} zWu|TB*zP9ei?;6vz4~OxrZ3gP761VtMV>v8$F4x3a}UDZ^d_$uCVfjM@D>AZ zGT(~Tr0s#+>}=hSd3cfFaB?2%_*zFPTpndZ^?2sFSJcCZnlba%i)_g!h_KobfmQI9 zQBX`+RM9S={o2K{Lo$sXKzBmlchdc+;2)zXmGM7eW+?n`e4Xc0XVKf7tSpI--(G#4 z_$vMOpDSwfC@qF40V@7K@N+wXRR0rkd)^~QiHC`uA`P59{XIOETH5_1j`2_&);e}- zJ}=zr0MG8*+ct-#csb<7Z~^3Nma#;ohZU-PHIo%`EDRmIZN@ufA5MA!m=&|ujU_%% z>sYSEzWQGublBm_!+cm7db4AF`S?qD2|2nt`6Qv;ZRmPnG*Ei*V z-r4{AVXyyBN5TGoJs{M^Bv%rnUS`NDBZjGV-X%LX*Hy@bYa0?^?}He6`KQ`@+DWWk zCV$>d=d4E))75oZPH1}oEKO(FI}4RXw>7Asc-1}M^(mK3HuwN5Bqv7u``*ptMvyfJ zw<7C(q_TAo+_f`Zor+VdFT~RN?SXat>2Yr^@3oDa1)vkqM)+@KX6MW-E#r;{muD4q z31DLNb+mEart2N&q`;nHhLiI6qCHQ}{CpGe)L=2ArMf%!^|l@yrU_J9y`wNFD1+F` zt^`SP8$V1_3=fza>2Gh3$eF0WH4c@jzx}hczP?@v8iU@@h-Yr1#4*6SbAD5;~|offoR*pP8Kv;lhl!zqbdSqGy8y zC-p}Nm~xW8zbt8&JG|JhsKWwXU^5N!4%?&tPHVB24WQx#=P_Dvg5qZOjlZz->gi!% z>_dtD8n=f1(E7W1InWkaTz>)EZQu{ZfM4~hp2cI5a+Q-ii2l4WT$qoaeebOjj5K@x zaXQE{#WDxa<%W%CrIXNKmzQQb-T>L)P7{}N&8WI{!gGg87ih&9%>)6`1fhs3kCRn& z>>7_Qpb|`K4ETvM{YC?w!e+Y8;((hQM0IPNt*2eV`N$W`^GkV20Ug-B-(=4zm4x}imTihTERS*PRdZslX< zlRc4{r>AxdUP{(4(LpPllDh>GGnk}oQ!dH@4h?_jkaqFdu};ln@^VzrajzRsbXoAC zxo;muxRDd!mQT3Iq@c6LREJBL1-!C#!O5s3BwW$qzPEUBdh&UbiOmEJ`|i}*4K1$P z$>W_=4f~Nayv7}{!<>vj)75m!_RI7A^+zKW|c~}Z8zXR!*LQ60W6K6 zzOkHOlYm*31W^|T7&T8!enb)Q`TAC|UH`|-3{LBsQT^{#jD?*#Kv2O}a16+iDX+FF zbF-fY@E!J-SDsl+QMD}y#}EqQpVX<2Er{LKq_zK~!YgdMYC#xRh6ed~2xOc!?E!Ve z^EkC4`;%V}9a9%T$ zp~R(t&G0MJ%FywB&?Y`ZG#-m&^yp!mC85AqYS3NVtXY|A`yO%w@K&g}flk_-@cDf# z^faTZu)TTjMub1I7`UjP(AydEVxh2WJxhQ501!w2qWqS4>W*D%Wo;r-H;4TW2KsgY zo?_%ev(n1=>%~^oaJWMa;2ZXbe_5ED$9QWDR9o;(9q-)RWCW}?eg?4XSs!f^8?oLt zZG#zdseHg?SZPfU26Dm8dk=E?2Yhe_&Mwt3`+lLp!!rn_8t<5_LHu<$oHE1xgY}p8 zOTR8px++7_bt*fudQ? zN?Rv17;zD0x9Ea?HrD2zo{cXzoBKR36RY}doPq9}wYv6V~+u$3go74 zXIJF}pG)W6j7ulFZp9;OWU`KDXu$_!YQ4@c7%N6;qVK)Ojo!Eyd@--YjZU@BWMV{$ zb@zo@uffU@Y3+62lbT>nwRxUU&{+@ZgSb@lR=67}zcGHl5aZ|PUzcAIpzeh|B9|!T zS^GTV;r=M-#_S61HF3i?+(Te{j7?g)=q`|GzCh3Pl#7XS+uE=)^csM088~<-2A`$2 z9*{2W(VyG8%O(GOl!DGwLe+GVg1a7HUtE09zYZd-m7N!EZito(dis`@gw6 z0Iy$8VyYK3Gv!9j^OHq)!%zjV6VbytVRJB_*oBj{wATKCxzP1p^o}8BR4H`SyJ>LO z<~Mgo zfz&YnUjzPg*oQvT!s;qL3qUd7bnV+Lf!OZI^cCG-423e+At*im)TEk-9v$Xe--osCt&s- z_4dKnQ?!ef&@5U)jR7j@ONI^}SoOsV^5RSsAkX4Z4f_!N1HS$}5%(K+ecL_>7I-TNpDBo24vv)Fl_d98_{N&ArdzjCpie}-^7tWWE7_X?>ghY5q z2Uzooe~e?c0%uc{ZjP(~6>s2y)t02-?aA8nsCp|?(}!#L0Ft~4{jPWY_xjqx8*Hu; z=8_)oa1U>ZSW%^fhljWd1JRX%9BAT4*lLZsqST)Ygr1vPT3U9FH4KTtdKX z|Lybht=kg1HKvL#Oz?ILqO1T~>G-*yA|xGXqe<@@Ve!3%dX4(mN=+5JfpLjMSh!in z+=T!qb^;#DhyfMw>AuY}o5lOkD{HWVX%>&sGJqXzNdXI;${ZZpYf4&V)SP}6YW7bm zdj@O!E&c4SeDwj`W{Cv~x57P?!kDC7vYry|D~gZ@%WD`IYJA^vQYGo)x@~!IE6%w1 zTpkdEkG%i!2fPqCm_1nBuNJO=Pw$H|h@_g0b%L>srZ*3H8;1XNQ{AR{p7thnY?~3? z4G0PtGmgU;mW8+Vpt}K+=2qrp|LlUc0@Uh3%Vj2Dcp?!-rD|QHIjcSiyW7|(iuVO9 zvCyLr@@rNMDwE*8%{RI+v{`!J8$X$M$FCaAAp<1*8M&kaLag>K5Fp|L zaaVrmdqb{5(Yt*%(ow(zb@scl-Us64$anw_gm}A_ZZbt+oa>H`<_uFYT2?LwR@KX$ z5G5mCp`kdy9SKnfYDY`~DY{^~Pa)KCCyTy!B8833FNfe-91a)#7&mtrP|0JwMRR@? zsE?O(dR>rs1o)$qP_T9!0O@NYI*@Vva;wMI{@iLramu5OjuM-YB%kh1bPr3Yn31cG zbOk6S;*nCznbx~|GASr;S62&e1Bu%B)0k#HvaG>FujUT`Cia#M9@Ms3HlEYv4Au|g zS0hToXX$DP>~1@7+6=g}$8?Wo1)SV04ZFI5ooQKEruIP>8BOlJn!O7rvdxw^r{`ua zJL(rBoTxSzDM;@``ZCC^be^Q3UA^;yplF104$31gD+mXepx=l#T( zFI!U+Q-f+lo`>5{MnHJF{=7R0mi8fClJWMGK}gu;}ha<_xxZw9!~ZD)DtiU z4DeoeflZ*+>}6n}BLJpLa=F6wJ6@v*_~Uh;3}pE>&lhv{%L5c2gmR0_?Qx9tvJ*Ri zh6rxFb~|C>X=y(it@LF>eO)3ziX#xy6mtXwfdOuG)M~Y6GIEvdjNd^j;Fzi*YyF#( z5=uuw(0FpP_TU=5RtQXPlxue&4F`<}2RDJ87Y^EP8TcU1Nm zBh=p_(w)Egdg@7*QlnsiG4L8&G;S0w zTH?+2O7LU=5Xf!>puShJyxwZSV$>zV&*jNoC`o<)p0Du2)%4Z$%v1_H+Uv$V0fPVI zb?jhexKn5S*B}2#%y{aCyN^CyU0ucR3UQO;4RPNkt0*>NaxL8yXu-G3|CN#^Zs&mn z`YvrniQ%l;&g0 " + rc); + } + + private void fill(int count) { + int save1y = fpslic.cell(19,22).ylut(); + int save1x = fpslic.cell(19,22).xlut(); + int save2y = fpslic.cell(20,22).ylut(); + int save2x = fpslic.cell(20,22).xlut(); + fpslic.cell(19,22).ylut(0xff); + fpslic.cell(19,22).xlut(0xff); + fpslic.cell(20,22).ylut(0xff); + fpslic.cell(20,22).xlut(0xff); + boolean yes = true; + for(int i=0; i c.fpslic().getHeight()-7) { + c = pipe(c, c.south(), new int[] { NW, SOUTH }); + c = c.nw(); + c = pipe(c, c.south(), new int[] { NE, EAST, SE, WEST, SE, SOUTH }); + c = c.nw().west(); + downward = true; + } else { + Fpslic.Cell ret = c = pipe(c, c.south(), new int[] { NE, NORTH, NW, NORTH }); + c = c.se(); + c = pipe(c, c.north(), new int[] { SW, SOUTH, SE, SOUTH }); + c = ret; + } + } + if (length >= 8) createPipeline(c, downward, length, false); + else { + if (downward) { + c = micropipelineStage(c, c.north(), c.sw()); + c = micropipelineStage(c, c.ne(), c.west()); + c = micropipelineStage(c, c.east(), c.ne()); + c = micropipelineStage(c, c.sw(), c.north()); + } else { + c = pipe(c, c.south(), new int[] { NW, EAST, SE, SOUTH }); + } + } + } + + /* + private void createPipeline(Fpslic.Cell c, boolean downward, int length) { + length -= 2; + if (downward) { + if (c.row == 0) { + c = micropipelineStage(c, c.ne(), c.west()); + c = micropipelineStage(c, c.east(), c.nw()); + if (length > 0) createPipeline(c, false, length); + } else { + c = micropipelineStage(c, c.ne(), c.east()); + c = micropipelineStage(c, c.west(), c.sw()); + if (length > 0) createPipeline(c, true, length); + } + } else { + if (c.row == c.fpslic().getHeight()-1) { + c = micropipelineStage(c, c.se(), c.west()); + c = micropipelineStage(c, c.east(), c.sw()); + if (length > 0) createPipeline(c, true, length); + } else { + c = micropipelineStage(c, c.se(), c.east()); + c = micropipelineStage(c, c.west(), c.nw()); + if (length > 0) createPipeline(c, false, length); + } + } + } + */ + + private Fpslic.Cell micropipelineStage(Fpslic.Cell c, Fpslic.Cell prev, Fpslic.Cell next) { + switch(c.dir(next)) { + case NORTH: case SOUTH: case EAST: case WEST: + switch (c.dir(prev)) { + case NORTH: case SOUTH: case EAST: case WEST: throw new Error("cannot have prev&next both use y"); + } + c.ylut((LUT_SELF & ~LUT_OTHER) | (LUT_Z & ~LUT_OTHER) | (LUT_Z & LUT_SELF & LUT_OTHER)); + c.xlut(LUT_Z); + c.c(YLUT); + c.yi(next); + c.xi(prev); + break; + case NW: case SE: case SW: case NE: + switch (c.dir(prev)) { + case NW: case SE: case SW: case NE: throw new Error("cannot have prev&next both use x"); + } + c.xlut((LUT_SELF & ~LUT_OTHER) | (LUT_Z & ~LUT_OTHER) | (LUT_Z & LUT_SELF & LUT_OTHER)); + c.ylut(LUT_Z); + c.c(XLUT); + c.xi(next); + c.yi(prev); + break; + default: throw new Error(); + } + c.b(false); + c.f(false); + c.t(TMUX_FB); + c.yo(false); + c.xo(false); + return next; } private void turnOnLeds() { @@ -88,6 +296,57 @@ public class AsyncPaperDemo { fpslic.cell(23,15).yi(L3); fpslic.cell(23,15).ylut(0xAA); fpslic.iob_right(15, true).enableOutput(WEST); + + fpslic.cell(23,0).ylut(0x00); + fpslic.iob_right(0, true).enableOutput(WEST); + } + + private void divider(Fpslic.Cell c) { + Fpslic.Cell detect1 = c; + Fpslic.Cell detect2 = c.east(); + + detect1.yi(NORTH); + detect1.ylut(LUT_SELF); + detect1.xlut(LUT_OTHER & (~LUT_Z)); + detect1.c(YLUT); + detect1.t(TMUX_FB); + detect1.f(false); + detect1.b(false); + + detect2.xi(NW); + detect2.ylut(LUT_OTHER); + detect2.xlut((~LUT_SELF) & LUT_Z); + detect2.c(YLUT); + detect2.t(TMUX_FB); + detect2.f(false); + detect2.b(false); + + detect1.south().yi(EAST); + detect1.south().xi(NE); + detect1.south().c(YLUT); + detect1.south().t(TMUX_FB); + detect1.south().f(false); + detect1.south().b(false); + detect1.south().ylut( (LUT_OTHER & (~LUT_SELF)) | + ((~LUT_OTHER) & LUT_Z) + ); + detect1.south().xlut( (LUT_SELF & (~LUT_OTHER)) | + ((~LUT_SELF) & LUT_Z) + ); + + detect2.south().yi(WEST); + detect2.south().xi(NW); + detect2.south().c(YLUT); + detect2.south().t(TMUX_FB); + detect2.south().f(false); + detect2.south().b(false); + detect2.south().ylut( (LUT_OTHER & (LUT_SELF)) | + ((~LUT_OTHER) & LUT_Z) + ); + detect2.south().xlut( (LUT_SELF & (~LUT_OTHER)) | + ((~LUT_SELF) & LUT_Z) + ); + } private void runGui(int width, int height) throws Exception { @@ -101,7 +360,6 @@ public class AsyncPaperDemo { vis.repaint(); fr.repaint(); fr.show(); - synchronized(Demo.class) { Demo.class.wait(); } } } diff --git a/src/edu/berkeley/slipway/FtdiBoardSlave.c b/src/edu/berkeley/slipway/FtdiBoardSlave.c index 920e46b..e9aba2e 100644 --- a/src/edu/berkeley/slipway/FtdiBoardSlave.c +++ b/src/edu/berkeley/slipway/FtdiBoardSlave.c @@ -109,9 +109,9 @@ void fpga_interrupts(int on) { if (on) { //FISUA = 0x1; FISCR = 0x80; - FISUD = 0x08; + FISUA = 0x01; } else { - FISUD = 0; + FISUA = 0; FISCR = 0; } } @@ -125,9 +125,9 @@ inline void conf(int z, int y, int x, int d) { #define TIMERVAL 100 -ISR(SIG_FPGA_INTERRUPT15) { +ISR(SIG_FPGA_INTERRUPT0) { interrupt_count++; - //fpga_interrupts(1); + fpga_interrupts(1); sei(); } @@ -153,8 +153,9 @@ inline int hex(char c) { } int readFPGA() { + fpga_interrupts(0); int ret = FISUA; - //fpga_interrupts(1); + fpga_interrupts(1); return ret; } @@ -203,7 +204,7 @@ int main() { flag=1; send(readFPGA()); break; - /* + case 3: { int32_t local_interrupt_count = interrupt_count; interrupt_count = 0; @@ -213,7 +214,7 @@ int main() { send((local_interrupt_count >> 0) & 0xff); break; } - */ + /* case 3: //init_timer(); diff --git a/src/edu/berkeley/slipway/gui/Gui.java b/src/edu/berkeley/slipway/gui/Gui.java index 56953c5..7c435e2 100644 --- a/src/edu/berkeley/slipway/gui/Gui.java +++ b/src/edu/berkeley/slipway/gui/Gui.java @@ -59,6 +59,7 @@ public class Gui extends ZoomingPanel implements KeyListener, MouseMotionListene this(at40k, drone, 24, 24); } public Gui(Fpslic at40k, FtdiBoard drone, int width, int height) { + super(drone); this.at40k = at40k; this.drone = drone; for(int i=0; i " + ftdiboard.readCount()); + repaint(); + return; + } } else switch(k.getKeyCode()) { -- 1.7.10.4