massive overhaul of fpga code
[fleet.git] / src / edu / berkeley / fleet / fpga / Fpga.java
1 package edu.berkeley.fleet.fpga;
2 import edu.berkeley.fleet.fpga.*;
3 import edu.berkeley.fleet.api.*;
4 import edu.berkeley.fleet.two.*;
5 import edu.berkeley.fleet.*;
6 import java.lang.reflect.*;
7 import edu.berkeley.sbp.chr.*;
8 import edu.berkeley.sbp.misc.*;
9 import edu.berkeley.sbp.meta.*;
10 import edu.berkeley.sbp.util.*;
11 import java.util.*;
12 import java.io.*;
13 import edu.berkeley.fleet.two.*;
14 import static edu.berkeley.fleet.two.FleetTwoFleet.*;
15 import static edu.berkeley.fleet.fpga.verilog.Verilog.*;
16 import static edu.berkeley.fleet.fpga.verilog.Verilog.PercolatedPort;
17
18
19 public class Fpga extends FleetTwoFleet {
20
21     public  Module top;
22     Ship debugShip;
23
24     public LinkedHashMap<String,FpgaShip> ships = new LinkedHashMap<String,FpgaShip>();
25     public Iterator<Ship> iterator() { return (Iterator<Ship>)(Object)ships.values().iterator(); }
26
27     public Ship getShip(String type, int ordinal) {
28         for(Ship s : this)
29             if (s.getType().equals(type))
30                 if (--ordinal < 0)
31                     return s;
32         return null;
33     }
34
35     public static void main(String[] s) throws Exception { 
36         new Fpga(new Module("main")).top.dump(s[0]);
37         PrintWriter pw;
38
39         pw = new PrintWriter(new OutputStreamWriter(new FileOutputStream(s[0]+"/timescale.v")));
40         pw.println("`timescale 1ns / 10ps");
41         pw.close();
42
43         pw = new PrintWriter(new OutputStreamWriter(new FileOutputStream(s[0]+"/vram.v")));
44         pw.println("`define BRAM_ADDR_WIDTH 19");
45         pw.println("`define BRAM_DATA_WIDTH 3");
46         pw.println("`define BRAM_SIZE (640*480)");
47         pw.println("`define BRAM_NAME vram");
48         pw.println("`include \"bram.inc\"");
49         pw.close();
50     }
51
52     public Module getVerilogModule() { return top; }
53
54     public FleetProcess run(Instruction[] instructions) {
55         try {
56             return new Client(this, "none", instructions);
57         } catch (Exception e) { throw new RuntimeException(e); }
58     }
59
60     protected BitVector getDestAddr(Path path) {
61         return ((FpgaPath)path).toBitVector();
62     }
63
64     // Setup //////////////////////////////////////////////////////////////////////////////
65
66     Ship createShip(String type) throws IOException {
67         ShipDescription sd = new ShipDescription(type, new BufferedReader(new InputStreamReader(new FileInputStream("ships/"+type+".ship"))));
68         int count = 0;
69         for(Ship ship : ships.values()) if (ship.getType().equals(type)) count++;
70         String name = type+count;
71         FpgaShip ship = new FpgaShip(this, sd);
72         ships.put(name, ship);
73         return ship;
74     }
75
76     public Fpga() throws Exception { this(new Module("main")); }
77     public Fpga(Module top) throws Exception {
78         this.top = top;
79         debugShip = createShip("Debug");
80
81         boolean small = true;
82         //boolean small = false;
83
84         if (small) {
85             for(int i=0; i<1; i++) createShip("Memory");
86             for(int i=0; i<2; i++) createShip("Fifo");
87             for(int i=0; i<2; i++) createShip("Alu");
88             createShip("Counter");
89             createShip("CarrySaveAdder");
90             createShip("Rotator");
91             createShip("Lut3");
92             createShip("DDR2");
93         } else {
94             for(int i=0; i<3; i++)  createShip("Memory");
95             for(int i=0; i<3; i++)  createShip("Alu");
96             for(int i=0; i<2; i++)  createShip("Fifo");
97             for(int i=0; i<14; i++) createShip("Counter");
98
99             // "really big" configuration: 138 docks
100             for(int i=0; i<10; i++)  createShip("Alu");
101             createShip("CarrySaveAdder");
102             createShip("Rotator");
103             createShip("Lut3");
104
105             //createShip("DRAM");
106             //createShip("Video");
107         }
108
109         // for FifoShip
110         new Module.InstantiatedModule(top, new FifoModule(8, WIDTH_WORD));
111
112         ArrayList dests   = new ArrayList<FabricElement>();
113         ArrayList sources = new ArrayList<FabricElement>();
114         for(FpgaShip ship : (Iterable<FpgaShip>)(Object)this) {
115             for(Dock port : ship) {
116                 if (port.isInputDock()) {
117                     sources.add(((FpgaDock)port));
118                     dests.add(port.getInstructionDestination());
119                     dests.add(port.getDataDestination());
120                 } else {
121                     sources.add(((FpgaDock)port));
122                     dests.add(port.getInstructionDestination());
123                     dests.add(port.getDataDestination());
124                 }
125             }
126             for(Module.SourcePort sp0 : ship.docklessPorts.values()) {
127                 final Module.SourcePort sp = sp0;
128                 sources.add(new FabricElement.AbstractFabricElement() {
129                         private FabricElement upstream;
130                         public FpgaPath getPath(FpgaDestination dest, BitVector signal) { return upstream.getPath(dest, signal); }
131                         public void addOutput(FabricElement out, Module.Port outPort) {
132                             this.upstream = out;
133                             sp.connect((Module.SinkPort)outPort);
134                         }
135                     });
136             }
137         }
138         FabricElement top_horn = mkNode((FabricElement[])dests.toArray(new FabricElement[0]), true);
139         mkNode((FabricElement[])sources.toArray(new FabricElement[0]), false)
140             .addOutput(top_horn, top_horn.getInputPort());
141     }
142
143     public FabricElement mkNode(FabricElement[] ports, boolean is_horn) { return mkNode(ports, is_horn, 0, ports.length); }
144     public FabricElement mkNode(FabricElement[] ports, boolean is_horn, int start, int end) {
145         switch(end-start) {
146             case 0: throw new RuntimeException("this should never happen");
147             case 1: return ports[start];
148             default: {
149                 FabricElement leftPort  = mkNode(ports, is_horn,  start,         (end+start)/2);
150                 FabricElement rightPort = mkNode(ports, is_horn,  (end+start)/2, end);
151                 return is_horn
152                     ? new HornModule.HornInstance(this, top,     leftPort, rightPort)
153                     : new FunnelModule.FunnelInstance(this, top, leftPort, rightPort);
154             }
155         }
156     }
157
158
159     // Expand //////////////////////////////////////////////////////////////////////////////
160
161     public void expand(ShipDescription sd) {
162         try {
163             if (sd.getSection("fpga")==null) return;
164             String filename = sd.getName().toLowerCase();
165             File outf = new File("build/fpga/"+filename+".v");
166             new File(outf.getParent()).mkdirs();
167             System.err.println("writing to " + outf);
168             FileOutputStream out = new FileOutputStream(outf);
169             PrintWriter pw = new PrintWriter(out);
170
171             pw.println("`define WORDWIDTH                "+WIDTH_WORD);
172             pw.println("`define CODEBAG_SIZE_BITS        "+CBD_SIZE.valmaskwidth);
173             pw.println();
174
175             for(DockDescription dd : sd.ports()) {
176                 String name = dd.getName();
177                 pw.println("`define "+name+"_full    ("+name+"_r && !"+name+"_a)");
178                 pw.println("`define "+name+"_empty  (!"+name+"_r && !"+name+"_a)");
179                 if (dd.isInputDock()) {
180                     pw.println("`define drain_"+name+"  "+name+"_a <= 1;");
181                 } else {
182                     pw.println("`define fill_"+name+"  "+name+"_r <= 1;");
183                 }
184             }
185
186             pw.print("`define reset ");
187             for(DockDescription bb : sd.ports()) {
188                 String bb_name = bb.getName();
189                 if (bb.isInputDock()) pw.print(bb_name+"_a <= 1; "+bb_name+"_f <= 0; ");
190                 else                  pw.print(bb_name+"_r <= 0; ");
191             }
192             pw.println();
193
194             pw.print("`define cleanup ");
195             for(DockDescription bb : sd.ports()) {
196                 String bb_name = bb.getName();
197                 if (bb.isInputDock()) pw.print("if (!"+bb_name+"_r && "+bb_name+"_a) "+bb_name+"_a <= 0; ");
198                 else                  pw.print("if ( "+bb_name+"_r && "+bb_name+"_a) "+bb_name+"_r <= 0; ");
199             }
200             pw.println();
201
202             // FIXME: this corresponds to something
203             /*
204             pw.print("`define flush_happening (1");
205             for(DockDescription bb : sd.ports())
206                 if (bb.isInputDock())
207                     pw.print(" && "+bb.getName()+"_r_ && !"+bb.getName()+"_a && "+bb.getName()+"_d["+WIDTH_WORD+"]");
208             pw.println(")");
209             */
210
211             pw.print("`define flush ");
212             for(DockDescription bb : sd.ports())
213                 if (bb.isInputDock())
214                     pw.print(" if (!"+bb.getName()+"_r_) "+bb.getName()+"_f <= 0; ");
215             pw.print("if (1");
216             for(DockDescription bb : sd.ports())
217                 if (bb.isInputDock())
218                     pw.print(" && "+bb.getName()+"_r_ && !"+bb.getName()+"_a");
219             pw.print(") begin ");
220             if (true) {
221                 pw.print("if (1");
222                 for(DockDescription bb : sd.ports())
223                     if (bb.isInputDock())
224                         pw.print(" && "+bb.getName()+"_d["+WIDTH_WORD+"] ");
225                 pw.print(") begin ");
226                 if (true) {
227                     for(DockDescription bb : sd.ports())
228                         if (bb.isInputDock())
229                             pw.print(bb.getName()+"_f <= 1; ");
230                 }
231                 pw.print(" end else if (0");
232                 for(DockDescription bb : sd.ports())
233                     if (bb.isInputDock())
234                         pw.print(" || "+bb.getName()+"_d["+WIDTH_WORD+"] ");
235                 pw.print(") begin ");
236                 if (true) {
237                     for(DockDescription bb : sd.ports())
238                         if (bb.isInputDock())
239                             pw.print(" if (!"+bb.getName()+"_d["+WIDTH_WORD+"]) "+bb.getName()+"_f <= 1; ");
240                 }
241                 pw.print(" end ");
242             }
243             pw.print(" end ");
244             pw.println();
245             
246             pw.println("module " + filename + "( clk, rst ");
247             for(DockDescription bb : sd.ports()) {
248                 String bb_name = bb.getName();
249                 pw.print("        ");
250                 if (bb.isInputDock()) {
251                     pw.print(", " + bb_name+"_r_");
252                     pw.print(", " + bb_name+"_a_");
253                     pw.print(", " + bb_name+"_d");
254                 } else {
255                     pw.print(", " + bb_name+"_r_");
256                     pw.print(", " + bb_name+"_a");
257                     pw.print(", " + bb_name+"_d_");
258                 }
259                 pw.println();
260             }
261             for(PercolatedPort pp : sd.percolatedPorts) {
262                 pw.print("    , ");
263                 pw.println(pp.name);
264             }
265             pw.println("        );");
266             pw.println();
267             pw.println("    input clk;");
268             pw.println("    input rst;");
269             for(PercolatedPort pp : sd.percolatedPorts) {
270                 switch(pp.type) {
271                     case UP:    pw.print("output"); break;
272                     case DOWN:  pw.print("input");  break;
273                     case INOUT: pw.print("inout");  break;
274                 }
275                 pw.print("  ");
276                 if (pp.width > 1)
277                     pw.print("["+(pp.width-1)+":0]");
278                 pw.print(" ");
279                 pw.print(pp.name);
280                 pw.println(";");
281             }
282
283             for(DockDescription bb : sd.ports()) {
284                 String bb_name = bb.getName();
285                 int width = bb.isDockless() ? WIDTH_PACKET : WIDTH_WORD;
286                 if (bb.isInputDock()) {
287                     pw.println("        input   ["+width+":0] "+bb_name+"_d;");
288                     pw.println("        input   "+bb_name+"_r_;");
289                     pw.println("        wire    "+bb_name+"_r;");
290                     pw.println("        assign  "+bb_name+"_r = "+bb_name+"_r_ & ~"+bb_name+"_d["+width+"];");
291                     pw.println("        output  "+bb_name+"_a_;");
292                     pw.println("        reg     "+bb_name+"_a;");
293                     pw.println("        initial "+bb_name+"_a  = 0;");
294                     pw.println("        reg     "+bb_name+"_f;");
295                     pw.println("        initial "+bb_name+"_f  = 0;");
296                     pw.println("        assign  "+bb_name+"_a_ = "+bb_name+"_a || "+bb_name+"_f;");
297                 } else {
298                     pw.println("        output  ["+width+":0] "+bb_name+"_d_;");
299                     pw.println("        input   "+bb_name+"_a;");
300                     pw.println("        output  "+bb_name+"_r_;");
301                     pw.println("        reg     "+bb_name+"_r;");
302                     pw.println("        initial "+bb_name+"_r  = 0;");
303                     pw.println("        assign  "+bb_name+"_r_ = "+bb_name+"_r;");
304                 }
305                 pw.println();
306             }
307
308             if (filename.equals("fifo")) {
309                 pw.println("  wire in_a__;");
310                 pw.println("  wire out_r__;");
311                 pw.println("  fifo8x37 fifo8x37(clk, rst,");
312                 pw.println("                    in_r,    in_a__, in_d,");
313                 pw.println("                    out_r__, out_a,  out_d_);");
314                 pw.println("  always @(posedge clk) begin");
315                 pw.println("    if (!rst) begin");
316                 pw.println("      `reset");
317                 pw.println("    end else begin");
318                 pw.println("      `flush");
319                 pw.println("      out_r <= out_r__;");
320                 pw.println("      in_a  <= in_a__;");
321                 pw.println("    end");
322                 pw.println("  end");
323             } else {
324                 pw.println(sd.getSection("fpga"));
325             }
326
327             pw.println("endmodule");
328
329             pw.flush();
330             pw.close();
331         } catch (Exception e) { throw new RuntimeException(e); }
332     }
333
334 }