added test cases
[fleet.git] / tests / fifo / fifo-loop.fleet
1 // expected output
2 #expect 9
3 #expect 9
4 #expect 8
5 #expect 9
6 #expect 9
7 #expect 9
8 #expect 9
9 #expect 9
10 #expect 9
11 #expect 9
12
13 // ships required in order to run this code
14 #ship debug        : Debug
15 #ship fifo         : Fifo
16
17 debug.data:   [*] take, deliver;
18 9:            sendto fifo.in;
19 fifo.in:
20   take;
21   [100] deliver;
22   [100] deliver;
23   [100] deliver;
24   [100] deliver;
25   [100] deliver;
26   [100] deliver;
27   [100] deliver;
28   [100] deliver;
29   [100] deliver;
30   [100] deliver;
31   [100] deliver;
32   [100] deliver;
33   [100] deliver;
34   [100] deliver;
35   [100] deliver;
36   [100] deliver;
37   [100] deliver;
38   [100] deliver;
39   [100] deliver;
40   [100] deliver;
41 fifo.out:
42   [100r] take, discard;
43   [100r] take, discard;
44   [1r] take, sendto debug.data;
45   [100r] take, discard;
46   [100r] take, discard;
47   [1r] take, sendto debug.data;
48   [100r] take, discard;
49   [100r] take, discard;
50   [1r] take, sendto debug.data;
51   [100r] take, discard;
52   [100r] take, discard;
53   [1r] take, sendto debug.data;
54   [100r] take, discard;
55   [100r] take, discard;
56   [1r] take, sendto debug.data;
57   [100r] take, discard;
58   [100r] take, discard;
59   [1r] take, sendto debug.data;
60   [100r] take, discard;
61   [100r] take, discard;
62   [1r] take, sendto debug.data;
63   [100r] take, discard;
64   [100r] take, discard;
65   [1r] take, sendto debug.data;
66   [100r] take, discard;
67   [100r] take, discard;
68   [1r] take, sendto debug.data;
69   [100r] take, discard;
70   [100r] take, discard;
71   [1r] take, sendto debug.data;