add MarinaTest.testDFlagWhenTorpedoLyingInWait() and MarinaTest.testSetOlcFollowedByD...
[fleet.git] / Makefile
index 66c3a26..8e8e05b 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -17,22 +17,37 @@ ship_files = $(shell find ships -name \*.ship)
 fleet.jar: $(java_files) $(ship_files) src/edu/berkeley/fleet/assembler/fleet.g
        mkdir -p build/class/edu/berkeley/fleet/assembler/
        cp src/edu/berkeley/fleet/assembler/fleet.g build/class/edu/berkeley/fleet/assembler/
-       javac -classpath lib/RXTXcomm.jar:lib/edu.berkeley.sbp.jar -d build/class/ $(shell find src -name \*.java)
+       javac -classpath lib/ibex.jar:lib/RXTXcomm.jar:lib/edu.berkeley.sbp.jar -d build/class/ $(shell find src -name \*.java)
        cd build/class/; jar xf ../../lib/edu.berkeley.sbp.jar
+       cd build/class/; jar xf ../../lib/ibex.jar
        for A in `find ships -name \*.ship`;\
-         do java -cp build/class edu.berkeley.fleet.Main expand $$A;\
+         do java -cp fleet.jar:build/class edu.berkeley.fleet.Main expand $$A;\
          done
-       javac -classpath lib/RXTXcomm.jar:build/class${ps}lib/edu.berkeley.sbp.jar -d build/class/ `find build/java -name \*.java`
+       javac -classpath lib/ibex.jar:lib/RXTXcomm.jar:build/class${ps}lib/edu.berkeley.sbp.jar -d build/class/ `find build/java -name \*.java`
        echo 'Main-Class: edu.berkeley.fleet.Main' > build/class/manifest
-       cd build/class/; jar cmf manifest ../../$@ .
+       jar cmf build/class/manifest $@ ships -C build/class .
 
 
 ## Fpga ####################################################################################
 
+# 32Gb ram, 4-core.     small-config:1019s  large-config:2530s
 host = intel2950-5.eecs.berkeley.edu
-remote_ise = /tools/xilinx/ISE9.1i_lin
-remote_edk = /tools/xilinx/EDK9.1i
+
+# 128Gb ram, 2x4-core.  small-config:1189s  large-config:3065s
+#host = amdr905-1.eecs.berkeley.edu
+
+remote_ise = /tools/xilinx/10.1/ISE
+remote_edk = /tools/xilinx/10.1/EDK
+
+#remote_ise = /tools/xilinx/ISE9.1i_lin
+#remote_edk = /tools/xilinx/EDK9.1i
+
+#remote_dir = /vol/hitz/home/megacz/fleet/
+#remote_dir = /tmp/megacz/fleet/
+
 remote_dir = /scratch/megacz/fleet/
+#remote_ise = /scratch/megacz/xilinx/10.1/ISE
+#remote_edk = /scratch/megacz/xilinx/10.1/EDK
 
 #host = mm2.millennium.berkeley.edu
 #remote_ise = /scratch/megacz/xilinx/ise/
@@ -43,17 +58,32 @@ remote_dir = /scratch/megacz/fleet/
 xilinx =  cd build/fpga;
 xilinx += LD_LIBRARY_PATH=$$LD_LIBRARY_PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
 xilinx += PATH=$$PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
-xilinx += XST_VERSION=9.2i
+#xilinx += XST_VERSION=9.2i
 xilinx += XILINX=$(remote_ise)
 xilinx += XIL_XST_HIDEMESSAGES=hdl_and_low_levels
+xilinx += XIL_PAR_DESIGN_CHECK_VERBOSE=1
 xilinx += XILINX_EDK=$(remote_edk)
 
 xilinx_ise = $(xilinx) $(remote_ise)/bin/lin/
 xilinx_edk = $(xilinx) $(remote_edk)/bin/lin/
 
-speed_grade = 11
-device = xc4vfx60ff1152-${speed_grade}
-#device = xc2vp70-7ff1704
+# ML50X
+speed_grade = 1
+part = xc5vlx110t
+package = ff1136
+board = ml505   # ??
+
+# ML410
+#part = xc4vfx60
+#package = ff1152
+#speed_grade = 11
+#board = ml410
+
+# BEE2
+#part = xc2vp70
+#package = 7ff1704
+
+device = ${part}${package}-${speed_grade}
 
 upload: fleet.jar build/fpga/main.bit
        mkdir -p build
@@ -68,18 +98,29 @@ build/fpga/main.bit: $(java_files) $(ship_files)
        for A in `find ships -name \*.ship`;\
          do java -cp build/class edu.berkeley.fleet.Main target=fpga expand $$A;\
          done
+       ssh ${host} 'mkdir -p ${remote_dir}'
        rsync -zare ssh --progress --delete --verbose ./ ${host}:${remote_dir}
        time ssh ${host} 'make -C ${remote_dir} synth XILINX=${remote_ise} remote_ise=${remote_ise} remote_edk=${remote_edk}'
        scp ${host}:${remote_dir}/build/fpga/main.bit build/fpga/
+       scp ${host}:${remote_dir}/build/fpga/main.ace build/fpga/
 
 pcore = ${remote_edk}/hw/XilinxProcessorIPLib/pcores
+intstyle = -intstyle xflow
+effort = std
+#effort = high
+opt_for = area
+#opt_for = speed
 synth:
        cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/* .
        cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/mem/* .
+       cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/ddr2/* .
+       cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/dvi/* .
+       cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/zbt/* .
        rm -f build/fpga/main.lso
        echo work                        >> build/fpga/main.lso
        rm -f build/fpga/main.prj
        cd build/fpga; for A in *.v;   do echo verilog work \""$$A"\"; done >> main.prj
+       cd build/fpga; for A in *.vhd; do echo vhdl    work \""$$A"\"; done >> main.prj
        cd build/fpga; touch main.ini
        cd build/fpga; mkdir -p tmp
        cd build/fpga; mkdir -p xst
@@ -95,9 +136,9 @@ synth:
        echo -n " -ifmt mixed" >> build/fpga/main.xst
        echo -n " -ofn main" >> build/fpga/main.xst
        echo -n " -ofmt NGC" >> build/fpga/main.xst
-       echo -n " -p xc4vfx60-11ff1152" >> build/fpga/main.xst
+       echo -n " -p ${device}" >> build/fpga/main.xst
        echo -n " -top main" >> build/fpga/main.xst
-       echo -n " -opt_mode area" >> build/fpga/main.xst
+       echo -n " -opt_mode ${opt_for}" >> build/fpga/main.xst
        echo -n " -opt_level 2" >> build/fpga/main.xst
        echo -n " -iuc NO" >> build/fpga/main.xst
        echo -n " -lso main.lso" >> build/fpga/main.xst
@@ -167,13 +208,17 @@ synth:
        echo '-g Security:NONE' >> build/fpga/main.ut
        echo '-g Persist:No' >> build/fpga/main.ut
 
-       $(xilinx_ise)xst -intstyle xflow -ifn main.xst -ofn main.syr < main.xst
-       $(xilinx_ise)ngdbuild -aul -intstyle xflow -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
-       $(xilinx_ise)map -cm area -intstyle xflow -p $(device) -pr b -ol high -o main_map.ncd main.ngd main.pcf
-       $(xilinx_ise)par -w -intstyle xflow -t 99 -pl high -rl high main_map.ncd main.ncd main.pcf
-       $(xilinx_ise)bitgen -intstyle xflow -f main.ut main.ncd
-#      $(xilinx_ise)trce -intstyle xflow -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
-       $(xilinx_edk)xmd -tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ml410 -ace mainx.ace
+       $(xilinx_ise)xst      ${intstyle} -ifn main.xst -ofn main.syr < main.xst \
+         | grep --line-buffered -v 'been backward balanced into' \
+         | grep --line-buffered -v 'IDDR has been replaced by IDDR_2CLK' \
+         | grep --line-buffered -v 'WARNING:Xst:616 - Invalid property'
+       cat build/fpga/*.ucf > build/fpga/main.ucf
+       $(xilinx_ise)ngdbuild ${intstyle} -aul -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
+       $(xilinx_ise)map      ${intstyle} -ol ${effort} -p $(device) -pr b -cm ${opt_for} -o main_map.ncd main.ngd main.pcf
+       $(xilinx_ise)par      ${intstyle} -pl ${effort} -ol ${effort} -w main_map.ncd main.ncd main.pcf
+       $(xilinx_ise)trce     ${intstyle} -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
+       $(xilinx_ise)bitgen   ${intstyle} -f main.ut main.ncd
+       $(xilinx) tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ${board} -ace mainx.ace
        mv build/fpga/mainx.ace build/fpga/main.ace   # genace throws a fit if the filename prefix is the same?
 
 
@@ -299,23 +344,24 @@ cleansuncvs:
 lib/suncvs.jar: fleet.jar suncvs/marina suncvs/test
        rm -rf suncvs/test/manuals
        mkdir -p suncvs/build
-       cd suncvs; javac -cp ../fleet.jar:test/javamake.jar:test/jcommon.jar:test/jfreechart.jar -d build `find . -name \*.java`
+       for A in api marina two util; do rsync -a src/edu/berkeley/fleet/$$A/ suncvs/marina/testCode/edu/berkeley/fleet/$$A/; done
+       cd suncvs; javac -cp test/javamake.jar:test/jcommon.jar:test/jfreechart.jar -d build `find . -name \*.java`
        cd suncvs/build; jar cvf ../../lib/suncvs.jar .
        cd suncvs/test; jar uvf ../../lib/suncvs.jar .
 
-#sun_server = frehley
-sun_server = simmons
+sun_server = frehley
+#sun_server = simmons
 
 runtest: fleet.jar
        rm lib/suncvs.jar; make lib/suncvs.jar
-       rm -f suncvs/marina/testSims/isolatedInDock.spi.run
+       cp lib/suncvs.jar suncvs/marina/testCode/MarinaTest.jar
        ssh ${sun_server} 'skill nanosim'
        rsync -are ssh --delete --progress --verbose ./ ${sun_server}:~/fleet/
-       ssh ${sun_server} 'export PATH=$$PATH:/proj/async/cad/linux/bin/; cd ~/fleet/suncvs/marina/testSims; ln -s ../testCode/marina.xml ../testCode/marina.spi ../testCode/cfg .; /proj/async/cad/linux/lib/jdk1.5.0_05-linux-i586/bin/java  -cp $$HOME/fleet/fleet.jar:$$HOME/fleet/lib/suncvs.jar com.sun.vlsi.chips.marina.test.MarinaTest -testNum 3018'
+       ssh -Y ${sun_server} 'export PATH=/proj/async/cad/linux/bin/:$$PATH; cd ~/fleet/suncvs/marina/testCode; /proj/async/cad/linux/lib/jdk1.5.0_05-linux-i586/bin/java -cp $$HOME/fleet/lib/suncvs.jar com.sun.vlsi.chips.marina.test.MarinaTest -testNum 3'
 
 electric:
        rsync -are ssh --progress --verbose ${sun_server}:fleet/suncvs/marina/testSims/marina.spi.out ~/marina.spi.out
-       java -Xmx900m -jar /Applications/electric.jar suncvs/marina/electric/marinaL.jelib
+       java -Xmx900m -jar /Applications/electric.jar suncvs/marina/electric/aMarinaM.jelib
 
 suncvs/test:
        mkdir -p suncvs
@@ -325,6 +371,8 @@ suncvs/marina:
        mkdir -p suncvs
        cd suncvs; cvs -d ${sun_server}:/import/async/cad/cvs co marina
 
+chaing: lib/suncvs.jar
+       java -cp lib/suncvs.jar com.sun.async.test.ChainG suncvs/marina/testCode/marina.xml
 
 syncspi:
-       rsync -are ssh --progress --verbose frehley:fleet/suncvs/marina/testSims/marina.spi.out ~/marina.spi.out
+       rsync -are ssh --progress --verbose frehley:fleet/suncvs/marina/testCode/marina.spi.out ~/marina.spi.out