better error checking in Dock.getConstant(String)
[fleet.git] / Makefile
index b12d896..f4b0cdd 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -104,19 +104,21 @@ build/fpga/main.bit: $(java_files) $(ship_files)
 
 pcore = ${remote_edk}/hw/XilinxProcessorIPLib/pcores
 intstyle = -intstyle xflow
-#effort = std
-effort = high
-#opt_for = area
-opt_for = speed
+effort = std
+#effort = high
+opt_for = area
+#opt_for = speed
 synth:
        cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/* .
        cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/mem/* .
        cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/ddr2/* .
-       #cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/greg/* .
+       cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/dvi/* .
+       cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/zbt/* .
        rm -f build/fpga/main.lso
        echo work                        >> build/fpga/main.lso
        rm -f build/fpga/main.prj
        cd build/fpga; for A in *.v;   do echo verilog work \""$$A"\"; done >> main.prj
+       cd build/fpga; for A in *.vhd; do echo vhdl    work \""$$A"\"; done >> main.prj
        cd build/fpga; touch main.ini
        cd build/fpga; mkdir -p tmp
        cd build/fpga; mkdir -p xst
@@ -208,10 +210,11 @@ synth:
          | grep --line-buffered -v 'been backward balanced into' \
          | grep --line-buffered -v 'IDDR has been replaced by IDDR_2CLK' \
          | grep --line-buffered -v 'WARNING:Xst:616 - Invalid property'
+       #rm build/fpga/dvi.ucf
        cat build/fpga/*.ucf > build/fpga/main.ucf
        $(xilinx_ise)ngdbuild ${intstyle} -aul -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
        $(xilinx_ise)map      ${intstyle} -ol ${effort} -p $(device) -pr b -cm ${opt_for} -o main_map.ncd main.ngd main.pcf
-       $(xilinx_ise)par      ${intstyle} -pl high -ol ${effort} -w main_map.ncd main.ncd main.pcf
+       $(xilinx_ise)par      ${intstyle} -pl ${effort} -ol ${effort} -w main_map.ncd main.ncd main.pcf
        $(xilinx_ise)trce     ${intstyle} -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
        $(xilinx_ise)bitgen   ${intstyle} -f main.ut main.ncd
 #      $(xilinx_edk)xmd -tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ${board} -ace mainx.ace