migrate jelib->delib
[fleet.git] / chips / marina / electric / aMarinaM.delib / compareWithCover.sch
diff --git a/chips/marina/electric/aMarinaM.delib/compareWithCover.sch b/chips/marina/electric/aMarinaM.delib/compareWithCover.sch
new file mode 100644 (file)
index 0000000..f86e28f
--- /dev/null
@@ -0,0 +1,31 @@
+HaMarinaM|8.10k
+
+# External Libraries:
+
+LfillM|fillM
+
+# Cell compareWithCover;1{sch}
+CcompareWithCover;1{sch}||schematic|1243260015551|1243272419526|I
+Ngeneric:Facet-Center|art@0||0|0||||AV
+IcompareWithCover;1{ic}|compareW@0||43|14|||D5G4;
+NOff-Page|conn@0||-13|0||||
+NOff-Page|conn@1||-13|7||||
+NOff-Page|conn@2||-13|13|||Y|
+NOff-Page|conn@3||-13|10|||Y|
+NOff-Page|conn@4||11|0||||
+IfillM:fillCap;1{ic}|fc[1:580]|D5G3;Y5;|23|16|||D5G4;
+ImarinaCompare;1{ic}|marinaCo@0||0|0|||D5G4;
+Ngeneric:Invisible-Pin|pin@0||2.5|32|||||ART_message(D5G6;)ScompareWithCover
+Ngeneric:Invisible-Pin|pin@1||0.5|26|||||ART_message(D5G4;)Sthis is the comparison experiment
+Ngeneric:Invisible-Pin|pin@2||1.5|21|||||ART_message(D5G3;)Sies 25 May 2009
+Awire|net@0|||1800|conn@0|y|-11|0|marinaCo@0|fin|-6|0
+Awire|net@1|||1800|marinaCo@0|fout|6|0|conn@4|a|9|0
+Abus|net@4||-0.5|IJ1800|conn@3|y|-11|10|marinaCo@0|sic[1:9]|-1|10
+Abus|net@5||-0.5|IJ1800|conn@2|y|-11|13|marinaCo@0|sid[1:9]|-1|13
+Abus|net@6||-0.5|IJ1800|conn@1|y|-11|7|marinaCo@0|sir[1:9]|-1|7
+Efin||D4G2;|conn@0|a|I
+Efout||D6G2;|conn@4|y|O
+Esic[1:9]||D4G2;|conn@3|a|B
+Esid[1:9]||D4G2;|conn@2|a|B
+Esir[1:9]||D4G2;X-4;|conn@1|y|B
+X