add new urjtag-based code, fjmem
[fleet.git] / misc / bsdl / xc5vlx110t.bsd
diff --git a/misc/bsdl/xc5vlx110t.bsd b/misc/bsdl/xc5vlx110t.bsd
new file mode 100644 (file)
index 0000000..dc5bdbc
--- /dev/null
@@ -0,0 +1,4827 @@
+--$ XILINX$RCSfile: xc5vlx110t.bsd,v $
+--  XILINX Revision: 1.6  
+--
+-- BSDL file for device XC5VLX110T, package DIE_BOND
+-- Xilinx, Inc.  State: PRELIMINARY    Date: 2007-02-22 11:10:23-08  
+-- Generated by bsdlnet Version 1.27
+------------------------------------------------------------------------
+-- Modification History
+-- | Generated on 12/02/06
+-- | CR # N/A
+-- | Details -  Initial Release
+------------------------------------------------------------------------
+-- | Generated on 01/30/06
+-- | CR # N/A
+-- | Details -  Corrected family and idcodes.
+------------------------------------------------------------------------
+-- | Generated on 05/25/06
+-- | CR # N/A
+-- | Details -  Updated MGTVREF pin to a NO CONNECT.
+------------------------------------------------------------------------
+-- | Generated on 06/30/06
+-- | CR # N/A
+-- | Details -  Changed all AC_1 pins to AC_2.
+-- |            Converted INTEST to INTEST_RSVD.
+------------------------------------------------------------------------
+-- | Generated on 08/18/06
+-- | CR # N/A
+-- | Details -  Changed all MGTTX* pins from linkage to buffer.
+-- |            Added MGTTX* to diff pins section.
+-- |            Added AIO section.
+------------------------------------------------------------------------
+-- | Generated on 08/30/06
+-- | CR # N/A
+-- | Details -  Changed text re: IOB input levels for boundary scan.
+-- |           Converted AC_2 to BC_2 for unbonded case.
+------------------------------------------------------------------------
+-- | Generated on 10/27/06
+-- | CR # N/A
+-- | Details -  Added fxt & lx220t devices, also updated bit stream size
+-- |           values for most devices (applies to 1532 type only).
+------------------------------------------------------------------------
+-- | Generated on 12/13/06
+-- | CR # N/A
+-- | Details -  Changed AIO section to reflect pre-configured die.
+------------------------------------------------------------------------
+-- | Generated on 02/22/07
+-- | CR # N/A
+-- | Details -  Added back fuse instructions.
+------------------------------------------------------------------------
+--
+-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
+-- in the text search box at the left of the page.  If none of
+-- these records resolve your problem you should open a web support case
+-- or contact our technical support at:
+--
+--     North America   1-800-255-7778          hotline@xilinx.com
+--     United Kingdom  +44 870 7350 610        eurosupport@xilinx.com
+--     France          (33) 1 3463 0100        eurosupport@xilinx.com
+--     Germany         (49) 89 991 54930       eurosupport@xilinx.com
+--     Japan           (81) 3-3297-9163        jhotline@xilinx.com
+--
+-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
+-- the post-configuration JTAG behavior (if any), edit this file as described
+-- below. Many of these changes are demonstrated by commented-out template
+-- lines preceeding the lines they would replace:
+--
+-- 1. Enable USER instructions as appropriate (see below).
+-- 2. Set disable result of all pads as configured.
+-- 3. Set safe state of boundary cells as necessary.
+-- 4. Rename entity if necessary to avoid name collisions.
+-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
+--
+-- To prevent losing the current configuration, the boundary scan
+-- test vectors should keep the PROG_B pin high.
+--
+-- PROG_B can only be captured, not updated.  The value
+-- at the pin is always used by the device.
+--
+-- All IOBs prior to configuration, and unused and output-only IOBs following
+-- configuration, will sense their pad values during boundary-scan with an CMOS
+-- input buffer. In order to properly capture a logic high value at one
+-- of these IOBs into its input boundary scan cell, please refer to the
+-- datasheet and user guide for proper input levels.
+--
+-- For post-configuration boundary scan only: If an IOB is configured to use
+-- an input standard that uses VREF pins, then the boundary scan test vectors
+-- must keep the used VREF pins 3-stated.
+--
+-- The disable result of a 3-stated I/O in this file correspond
+-- to HSWAP_EN being high.  If HSWAP_EN is low, every PULL0 should
+-- be changed to PULL1.
+
+----------------------------------
+
+-- BSDL File for P1149.6 Standard.
+
+----------------------------------
+
+entity XC5VLX110T is
+
+-- Generic Parameter
+
+generic (PHYSICAL_PIN_MAP : string := "DIE_BOND" );
+
+-- Logical Port Description
+
+port (
+       CCLK_0: inout bit;
+       CS_B_0: in bit;
+       DONE_0: inout bit;
+       DOUT_BUSY_OUT_BUSY_0: out bit;
+       D_IN_0: in bit;
+       GND_MON: linkage bit;
+       HSWAP_EN_0: in bit;
+       INIT_B_0: inout bit;
+       M0_0: in bit;
+       M1_0: in bit;
+       M2_0: in bit;
+       MGTAVCCPLL_112: linkage bit;
+       MGTAVCCPLL_114: linkage bit;
+       MGTAVCCPLL_116: linkage bit;
+       MGTAVCCPLL_118: linkage bit;
+       MGTAVCCPLL_120: linkage bit;
+       MGTAVCCPLL_122: linkage bit;
+       MGTAVCCPLL_124: linkage bit;
+       MGTAVCCPLL_126: linkage bit;
+       MGTAVCC_112: linkage bit;
+       MGTAVCC_114: linkage bit;
+       MGTAVCC_116: linkage bit;
+       MGTAVCC_118: linkage bit;
+       MGTAVCC_120: linkage bit;
+       MGTAVCC_122: linkage bit;
+       MGTAVCC_124: linkage bit;
+       MGTAVCC_126: linkage bit;
+       MGTAVSS: linkage bit;
+       MGTAVSSPLL_112: linkage bit;
+       MGTAVSSPLL_114: linkage bit;
+       MGTAVSSPLL_116: linkage bit;
+       MGTAVSSPLL_118: linkage bit;
+       MGTAVSSPLL_120: linkage bit;
+       MGTAVSSPLL_122: linkage bit;
+       MGTAVSSPLL_124: linkage bit;
+       MGTAVSSPLL_126: linkage bit;
+       MGTAVTTRXC: linkage bit;
+       MGTAVTTRX_112: linkage bit;
+       MGTAVTTRX_114: linkage bit;
+       MGTAVTTRX_116: linkage bit;
+       MGTAVTTRX_118: linkage bit;
+       MGTAVTTRX_120: linkage bit;
+       MGTAVTTRX_122: linkage bit;
+       MGTAVTTRX_124: linkage bit;
+       MGTAVTTRX_126: linkage bit;
+       MGTAVTTTX_112: linkage bit;
+       MGTAVTTTX_114: linkage bit;
+       MGTAVTTTX_116: linkage bit;
+       MGTAVTTTX_118: linkage bit;
+       MGTAVTTTX_120: linkage bit;
+       MGTAVTTTX_122: linkage bit;
+       MGTAVTTTX_124: linkage bit;
+       MGTAVTTTX_126: linkage bit;
+       MGTREFCLKN_112: linkage bit;
+       MGTREFCLKN_114: linkage bit;
+       MGTREFCLKN_116: linkage bit;
+       MGTREFCLKN_118: linkage bit;
+       MGTREFCLKN_120: linkage bit;
+       MGTREFCLKN_122: linkage bit;
+       MGTREFCLKN_124: linkage bit;
+       MGTREFCLKN_126: linkage bit;
+       MGTREFCLKP_112: linkage bit;
+       MGTREFCLKP_114: linkage bit;
+       MGTREFCLKP_116: linkage bit;
+       MGTREFCLKP_118: linkage bit;
+       MGTREFCLKP_120: linkage bit;
+       MGTREFCLKP_122: linkage bit;
+       MGTREFCLKP_124: linkage bit;
+       MGTREFCLKP_126: linkage bit;
+       MGTRREF_112: linkage bit;
+       MGTRREF_114: linkage bit;
+       MGTRREF_116: linkage bit;
+       MGTRREF_118: linkage bit;
+       MGTRREF_120: linkage bit;
+       MGTRREF_122: linkage bit;
+       MGTRREF_124: linkage bit;
+       MGTRREF_126: linkage bit;
+       MGTRXN0_112: in bit;
+       MGTRXN0_114: in bit;
+       MGTRXN0_116: in bit;
+       MGTRXN0_118: in bit;
+       MGTRXN0_120: in bit;
+       MGTRXN0_122: in bit;
+       MGTRXN0_124: in bit;
+       MGTRXN0_126: in bit;
+       MGTRXN1_112: in bit;
+       MGTRXN1_114: in bit;
+       MGTRXN1_116: in bit;
+       MGTRXN1_118: in bit;
+       MGTRXN1_120: in bit;
+       MGTRXN1_122: in bit;
+       MGTRXN1_124: in bit;
+       MGTRXN1_126: in bit;
+       MGTRXP0_112: in bit;
+       MGTRXP0_114: in bit;
+       MGTRXP0_116: in bit;
+       MGTRXP0_118: in bit;
+       MGTRXP0_120: in bit;
+       MGTRXP0_122: in bit;
+       MGTRXP0_124: in bit;
+       MGTRXP0_126: in bit;
+       MGTRXP1_112: in bit;
+       MGTRXP1_114: in bit;
+       MGTRXP1_116: in bit;
+       MGTRXP1_118: in bit;
+       MGTRXP1_120: in bit;
+       MGTRXP1_122: in bit;
+       MGTRXP1_124: in bit;
+       MGTRXP1_126: in bit;
+       MGTTXN0_112: in bit;
+       MGTTXN0_114: in bit;
+       MGTTXN0_116: in bit;
+       MGTTXN0_118: in bit;
+       MGTTXN0_120: in bit;
+       MGTTXN0_122: in bit;
+       MGTTXN0_124: in bit;
+       MGTTXN0_126: in bit;
+       MGTTXN1_112: in bit;
+       MGTTXN1_114: in bit;
+       MGTTXN1_116: in bit;
+       MGTTXN1_118: in bit;
+       MGTTXN1_120: in bit;
+       MGTTXN1_122: in bit;
+       MGTTXN1_124: in bit;
+       MGTTXN1_126: in bit;
+       MGTTXP0_112: in bit;
+       MGTTXP0_114: in bit;
+       MGTTXP0_116: in bit;
+       MGTTXP0_118: in bit;
+       MGTTXP0_120: in bit;
+       MGTTXP0_122: in bit;
+       MGTTXP0_124: in bit;
+       MGTTXP0_126: in bit;
+       MGTTXP1_112: in bit;
+       MGTTXP1_114: in bit;
+       MGTTXP1_116: in bit;
+       MGTTXP1_118: in bit;
+       MGTTXP1_120: in bit;
+       MGTTXP1_122: in bit;
+       MGTTXP1_124: in bit;
+       MGTTXP1_126: in bit;
+       NOCONNECT: linkage bit_vector (1 to 2);
+       PROG_B: in bit;
+       RDWR_B_0: in bit;
+       TCK: in bit;
+       TDI: in bit;
+       TDN_XN_0: linkage bit;
+       TDO: out bit;
+       TDP_XP_0: linkage bit;
+       TMS: in bit;
+       VBATT_0: linkage bit;
+       VCCAUX: linkage bit_vector (1 to 6);
+       VCCINT: linkage bit;
+       VCCO0: linkage bit;
+       VCCO1: linkage bit;
+       VCCO11: linkage bit;
+       VCCO12: linkage bit;
+       VCCO13: linkage bit;
+       VCCO14: linkage bit;
+       VCCO15: linkage bit;
+       VCCO16: linkage bit;
+       VCCO17: linkage bit;
+       VCCO18: linkage bit;
+       VCCO19: linkage bit;
+       VCCO2: linkage bit;
+       VCCO20: linkage bit;
+       VCCO21: linkage bit;
+       VCCO22: linkage bit;
+       VCCO23: linkage bit;
+       VCCO24: linkage bit;
+       VCCO25: linkage bit;
+       VCCO26: linkage bit;
+       VCCO3: linkage bit;
+       VCCO4: linkage bit;
+       VCCO5: linkage bit;
+       VCCO6: linkage bit;
+       VN_0: linkage bit;
+       VP_0: linkage bit;
+       VREFN_0: linkage bit;
+       VREFP_0: linkage bit;
+       IO_PAD1: inout bit; --  PAD1
+       IO_PAD2: inout bit; --  PAD2
+       IO_PAD3: inout bit; --  PAD3
+       IO_PAD4: inout bit; --  PAD4
+       IO_PAD5: inout bit; --  PAD5
+       IO_PAD6: inout bit; --  PAD6
+       IO_PAD7: inout bit; --  PAD7
+       IO_PAD8: inout bit; --  PAD8
+       IO_PAD9: inout bit; --  PAD9
+       IO_PAD10: inout bit; --  PAD10
+       IO_PAD11: inout bit; --  PAD11
+       IO_PAD12: inout bit; --  PAD12
+       IO_PAD13: inout bit; --  PAD13
+       IO_PAD14: inout bit; --  PAD14
+       IO_PAD15: inout bit; --  PAD15
+       IO_PAD16: inout bit; --  PAD16
+       IO_PAD17: inout bit; --  PAD17
+       IO_PAD18: inout bit; --  PAD18
+       IO_PAD19: inout bit; --  PAD19
+       IO_PAD20: inout bit; --  PAD20
+       IO_PAD21: inout bit; --  PAD21
+       IO_PAD22: inout bit; --  PAD22
+       IO_PAD23: inout bit; --  PAD23
+       IO_PAD24: inout bit; --  PAD24
+       IO_PAD25: inout bit; --  PAD25
+       IO_PAD26: inout bit; --  PAD26
+       IO_PAD27: inout bit; --  PAD27
+       IO_PAD28: inout bit; --  PAD28
+       IO_PAD29: inout bit; --  PAD29
+       IO_PAD30: inout bit; --  PAD30
+       IO_PAD31: inout bit; --  PAD31
+       IO_PAD32: inout bit; --  PAD32
+       IO_PAD33: inout bit; --  PAD33
+       IO_PAD34: inout bit; --  PAD34
+       IO_PAD35: inout bit; --  PAD35
+       IO_PAD36: inout bit; --  PAD36
+       IO_PAD37: inout bit; --  PAD37
+       IO_PAD38: inout bit; --  PAD38
+       IO_PAD39: inout bit; --  PAD39
+       IO_PAD40: inout bit; --  PAD40
+       IO_PAD41: inout bit; --  PAD41
+       IO_PAD42: inout bit; --  PAD42
+       IO_PAD43: inout bit; --  PAD43
+       IO_PAD44: inout bit; --  PAD44
+       IO_PAD45: inout bit; --  PAD45
+       IO_PAD46: inout bit; --  PAD46
+       IO_PAD47: inout bit; --  PAD47
+       IO_PAD48: inout bit; --  PAD48
+       IO_PAD49: inout bit; --  PAD49
+       IO_PAD50: inout bit; --  PAD50
+       IO_PAD51: inout bit; --  PAD51
+       IO_PAD52: inout bit; --  PAD52
+       IO_PAD53: inout bit; --  PAD53
+       IO_PAD54: inout bit; --  PAD54
+       IO_PAD55: inout bit; --  PAD55
+       IO_PAD56: inout bit; --  PAD56
+       IO_PAD57: inout bit; --  PAD57
+       IO_PAD58: inout bit; --  PAD58
+       IO_PAD59: inout bit; --  PAD59
+       IO_PAD60: inout bit; --  PAD60
+       IO_PAD61: inout bit; --  PAD61
+       IO_PAD62: inout bit; --  PAD62
+       IO_PAD63: inout bit; --  PAD63
+       IO_PAD64: inout bit; --  PAD64
+       IO_PAD65: inout bit; --  PAD65
+       IO_PAD66: inout bit; --  PAD66
+       IO_PAD67: inout bit; --  PAD67
+       IO_PAD68: inout bit; --  PAD68
+       IO_PAD69: inout bit; --  PAD69
+       IO_PAD70: inout bit; --  PAD70
+       IO_PAD71: inout bit; --  PAD71
+       IO_PAD72: inout bit; --  PAD72
+       IO_PAD73: inout bit; --  PAD73
+       IO_PAD74: inout bit; --  PAD74
+       IO_PAD75: inout bit; --  PAD75
+       IO_PAD76: inout bit; --  PAD76
+       IO_PAD77: inout bit; --  PAD77
+       IO_PAD78: inout bit; --  PAD78
+       IO_PAD79: inout bit; --  PAD79
+       IO_PAD80: inout bit; --  PAD80
+       IO_PAD81: inout bit; --  PAD81
+       IO_PAD82: inout bit; --  PAD82
+       IO_PAD83: inout bit; --  PAD83
+       IO_PAD84: inout bit; --  PAD84
+       IO_PAD85: inout bit; --  PAD85
+       IO_PAD86: inout bit; --  PAD86
+       IO_PAD87: inout bit; --  PAD87
+       IO_PAD88: inout bit; --  PAD88
+       IO_PAD89: inout bit; --  PAD89
+       IO_PAD90: inout bit; --  PAD90
+       IO_PAD91: inout bit; --  PAD91
+       IO_PAD92: inout bit; --  PAD92
+       IO_PAD93: inout bit; --  PAD93
+       IO_PAD94: inout bit; --  PAD94
+       IO_PAD95: inout bit; --  PAD95
+       IO_PAD96: inout bit; --  PAD96
+       IO_PAD97: inout bit; --  PAD97
+       IO_PAD98: inout bit; --  PAD98
+       IO_PAD99: inout bit; --  PAD99
+       IO_PAD100: inout bit; --  PAD100
+       IO_PAD101: inout bit; --  PAD101
+       IO_PAD102: inout bit; --  PAD102
+       IO_PAD103: inout bit; --  PAD103
+       IO_PAD104: inout bit; --  PAD104
+       IO_PAD105: inout bit; --  PAD105
+       IO_PAD106: inout bit; --  PAD106
+       IO_PAD107: inout bit; --  PAD107
+       IO_PAD108: inout bit; --  PAD108
+       IO_PAD109: inout bit; --  PAD109
+       IO_PAD110: inout bit; --  PAD110
+       IO_PAD111: inout bit; --  PAD111
+       IO_PAD112: inout bit; --  PAD112
+       IO_PAD113: inout bit; --  PAD113
+       IO_PAD114: inout bit; --  PAD114
+       IO_PAD115: inout bit; --  PAD115
+       IO_PAD116: inout bit; --  PAD116
+       IO_PAD117: inout bit; --  PAD117
+       IO_PAD118: inout bit; --  PAD118
+       IO_PAD119: inout bit; --  PAD119
+       IO_PAD120: inout bit; --  PAD120
+       IO_PAD121: inout bit; --  PAD121
+       IO_PAD122: inout bit; --  PAD122
+       IO_PAD123: inout bit; --  PAD123
+       IO_PAD124: inout bit; --  PAD124
+       IO_PAD125: inout bit; --  PAD125
+       IO_PAD126: inout bit; --  PAD126
+       IO_PAD127: inout bit; --  PAD127
+       IO_PAD128: inout bit; --  PAD128
+       IO_PAD129: inout bit; --  PAD129
+       IO_PAD130: inout bit; --  PAD130
+       IO_PAD131: inout bit; --  PAD131
+       IO_PAD132: inout bit; --  PAD132
+       IO_PAD133: inout bit; --  PAD133
+       IO_PAD134: inout bit; --  PAD134
+       IO_PAD135: inout bit; --  PAD135
+       IO_PAD136: inout bit; --  PAD136
+       IO_PAD137: inout bit; --  PAD137
+       IO_PAD138: inout bit; --  PAD138
+       IO_PAD139: inout bit; --  PAD139
+       IO_PAD140: inout bit; --  PAD140
+       IO_PAD141: inout bit; --  PAD141
+       IO_PAD142: inout bit; --  PAD142
+       IO_PAD143: inout bit; --  PAD143
+       IO_PAD144: inout bit; --  PAD144
+       IO_PAD145: inout bit; --  PAD145
+       IO_PAD146: inout bit; --  PAD146
+       IO_PAD147: inout bit; --  PAD147
+       IO_PAD148: inout bit; --  PAD148
+       IO_PAD149: inout bit; --  PAD149
+       IO_PAD150: inout bit; --  PAD150
+       IO_PAD151: inout bit; --  PAD151
+       IO_PAD152: inout bit; --  PAD152
+       IO_PAD153: inout bit; --  PAD153
+       IO_PAD154: inout bit; --  PAD154
+       IO_PAD155: inout bit; --  PAD155
+       IO_PAD156: inout bit; --  PAD156
+       IO_PAD157: inout bit; --  PAD157
+       IO_PAD158: inout bit; --  PAD158
+       IO_PAD159: inout bit; --  PAD159
+       IO_PAD160: inout bit; --  PAD160
+       IO_PAD161: inout bit; --  PAD161
+       IO_PAD162: inout bit; --  PAD162
+       IO_PAD163: inout bit; --  PAD163
+       IO_PAD164: inout bit; --  PAD164
+       IO_PAD165: inout bit; --  PAD165
+       IO_PAD166: inout bit; --  PAD166
+       IO_PAD167: inout bit; --  PAD167
+       IO_PAD168: inout bit; --  PAD168
+       IO_PAD169: inout bit; --  PAD169
+       IO_PAD170: inout bit; --  PAD170
+       IO_PAD171: inout bit; --  PAD171
+       IO_PAD172: inout bit; --  PAD172
+       IO_PAD173: inout bit; --  PAD173
+       IO_PAD174: inout bit; --  PAD174
+       IO_PAD175: inout bit; --  PAD175
+       IO_PAD176: inout bit; --  PAD176
+       IO_PAD177: inout bit; --  PAD177
+       IO_PAD178: inout bit; --  PAD178
+       IO_PAD179: inout bit; --  PAD179
+       IO_PAD180: inout bit; --  PAD180
+       IO_PAD181: inout bit; --  PAD181
+       IO_PAD182: inout bit; --  PAD182
+       IO_PAD183: inout bit; --  PAD183
+       IO_PAD184: inout bit; --  PAD184
+       IO_PAD185: inout bit; --  PAD185
+       IO_PAD186: inout bit; --  PAD186
+       IO_PAD187: inout bit; --  PAD187
+       IO_PAD188: inout bit; --  PAD188
+       IO_PAD189: inout bit; --  PAD189
+       IO_PAD190: inout bit; --  PAD190
+       IO_PAD191: inout bit; --  PAD191
+       IO_PAD192: inout bit; --  PAD192
+       IO_PAD193: inout bit; --  PAD193
+       IO_PAD194: inout bit; --  PAD194
+       IO_PAD195: inout bit; --  PAD195
+       IO_PAD196: inout bit; --  PAD196
+       IO_PAD197: inout bit; --  PAD197
+       IO_PAD198: inout bit; --  PAD198
+       IO_PAD199: inout bit; --  PAD199
+       IO_PAD200: inout bit; --  PAD200
+       IO_PAD201: inout bit; --  PAD201
+       IO_PAD202: inout bit; --  PAD202
+       IO_PAD203: inout bit; --  PAD203
+       IO_PAD204: inout bit; --  PAD204
+       IO_PAD205: inout bit; --  PAD205
+       IO_PAD206: inout bit; --  PAD206
+       IO_PAD207: inout bit; --  PAD207
+       IO_PAD208: inout bit; --  PAD208
+       IO_PAD209: inout bit; --  PAD209
+       IO_PAD210: inout bit; --  PAD210
+       IO_PAD211: inout bit; --  PAD211
+       IO_PAD212: inout bit; --  PAD212
+       IO_PAD213: inout bit; --  PAD213
+       IO_PAD214: inout bit; --  PAD214
+       IO_PAD215: inout bit; --  PAD215
+       IO_PAD216: inout bit; --  PAD216
+       IO_PAD217: inout bit; --  PAD217
+       IO_PAD218: inout bit; --  PAD218
+       IO_PAD219: inout bit; --  PAD219
+       IO_PAD220: inout bit; --  PAD220
+       IO_PAD221: inout bit; --  PAD221
+       IO_PAD222: inout bit; --  PAD222
+       IO_PAD223: inout bit; --  PAD223
+       IO_PAD224: inout bit; --  PAD224
+       IO_PAD225: inout bit; --  PAD225
+       IO_PAD226: inout bit; --  PAD226
+       IO_PAD227: inout bit; --  PAD227
+       IO_PAD228: inout bit; --  PAD228
+       IO_PAD229: inout bit; --  PAD229
+       IO_PAD230: inout bit; --  PAD230
+       IO_PAD231: inout bit; --  PAD231
+       IO_PAD232: inout bit; --  PAD232
+       IO_PAD233: inout bit; --  PAD233
+       IO_PAD234: inout bit; --  PAD234
+       IO_PAD235: inout bit; --  PAD235
+       IO_PAD236: inout bit; --  PAD236
+       IO_PAD237: inout bit; --  PAD237
+       IO_PAD238: inout bit; --  PAD238
+       IO_PAD239: inout bit; --  PAD239
+       IO_PAD240: inout bit; --  PAD240
+       IO_PAD241: inout bit; --  PAD241
+       IO_PAD242: inout bit; --  PAD242
+       IO_PAD243: inout bit; --  PAD243
+       IO_PAD244: inout bit; --  PAD244
+       IO_PAD245: inout bit; --  PAD245
+       IO_PAD246: inout bit; --  PAD246
+       IO_PAD247: inout bit; --  PAD247
+       IO_PAD248: inout bit; --  PAD248
+       IO_PAD249: inout bit; --  PAD249
+       IO_PAD250: inout bit; --  PAD250
+       IO_PAD251: inout bit; --  PAD251
+       IO_PAD252: inout bit; --  PAD252
+       IO_PAD253: inout bit; --  PAD253
+       IO_PAD254: inout bit; --  PAD254
+       IO_PAD255: inout bit; --  PAD255
+       IO_PAD256: inout bit; --  PAD256
+       IO_PAD257: inout bit; --  PAD257
+       IO_PAD258: inout bit; --  PAD258
+       IO_PAD259: inout bit; --  PAD259
+       IO_PAD260: inout bit; --  PAD260
+       IO_PAD261: inout bit; --  PAD261
+       IO_PAD262: inout bit; --  PAD262
+       IO_PAD263: inout bit; --  PAD263
+       IO_PAD264: inout bit; --  PAD264
+       IO_PAD265: inout bit; --  PAD265
+       IO_PAD266: inout bit; --  PAD266
+       IO_PAD267: inout bit; --  PAD267
+       IO_PAD268: inout bit; --  PAD268
+       IO_PAD269: inout bit; --  PAD269
+       IO_PAD270: inout bit; --  PAD270
+       IO_PAD271: inout bit; --  PAD271
+       IO_PAD272: inout bit; --  PAD272
+       IO_PAD273: inout bit; --  PAD273
+       IO_PAD274: inout bit; --  PAD274
+       IO_PAD275: inout bit; --  PAD275
+       IO_PAD276: inout bit; --  PAD276
+       IO_PAD277: inout bit; --  PAD277
+       IO_PAD278: inout bit; --  PAD278
+       IO_PAD279: inout bit; --  PAD279
+       IO_PAD280: inout bit; --  PAD280
+       IO_PAD281: inout bit; --  PAD281
+       IO_PAD282: inout bit; --  PAD282
+       IO_PAD283: inout bit; --  PAD283
+       IO_PAD284: inout bit; --  PAD284
+       IO_PAD285: inout bit; --  PAD285
+       IO_PAD286: inout bit; --  PAD286
+       IO_PAD287: inout bit; --  PAD287
+       IO_PAD288: inout bit; --  PAD288
+       IO_PAD289: inout bit; --  PAD289
+       IO_PAD290: inout bit; --  PAD290
+       IO_PAD291: inout bit; --  PAD291
+       IO_PAD292: inout bit; --  PAD292
+       IO_PAD293: inout bit; --  PAD293
+       IO_PAD294: inout bit; --  PAD294
+       IO_PAD295: inout bit; --  PAD295
+       IO_PAD296: inout bit; --  PAD296
+       IO_PAD297: inout bit; --  PAD297
+       IO_PAD298: inout bit; --  PAD298
+       IO_PAD299: inout bit; --  PAD299
+       IO_PAD300: inout bit; --  PAD300
+       IO_PAD301: inout bit; --  PAD301
+       IO_PAD302: inout bit; --  PAD302
+       IO_PAD303: inout bit; --  PAD303
+       IO_PAD304: inout bit; --  PAD304
+       IO_PAD305: inout bit; --  PAD305
+       IO_PAD306: inout bit; --  PAD306
+       IO_PAD307: inout bit; --  PAD307
+       IO_PAD308: inout bit; --  PAD308
+       IO_PAD309: inout bit; --  PAD309
+       IO_PAD310: inout bit; --  PAD310
+       IO_PAD311: inout bit; --  PAD311
+       IO_PAD312: inout bit; --  PAD312
+       IO_PAD313: inout bit; --  PAD313
+       IO_PAD314: inout bit; --  PAD314
+       IO_PAD315: inout bit; --  PAD315
+       IO_PAD316: inout bit; --  PAD316
+       IO_PAD317: inout bit; --  PAD317
+       IO_PAD318: inout bit; --  PAD318
+       IO_PAD319: inout bit; --  PAD319
+       IO_PAD320: inout bit; --  PAD320
+       IO_PAD321: inout bit; --  PAD321
+       IO_PAD322: inout bit; --  PAD322
+       IO_PAD323: inout bit; --  PAD323
+       IO_PAD324: inout bit; --  PAD324
+       IO_PAD325: inout bit; --  PAD325
+       IO_PAD326: inout bit; --  PAD326
+       IO_PAD327: inout bit; --  PAD327
+       IO_PAD328: inout bit; --  PAD328
+       IO_PAD329: inout bit; --  PAD329
+       IO_PAD330: inout bit; --  PAD330
+       IO_PAD331: inout bit; --  PAD331
+       IO_PAD332: inout bit; --  PAD332
+       IO_PAD333: inout bit; --  PAD333
+       IO_PAD334: inout bit; --  PAD334
+       IO_PAD335: inout bit; --  PAD335
+       IO_PAD336: inout bit; --  PAD336
+       IO_PAD337: inout bit; --  PAD337
+       IO_PAD338: inout bit; --  PAD338
+       IO_PAD339: inout bit; --  PAD339
+       IO_PAD340: inout bit; --  PAD340
+       IO_PAD341: inout bit; --  PAD341
+       IO_PAD342: inout bit; --  PAD342
+       IO_PAD343: inout bit; --  PAD343
+       IO_PAD344: inout bit; --  PAD344
+       IO_PAD345: inout bit; --  PAD345
+       IO_PAD346: inout bit; --  PAD346
+       IO_PAD347: inout bit; --  PAD347
+       IO_PAD348: inout bit; --  PAD348
+       IO_PAD349: inout bit; --  PAD349
+       IO_PAD350: inout bit; --  PAD350
+       IO_PAD351: inout bit; --  PAD351
+       IO_PAD352: inout bit; --  PAD352
+       IO_PAD353: inout bit; --  PAD353
+       IO_PAD354: inout bit; --  PAD354
+       IO_PAD355: inout bit; --  PAD355
+       IO_PAD356: inout bit; --  PAD356
+       IO_PAD357: inout bit; --  PAD357
+       IO_PAD358: inout bit; --  PAD358
+       IO_PAD359: inout bit; --  PAD359
+       IO_PAD360: inout bit; --  PAD360
+       IO_PAD361: inout bit; --  PAD361
+       IO_PAD362: inout bit; --  PAD362
+       IO_PAD363: inout bit; --  PAD363
+       IO_PAD364: inout bit; --  PAD364
+       IO_PAD365: inout bit; --  PAD365
+       IO_PAD366: inout bit; --  PAD366
+       IO_PAD367: inout bit; --  PAD367
+       IO_PAD368: inout bit; --  PAD368
+       IO_PAD369: inout bit; --  PAD369
+       IO_PAD370: inout bit; --  PAD370
+       IO_PAD371: inout bit; --  PAD371
+       IO_PAD372: inout bit; --  PAD372
+       IO_PAD373: inout bit; --  PAD373
+       IO_PAD374: inout bit; --  PAD374
+       IO_PAD375: inout bit; --  PAD375
+       IO_PAD376: inout bit; --  PAD376
+       IO_PAD377: inout bit; --  PAD377
+       IO_PAD378: inout bit; --  PAD378
+       IO_PAD379: inout bit; --  PAD379
+       IO_PAD380: inout bit; --  PAD380
+       IO_PAD381: inout bit; --  PAD381
+       IO_PAD382: inout bit; --  PAD382
+       IO_PAD383: inout bit; --  PAD383
+       IO_PAD384: inout bit; --  PAD384
+       IO_PAD385: inout bit; --  PAD385
+       IO_PAD386: inout bit; --  PAD386
+       IO_PAD387: inout bit; --  PAD387
+       IO_PAD388: inout bit; --  PAD388
+       IO_PAD389: inout bit; --  PAD389
+       IO_PAD390: inout bit; --  PAD390
+       IO_PAD391: inout bit; --  PAD391
+       IO_PAD392: inout bit; --  PAD392
+       IO_PAD393: inout bit; --  PAD393
+       IO_PAD394: inout bit; --  PAD394
+       IO_PAD395: inout bit; --  PAD395
+       IO_PAD396: inout bit; --  PAD396
+       IO_PAD397: inout bit; --  PAD397
+       IO_PAD398: inout bit; --  PAD398
+       IO_PAD399: inout bit; --  PAD399
+       IO_PAD400: inout bit; --  PAD400
+       IO_PAD401: inout bit; --  PAD401
+       IO_PAD402: inout bit; --  PAD402
+       IO_PAD403: inout bit; --  PAD403
+       IO_PAD404: inout bit; --  PAD404
+       IO_PAD405: inout bit; --  PAD405
+       IO_PAD406: inout bit; --  PAD406
+       IO_PAD407: inout bit; --  PAD407
+       IO_PAD408: inout bit; --  PAD408
+       IO_PAD409: inout bit; --  PAD409
+       IO_PAD410: inout bit; --  PAD410
+       IO_PAD411: inout bit; --  PAD411
+       IO_PAD412: inout bit; --  PAD412
+       IO_PAD413: inout bit; --  PAD413
+       IO_PAD414: inout bit; --  PAD414
+       IO_PAD415: inout bit; --  PAD415
+       IO_PAD416: inout bit; --  PAD416
+       IO_PAD417: inout bit; --  PAD417
+       IO_PAD418: inout bit; --  PAD418
+       IO_PAD419: inout bit; --  PAD419
+       IO_PAD420: inout bit; --  PAD420
+       IO_PAD421: inout bit; --  PAD421
+       IO_PAD422: inout bit; --  PAD422
+       IO_PAD423: inout bit; --  PAD423
+       IO_PAD424: inout bit; --  PAD424
+       IO_PAD425: inout bit; --  PAD425
+       IO_PAD426: inout bit; --  PAD426
+       IO_PAD427: inout bit; --  PAD427
+       IO_PAD428: inout bit; --  PAD428
+       IO_PAD429: inout bit; --  PAD429
+       IO_PAD430: inout bit; --  PAD430
+       IO_PAD431: inout bit; --  PAD431
+       IO_PAD432: inout bit; --  PAD432
+       IO_PAD433: inout bit; --  PAD433
+       IO_PAD434: inout bit; --  PAD434
+       IO_PAD435: inout bit; --  PAD435
+       IO_PAD436: inout bit; --  PAD436
+       IO_PAD437: inout bit; --  PAD437
+       IO_PAD438: inout bit; --  PAD438
+       IO_PAD439: inout bit; --  PAD439
+       IO_PAD440: inout bit; --  PAD440
+       IO_PAD441: inout bit; --  PAD441
+       IO_PAD442: inout bit; --  PAD442
+       IO_PAD443: inout bit; --  PAD443
+       IO_PAD444: inout bit; --  PAD444
+       IO_PAD445: inout bit; --  PAD445
+       IO_PAD446: inout bit; --  PAD446
+       IO_PAD447: inout bit; --  PAD447
+       IO_PAD448: inout bit; --  PAD448
+       IO_PAD449: inout bit; --  PAD449
+       IO_PAD450: inout bit; --  PAD450
+       IO_PAD451: inout bit; --  PAD451
+       IO_PAD452: inout bit; --  PAD452
+       IO_PAD453: inout bit; --  PAD453
+       IO_PAD454: inout bit; --  PAD454
+       IO_PAD455: inout bit; --  PAD455
+       IO_PAD456: inout bit; --  PAD456
+       IO_PAD457: inout bit; --  PAD457
+       IO_PAD458: inout bit; --  PAD458
+       IO_PAD459: inout bit; --  PAD459
+       IO_PAD460: inout bit; --  PAD460
+       IO_PAD461: inout bit; --  PAD461
+       IO_PAD462: inout bit; --  PAD462
+       IO_PAD463: inout bit; --  PAD463
+       IO_PAD464: inout bit; --  PAD464
+       IO_PAD465: inout bit; --  PAD465
+       IO_PAD466: inout bit; --  PAD466
+       IO_PAD467: inout bit; --  PAD467
+       IO_PAD468: inout bit; --  PAD468
+       IO_PAD469: inout bit; --  PAD469
+       IO_PAD470: inout bit; --  PAD470
+       IO_PAD471: inout bit; --  PAD471
+       IO_PAD472: inout bit; --  PAD472
+       IO_PAD473: inout bit; --  PAD473
+       IO_PAD474: inout bit; --  PAD474
+       IO_PAD475: inout bit; --  PAD475
+       IO_PAD476: inout bit; --  PAD476
+       IO_PAD477: inout bit; --  PAD477
+       IO_PAD478: inout bit; --  PAD478
+       IO_PAD479: inout bit; --  PAD479
+       IO_PAD480: inout bit; --  PAD480
+       IO_PAD481: inout bit; --  PAD481
+       IO_PAD482: inout bit; --  PAD482
+       IO_PAD483: inout bit; --  PAD483
+       IO_PAD484: inout bit; --  PAD484
+       IO_PAD485: inout bit; --  PAD485
+       IO_PAD486: inout bit; --  PAD486
+       IO_PAD487: inout bit; --  PAD487
+       IO_PAD488: inout bit; --  PAD488
+       IO_PAD489: inout bit; --  PAD489
+       IO_PAD490: inout bit; --  PAD490
+       IO_PAD491: inout bit; --  PAD491
+       IO_PAD492: inout bit; --  PAD492
+       IO_PAD493: inout bit; --  PAD493
+       IO_PAD494: inout bit; --  PAD494
+       IO_PAD495: inout bit; --  PAD495
+       IO_PAD496: inout bit; --  PAD496
+       IO_PAD497: inout bit; --  PAD497
+       IO_PAD498: inout bit; --  PAD498
+       IO_PAD499: inout bit; --  PAD499
+       IO_PAD500: inout bit; --  PAD500
+       IO_PAD501: inout bit; --  PAD501
+       IO_PAD502: inout bit; --  PAD502
+       IO_PAD503: inout bit; --  PAD503
+       IO_PAD504: inout bit; --  PAD504
+       IO_PAD505: inout bit; --  PAD505
+       IO_PAD506: inout bit; --  PAD506
+       IO_PAD507: inout bit; --  PAD507
+       IO_PAD508: inout bit; --  PAD508
+       IO_PAD509: inout bit; --  PAD509
+       IO_PAD510: inout bit; --  PAD510
+       IO_PAD511: inout bit; --  PAD511
+       IO_PAD512: inout bit; --  PAD512
+       IO_PAD513: inout bit; --  PAD513
+       IO_PAD514: inout bit; --  PAD514
+       IO_PAD515: inout bit; --  PAD515
+       IO_PAD516: inout bit; --  PAD516
+       IO_PAD517: inout bit; --  PAD517
+       IO_PAD518: inout bit; --  PAD518
+       IO_PAD519: inout bit; --  PAD519
+       IO_PAD520: inout bit; --  PAD520
+       IO_PAD521: inout bit; --  PAD521
+       IO_PAD522: inout bit; --  PAD522
+       IO_PAD523: inout bit; --  PAD523
+       IO_PAD524: inout bit; --  PAD524
+       IO_PAD525: inout bit; --  PAD525
+       IO_PAD526: inout bit; --  PAD526
+       IO_PAD527: inout bit; --  PAD527
+       IO_PAD528: inout bit; --  PAD528
+       IO_PAD529: inout bit; --  PAD529
+       IO_PAD530: inout bit; --  PAD530
+       IO_PAD531: inout bit; --  PAD531
+       IO_PAD532: inout bit; --  PAD532
+       IO_PAD533: inout bit; --  PAD533
+       IO_PAD534: inout bit; --  PAD534
+       IO_PAD535: inout bit; --  PAD535
+       IO_PAD536: inout bit; --  PAD536
+       IO_PAD537: inout bit; --  PAD537
+       IO_PAD538: inout bit; --  PAD538
+       IO_PAD539: inout bit; --  PAD539
+       IO_PAD540: inout bit; --  PAD540
+       IO_PAD541: inout bit; --  PAD541
+       IO_PAD542: inout bit; --  PAD542
+       IO_PAD543: inout bit; --  PAD543
+       IO_PAD544: inout bit; --  PAD544
+       IO_PAD545: inout bit; --  PAD545
+       IO_PAD546: inout bit; --  PAD546
+       IO_PAD547: inout bit; --  PAD547
+       IO_PAD548: inout bit; --  PAD548
+       IO_PAD549: inout bit; --  PAD549
+       IO_PAD550: inout bit; --  PAD550
+       IO_PAD551: inout bit; --  PAD551
+       IO_PAD552: inout bit; --  PAD552
+       IO_PAD553: inout bit; --  PAD553
+       IO_PAD554: inout bit; --  PAD554
+       IO_PAD555: inout bit; --  PAD555
+       IO_PAD556: inout bit; --  PAD556
+       IO_PAD557: inout bit; --  PAD557
+       IO_PAD558: inout bit; --  PAD558
+       IO_PAD559: inout bit; --  PAD559
+       IO_PAD560: inout bit; --  PAD560
+       IO_PAD561: inout bit; --  PAD561
+       IO_PAD562: inout bit; --  PAD562
+       IO_PAD563: inout bit; --  PAD563
+       IO_PAD564: inout bit; --  PAD564
+       IO_PAD565: inout bit; --  PAD565
+       IO_PAD566: inout bit; --  PAD566
+       IO_PAD567: inout bit; --  PAD567
+       IO_PAD568: inout bit; --  PAD568
+       IO_PAD569: inout bit; --  PAD569
+       IO_PAD570: inout bit; --  PAD570
+       IO_PAD571: inout bit; --  PAD571
+       IO_PAD572: inout bit; --  PAD572
+       IO_PAD573: inout bit; --  PAD573
+       IO_PAD574: inout bit; --  PAD574
+       IO_PAD575: inout bit; --  PAD575
+       IO_PAD576: inout bit; --  PAD576
+       IO_PAD577: inout bit; --  PAD577
+       IO_PAD578: inout bit; --  PAD578
+       IO_PAD579: inout bit; --  PAD579
+       IO_PAD580: inout bit; --  PAD580
+       IO_PAD581: inout bit; --  PAD581
+       IO_PAD582: inout bit; --  PAD582
+       IO_PAD583: inout bit; --  PAD583
+       IO_PAD584: inout bit; --  PAD584
+       IO_PAD585: inout bit; --  PAD585
+       IO_PAD586: inout bit; --  PAD586
+       IO_PAD587: inout bit; --  PAD587
+       IO_PAD588: inout bit; --  PAD588
+       IO_PAD589: inout bit; --  PAD589
+       IO_PAD590: inout bit; --  PAD590
+       IO_PAD591: inout bit; --  PAD591
+       IO_PAD592: inout bit; --  PAD592
+       IO_PAD593: inout bit; --  PAD593
+       IO_PAD594: inout bit; --  PAD594
+       IO_PAD595: inout bit; --  PAD595
+       IO_PAD596: inout bit; --  PAD596
+       IO_PAD597: inout bit; --  PAD597
+       IO_PAD598: inout bit; --  PAD598
+       IO_PAD599: inout bit; --  PAD599
+       IO_PAD600: inout bit; --  PAD600
+       IO_PAD601: inout bit; --  PAD601
+       IO_PAD602: inout bit; --  PAD602
+       IO_PAD603: inout bit; --  PAD603
+       IO_PAD604: inout bit; --  PAD604
+       IO_PAD605: inout bit; --  PAD605
+       IO_PAD606: inout bit; --  PAD606
+       IO_PAD607: inout bit; --  PAD607
+       IO_PAD608: inout bit; --  PAD608
+       IO_PAD609: inout bit; --  PAD609
+       IO_PAD610: inout bit; --  PAD610
+       IO_PAD611: inout bit; --  PAD611
+       IO_PAD612: inout bit; --  PAD612
+       IO_PAD613: inout bit; --  PAD613
+       IO_PAD614: inout bit; --  PAD614
+       IO_PAD615: inout bit; --  PAD615
+       IO_PAD616: inout bit; --  PAD616
+       IO_PAD617: inout bit; --  PAD617
+       IO_PAD618: inout bit; --  PAD618
+       IO_PAD619: inout bit; --  PAD619
+       IO_PAD620: inout bit; --  PAD620
+       IO_PAD621: inout bit; --  PAD621
+       IO_PAD622: inout bit; --  PAD622
+       IO_PAD623: inout bit; --  PAD623
+       IO_PAD624: inout bit; --  PAD624
+       IO_PAD625: inout bit; --  PAD625
+       IO_PAD626: inout bit; --  PAD626
+       IO_PAD627: inout bit; --  PAD627
+       IO_PAD628: inout bit; --  PAD628
+       IO_PAD629: inout bit; --  PAD629
+       IO_PAD630: inout bit; --  PAD630
+       IO_PAD631: inout bit; --  PAD631
+       IO_PAD632: inout bit; --  PAD632
+       IO_PAD633: inout bit; --  PAD633
+       IO_PAD634: inout bit; --  PAD634
+       IO_PAD635: inout bit; --  PAD635
+       IO_PAD636: inout bit; --  PAD636
+       IO_PAD637: inout bit; --  PAD637
+       IO_PAD638: inout bit; --  PAD638
+       IO_PAD639: inout bit; --  PAD639
+       IO_PAD640: inout bit; --  PAD640
+       IO_PAD641: inout bit; --  PAD641
+       IO_PAD642: inout bit; --  PAD642
+       IO_PAD643: inout bit; --  PAD643
+       IO_PAD644: inout bit; --  PAD644
+       IO_PAD645: inout bit; --  PAD645
+       IO_PAD646: inout bit; --  PAD646
+       IO_PAD647: inout bit; --  PAD647
+       IO_PAD648: inout bit; --  PAD648
+       IO_PAD649: inout bit; --  PAD649
+       IO_PAD650: inout bit; --  PAD650
+       IO_PAD651: inout bit; --  PAD651
+       IO_PAD652: inout bit; --  PAD652
+       IO_PAD653: inout bit; --  PAD653
+       IO_PAD654: inout bit; --  PAD654
+       IO_PAD655: inout bit; --  PAD655
+       IO_PAD656: inout bit; --  PAD656
+       IO_PAD657: inout bit; --  PAD657
+       IO_PAD658: inout bit; --  PAD658
+       IO_PAD659: inout bit; --  PAD659
+       IO_PAD660: inout bit; --  PAD660
+       IO_PAD661: inout bit; --  PAD661
+       IO_PAD662: inout bit; --  PAD662
+       IO_PAD663: inout bit; --  PAD663
+       IO_PAD664: inout bit; --  PAD664
+       IO_PAD665: inout bit; --  PAD665
+       IO_PAD666: inout bit; --  PAD666
+       IO_PAD667: inout bit; --  PAD667
+       IO_PAD668: inout bit; --  PAD668
+       IO_PAD669: inout bit; --  PAD669
+       IO_PAD670: inout bit; --  PAD670
+       IO_PAD671: inout bit; --  PAD671
+       IO_PAD672: inout bit; --  PAD672
+       IO_PAD673: inout bit; --  PAD673
+       IO_PAD674: inout bit; --  PAD674
+       IO_PAD675: inout bit; --  PAD675
+       IO_PAD676: inout bit; --  PAD676
+       IO_PAD677: inout bit; --  PAD677
+       IO_PAD678: inout bit; --  PAD678
+       IO_PAD679: inout bit; --  PAD679
+       IO_PAD680: inout bit; --  PAD680
+       IO_PAD681: inout bit; --  PAD681
+       IO_PAD682: inout bit; --  PAD682
+       IO_PAD683: inout bit; --  PAD683
+       IO_PAD684: inout bit; --  PAD684
+       IO_PAD685: inout bit; --  PAD685
+       IO_PAD686: inout bit; --  PAD686
+       IO_PAD687: inout bit; --  PAD687
+       IO_PAD688: inout bit; --  PAD688
+       IO_PAD689: inout bit; --  PAD689
+       IO_PAD690: inout bit; --  PAD690
+       IO_PAD691: inout bit; --  PAD691
+       IO_PAD692: inout bit; --  PAD692
+       IO_PAD693: inout bit; --  PAD693
+       IO_PAD694: inout bit; --  PAD694
+       IO_PAD695: inout bit; --  PAD695
+       IO_PAD696: inout bit; --  PAD696
+       IO_PAD697: inout bit; --  PAD697
+       IO_PAD698: inout bit; --  PAD698
+       IO_PAD699: inout bit; --  PAD699
+       IO_PAD700: inout bit; --  PAD700
+       IO_PAD701: inout bit; --  PAD701
+       IO_PAD702: inout bit; --  PAD702
+       IO_PAD703: inout bit; --  PAD703
+       IO_PAD704: inout bit; --  PAD704
+       IO_PAD705: inout bit; --  PAD705
+       IO_PAD706: inout bit; --  PAD706
+       IO_PAD707: inout bit; --  PAD707
+       IO_PAD708: inout bit; --  PAD708
+       IO_PAD709: inout bit; --  PAD709
+       IO_PAD710: inout bit; --  PAD710
+       IO_PAD711: inout bit; --  PAD711
+       IO_PAD712: inout bit; --  PAD712
+       IO_PAD713: inout bit; --  PAD713
+       IO_PAD714: inout bit; --  PAD714
+       IO_PAD715: inout bit; --  PAD715
+       IO_PAD716: inout bit; --  PAD716
+       IO_PAD717: inout bit; --  PAD717
+       IO_PAD718: inout bit; --  PAD718
+       IO_PAD719: inout bit; --  PAD719
+       IO_PAD720: inout bit; --  PAD720
+       IO_PAD721: inout bit; --  PAD721
+       IO_PAD722: inout bit; --  PAD722
+       IO_PAD723: inout bit; --  PAD723
+       IO_PAD724: inout bit; --  PAD724
+       IO_PAD725: inout bit; --  PAD725
+       IO_PAD726: inout bit; --  PAD726
+       IO_PAD727: inout bit; --  PAD727
+       IO_PAD728: inout bit; --  PAD728
+       IO_PAD729: inout bit; --  PAD729
+       IO_PAD730: inout bit; --  PAD730
+       IO_PAD731: inout bit; --  PAD731
+       IO_PAD732: inout bit; --  PAD732
+       IO_PAD733: inout bit; --  PAD733
+       IO_PAD734: inout bit; --  PAD734
+       IO_PAD735: inout bit; --  PAD735
+       IO_PAD736: inout bit; --  PAD736
+       IO_PAD737: inout bit; --  PAD737
+       IO_PAD738: inout bit; --  PAD738
+       IO_PAD739: inout bit; --  PAD739
+       IO_PAD740: inout bit; --  PAD740
+       IO_PAD741: inout bit; --  PAD741
+       IO_PAD742: inout bit; --  PAD742
+       IO_PAD743: inout bit; --  PAD743
+       IO_PAD744: inout bit; --  PAD744
+       IO_PAD745: inout bit; --  PAD745
+       IO_PAD746: inout bit; --  PAD746
+       IO_PAD747: inout bit; --  PAD747
+       IO_PAD748: inout bit; --  PAD748
+       IO_PAD749: inout bit; --  PAD749
+       IO_PAD750: inout bit; --  PAD750
+       IO_PAD751: inout bit; --  PAD751
+       IO_PAD752: inout bit; --  PAD752
+       IO_PAD753: inout bit; --  PAD753
+       IO_PAD754: inout bit; --  PAD754
+       IO_PAD755: inout bit; --  PAD755
+       IO_PAD756: inout bit; --  PAD756
+       IO_PAD757: inout bit; --  PAD757
+       IO_PAD758: inout bit; --  PAD758
+       IO_PAD759: inout bit; --  PAD759
+       IO_PAD760: inout bit; --  PAD760
+       IO_PAD761: inout bit; --  PAD761
+       IO_PAD762: inout bit; --  PAD762
+       IO_PAD763: inout bit; --  PAD763
+       IO_PAD764: inout bit; --  PAD764
+       IO_PAD765: inout bit; --  PAD765
+       IO_PAD766: inout bit; --  PAD766
+       IO_PAD767: inout bit; --  PAD767
+       IO_PAD768: inout bit; --  PAD768
+       IO_PAD769: inout bit; --  PAD769
+       IO_PAD770: inout bit; --  PAD770
+       IO_PAD771: inout bit; --  PAD771
+       IO_PAD772: inout bit; --  PAD772
+       IO_PAD773: inout bit; --  PAD773
+       IO_PAD774: inout bit; --  PAD774
+       IO_PAD775: inout bit; --  PAD775
+       IO_PAD776: inout bit; --  PAD776
+       IO_PAD777: inout bit; --  PAD777
+       IO_PAD778: inout bit; --  PAD778
+       IO_PAD779: inout bit; --  PAD779
+       IO_PAD780: inout bit; --  PAD780
+       IO_PAD781: inout bit; --  PAD781
+       IO_PAD782: inout bit; --  PAD782
+       IO_PAD783: inout bit; --  PAD783
+       IO_PAD784: inout bit; --  PAD784
+       IO_PAD785: inout bit; --  PAD785
+       IO_PAD786: inout bit; --  PAD786
+       IO_PAD787: inout bit; --  PAD787
+       IO_PAD788: inout bit; --  PAD788
+       IO_PAD789: inout bit; --  PAD789
+       IO_PAD790: inout bit; --  PAD790
+       IO_PAD791: inout bit; --  PAD791
+       IO_PAD792: inout bit; --  PAD792
+       IO_PAD793: inout bit; --  PAD793
+       IO_PAD794: inout bit; --  PAD794
+       IO_PAD795: inout bit; --  PAD795
+       IO_PAD796: inout bit; --  PAD796
+       IO_PAD797: inout bit; --  PAD797
+       IO_PAD798: inout bit; --  PAD798
+       IO_PAD799: inout bit; --  PAD799
+       IO_PAD800: inout bit --  PAD800
+); --end port list
+
+-- Use Statements
+
+use STD_1149_1_2001.all;
+use STD_1149_6_2003.all;
+
+-- Component Conformance Statement(s)
+
+attribute COMPONENT_CONFORMANCE of XC5VLX110T : entity is
+       "STD_1149_1_2001";
+
+-- Device Package Pin Mappings
+
+attribute PIN_MAP of XC5VLX110T : entity is PHYSICAL_PIN_MAP;
+
+constant DIE_BOND: PIN_MAP_STRING:=
+       "CCLK_0:BARE1," &
+       "CS_B_0:BARE2," &
+       "DONE_0:BARE3," &
+       "DOUT_BUSY_OUT_BUSY_0:BARE4," &
+       "D_IN_0:BARE5," &
+       "GND_MON:BARE6," &
+       "HSWAP_EN_0:BARE7," &
+       "INIT_B_0:BARE8," &
+       "M0_0:BARE9," &
+       "M1_0:BARE10," &
+       "M2_0:BARE11," &
+       "MGTAVCCPLL_112:BARE12," &
+       "MGTAVCCPLL_114:BARE13," &
+       "MGTAVCCPLL_116:BARE14," &
+       "MGTAVCCPLL_118:BARE15," &
+       "MGTAVCCPLL_120:BARE16," &
+       "MGTAVCCPLL_122:BARE17," &
+       "MGTAVCCPLL_124:BARE18," &
+       "MGTAVCCPLL_126:BARE19," &
+       "MGTAVCC_112:BARE20," &
+       "MGTAVCC_114:BARE21," &
+       "MGTAVCC_116:BARE22," &
+       "MGTAVCC_118:BARE23," &
+       "MGTAVCC_120:BARE24," &
+       "MGTAVCC_122:BARE25," &
+       "MGTAVCC_124:BARE26," &
+       "MGTAVCC_126:BARE27," &
+       "MGTAVSS:BARE28," &
+       "MGTAVSSPLL_112:BARE29," &
+       "MGTAVSSPLL_114:BARE30," &
+       "MGTAVSSPLL_116:BARE31," &
+       "MGTAVSSPLL_118:BARE32," &
+       "MGTAVSSPLL_120:BARE33," &
+       "MGTAVSSPLL_122:BARE34," &
+       "MGTAVSSPLL_124:BARE35," &
+       "MGTAVSSPLL_126:BARE36," &
+       "MGTAVTTRXC:BARE37," &
+       "MGTAVTTRX_112:BARE38," &
+       "MGTAVTTRX_114:BARE39," &
+       "MGTAVTTRX_116:BARE40," &
+       "MGTAVTTRX_118:BARE41," &
+       "MGTAVTTRX_120:BARE42," &
+       "MGTAVTTRX_122:BARE43," &
+       "MGTAVTTRX_124:BARE44," &
+       "MGTAVTTRX_126:BARE45," &
+       "MGTAVTTTX_112:BARE46," &
+       "MGTAVTTTX_114:BARE47," &
+       "MGTAVTTTX_116:BARE48," &
+       "MGTAVTTTX_118:BARE49," &
+       "MGTAVTTTX_120:BARE50," &
+       "MGTAVTTTX_122:BARE51," &
+       "MGTAVTTTX_124:BARE52," &
+       "MGTAVTTTX_126:BARE53," &
+       "MGTREFCLKN_112:BARE54," &
+       "MGTREFCLKN_114:BARE55," &
+       "MGTREFCLKN_116:BARE56," &
+       "MGTREFCLKN_118:BARE57," &
+       "MGTREFCLKN_120:BARE58," &
+       "MGTREFCLKN_122:BARE59," &
+       "MGTREFCLKN_124:BARE60," &
+       "MGTREFCLKN_126:BARE61," &
+       "MGTREFCLKP_112:BARE62," &
+       "MGTREFCLKP_114:BARE63," &
+       "MGTREFCLKP_116:BARE64," &
+       "MGTREFCLKP_118:BARE65," &
+       "MGTREFCLKP_120:BARE66," &
+       "MGTREFCLKP_122:BARE67," &
+       "MGTREFCLKP_124:BARE68," &
+       "MGTREFCLKP_126:BARE69," &
+       "MGTRREF_112:BARE70," &
+       "MGTRREF_114:BARE71," &
+       "MGTRREF_116:BARE72," &
+       "MGTRREF_118:BARE73," &
+       "MGTRREF_120:BARE74," &
+       "MGTRREF_122:BARE75," &
+       "MGTRREF_124:BARE76," &
+       "MGTRREF_126:BARE77," &
+       "MGTRXN0_112:BARE78," &
+       "MGTRXN0_114:BARE79," &
+       "MGTRXN0_116:BARE80," &
+       "MGTRXN0_118:BARE81," &
+       "MGTRXN0_120:BARE82," &
+       "MGTRXN0_122:BARE83," &
+       "MGTRXN0_124:BARE84," &
+       "MGTRXN0_126:BARE85," &
+       "MGTRXN1_112:BARE86," &
+       "MGTRXN1_114:BARE87," &
+       "MGTRXN1_116:BARE88," &
+       "MGTRXN1_118:BARE89," &
+       "MGTRXN1_120:BARE90," &
+       "MGTRXN1_122:BARE91," &
+       "MGTRXN1_124:BARE92," &
+       "MGTRXN1_126:BARE93," &
+       "MGTRXP0_112:BARE94," &
+       "MGTRXP0_114:BARE95," &
+       "MGTRXP0_116:BARE96," &
+       "MGTRXP0_118:BARE97," &
+       "MGTRXP0_120:BARE98," &
+       "MGTRXP0_122:BARE99," &
+       "MGTRXP0_124:BARE100," &
+       "MGTRXP0_126:BARE101," &
+       "MGTRXP1_112:BARE102," &
+       "MGTRXP1_114:BARE103," &
+       "MGTRXP1_116:BARE104," &
+       "MGTRXP1_118:BARE105," &
+       "MGTRXP1_120:BARE106," &
+       "MGTRXP1_122:BARE107," &
+       "MGTRXP1_124:BARE108," &
+       "MGTRXP1_126:BARE109," &
+       "MGTTXN0_112:BARE110," &
+       "MGTTXN0_114:BARE111," &
+       "MGTTXN0_116:BARE112," &
+       "MGTTXN0_118:BARE113," &
+       "MGTTXN0_120:BARE114," &
+       "MGTTXN0_122:BARE115," &
+       "MGTTXN0_124:BARE116," &
+       "MGTTXN0_126:BARE117," &
+       "MGTTXN1_112:BARE118," &
+       "MGTTXN1_114:BARE119," &
+       "MGTTXN1_116:BARE120," &
+       "MGTTXN1_118:BARE121," &
+       "MGTTXN1_120:BARE122," &
+       "MGTTXN1_122:BARE123," &
+       "MGTTXN1_124:BARE124," &
+       "MGTTXN1_126:BARE125," &
+       "MGTTXP0_112:BARE126," &
+       "MGTTXP0_114:BARE127," &
+       "MGTTXP0_116:BARE128," &
+       "MGTTXP0_118:BARE129," &
+       "MGTTXP0_120:BARE130," &
+       "MGTTXP0_122:BARE131," &
+       "MGTTXP0_124:BARE132," &
+       "MGTTXP0_126:BARE133," &
+       "MGTTXP1_112:BARE134," &
+       "MGTTXP1_114:BARE135," &
+       "MGTTXP1_116:BARE136," &
+       "MGTTXP1_118:BARE137," &
+       "MGTTXP1_120:BARE138," &
+       "MGTTXP1_122:BARE139," &
+       "MGTTXP1_124:BARE140," &
+       "MGTTXP1_126:BARE141," &
+       "NOCONNECT:(BARE142,BARE143)," &
+       "PROG_B:BARE144," &
+       "RDWR_B_0:BARE145," &
+       "TCK:BARE146," &
+       "TDI:BARE147," &
+       "TDN_XN_0:BARE148," &
+       "TDO:BARE149," &
+       "TDP_XP_0:BARE150," &
+       "TMS:BARE151," &
+       "VBATT_0:BARE152," &
+       "VCCAUX:(BARE153,BARE154,BARE155,BARE156,BARE157,BARE158)," &
+       "VCCINT:BARE159," &
+       "VCCO0:BARE160," &
+       "VCCO1:BARE161," &
+       "VCCO11:BARE162," &
+       "VCCO12:BARE163," &
+       "VCCO13:BARE164," &
+       "VCCO14:BARE165," &
+       "VCCO15:BARE166," &
+       "VCCO16:BARE167," &
+       "VCCO17:BARE168," &
+       "VCCO18:BARE169," &
+       "VCCO19:BARE170," &
+       "VCCO2:BARE171," &
+       "VCCO20:BARE172," &
+       "VCCO21:BARE173," &
+       "VCCO22:BARE174," &
+       "VCCO23:BARE175," &
+       "VCCO24:BARE176," &
+       "VCCO25:BARE177," &
+       "VCCO26:BARE178," &
+       "VCCO3:BARE179," &
+       "VCCO4:BARE180," &
+       "VCCO5:BARE181," &
+       "VCCO6:BARE182," &
+       "VN_0:BARE183," &
+       "VP_0:BARE184," &
+       "VREFN_0:BARE185," &
+       "VREFP_0:BARE186," &
+       "IO_PAD1:BARE187," &
+       "IO_PAD2:BARE188," &
+       "IO_PAD3:BARE189," &
+       "IO_PAD4:BARE190," &
+       "IO_PAD5:BARE191," &
+       "IO_PAD6:BARE192," &
+       "IO_PAD7:BARE193," &
+       "IO_PAD8:BARE194," &
+       "IO_PAD9:BARE195," &
+       "IO_PAD10:BARE196," &
+       "IO_PAD11:BARE197," &
+       "IO_PAD12:BARE198," &
+       "IO_PAD13:BARE199," &
+       "IO_PAD14:BARE200," &
+       "IO_PAD15:BARE201," &
+       "IO_PAD16:BARE202," &
+       "IO_PAD17:BARE203," &
+       "IO_PAD18:BARE204," &
+       "IO_PAD19:BARE205," &
+       "IO_PAD20:BARE206," &
+       "IO_PAD21:BARE207," &
+       "IO_PAD22:BARE208," &
+       "IO_PAD23:BARE209," &
+       "IO_PAD24:BARE210," &
+       "IO_PAD25:BARE211," &
+       "IO_PAD26:BARE212," &
+       "IO_PAD27:BARE213," &
+       "IO_PAD28:BARE214," &
+       "IO_PAD29:BARE215," &
+       "IO_PAD30:BARE216," &
+       "IO_PAD31:BARE217," &
+       "IO_PAD32:BARE218," &
+       "IO_PAD33:BARE219," &
+       "IO_PAD34:BARE220," &
+       "IO_PAD35:BARE221," &
+       "IO_PAD36:BARE222," &
+       "IO_PAD37:BARE223," &
+       "IO_PAD38:BARE224," &
+       "IO_PAD39:BARE225," &
+       "IO_PAD40:BARE226," &
+       "IO_PAD41:BARE227," &
+       "IO_PAD42:BARE228," &
+       "IO_PAD43:BARE229," &
+       "IO_PAD44:BARE230," &
+       "IO_PAD45:BARE231," &
+       "IO_PAD46:BARE232," &
+       "IO_PAD47:BARE233," &
+       "IO_PAD48:BARE234," &
+       "IO_PAD49:BARE235," &
+       "IO_PAD50:BARE236," &
+       "IO_PAD51:BARE237," &
+       "IO_PAD52:BARE238," &
+       "IO_PAD53:BARE239," &
+       "IO_PAD54:BARE240," &
+       "IO_PAD55:BARE241," &
+       "IO_PAD56:BARE242," &
+       "IO_PAD57:BARE243," &
+       "IO_PAD58:BARE244," &
+       "IO_PAD59:BARE245," &
+       "IO_PAD60:BARE246," &
+       "IO_PAD61:BARE247," &
+       "IO_PAD62:BARE248," &
+       "IO_PAD63:BARE249," &
+       "IO_PAD64:BARE250," &
+       "IO_PAD65:BARE251," &
+       "IO_PAD66:BARE252," &
+       "IO_PAD67:BARE253," &
+       "IO_PAD68:BARE254," &
+       "IO_PAD69:BARE255," &
+       "IO_PAD70:BARE256," &
+       "IO_PAD71:BARE257," &
+       "IO_PAD72:BARE258," &
+       "IO_PAD73:BARE259," &
+       "IO_PAD74:BARE260," &
+       "IO_PAD75:BARE261," &
+       "IO_PAD76:BARE262," &
+       "IO_PAD77:BARE263," &
+       "IO_PAD78:BARE264," &
+       "IO_PAD79:BARE265," &
+       "IO_PAD80:BARE266," &
+       "IO_PAD81:BARE267," &
+       "IO_PAD82:BARE268," &
+       "IO_PAD83:BARE269," &
+       "IO_PAD84:BARE270," &
+       "IO_PAD85:BARE271," &
+       "IO_PAD86:BARE272," &
+       "IO_PAD87:BARE273," &
+       "IO_PAD88:BARE274," &
+       "IO_PAD89:BARE275," &
+       "IO_PAD90:BARE276," &
+       "IO_PAD91:BARE277," &
+       "IO_PAD92:BARE278," &
+       "IO_PAD93:BARE279," &
+       "IO_PAD94:BARE280," &
+       "IO_PAD95:BARE281," &
+       "IO_PAD96:BARE282," &
+       "IO_PAD97:BARE283," &
+       "IO_PAD98:BARE284," &
+       "IO_PAD99:BARE285," &
+       "IO_PAD100:BARE286," &
+       "IO_PAD101:BARE287," &
+       "IO_PAD102:BARE288," &
+       "IO_PAD103:BARE289," &
+       "IO_PAD104:BARE290," &
+       "IO_PAD105:BARE291," &
+       "IO_PAD106:BARE292," &
+       "IO_PAD107:BARE293," &
+       "IO_PAD108:BARE294," &
+       "IO_PAD109:BARE295," &
+       "IO_PAD110:BARE296," &
+       "IO_PAD111:BARE297," &
+       "IO_PAD112:BARE298," &
+       "IO_PAD113:BARE299," &
+       "IO_PAD114:BARE300," &
+       "IO_PAD115:BARE301," &
+       "IO_PAD116:BARE302," &
+       "IO_PAD117:BARE303," &
+       "IO_PAD118:BARE304," &
+       "IO_PAD119:BARE305," &
+       "IO_PAD120:BARE306," &
+       "IO_PAD121:BARE307," &
+       "IO_PAD122:BARE308," &
+       "IO_PAD123:BARE309," &
+       "IO_PAD124:BARE310," &
+       "IO_PAD125:BARE311," &
+       "IO_PAD126:BARE312," &
+       "IO_PAD127:BARE313," &
+       "IO_PAD128:BARE314," &
+       "IO_PAD129:BARE315," &
+       "IO_PAD130:BARE316," &
+       "IO_PAD131:BARE317," &
+       "IO_PAD132:BARE318," &
+       "IO_PAD133:BARE319," &
+       "IO_PAD134:BARE320," &
+       "IO_PAD135:BARE321," &
+       "IO_PAD136:BARE322," &
+       "IO_PAD137:BARE323," &
+       "IO_PAD138:BARE324," &
+       "IO_PAD139:BARE325," &
+       "IO_PAD140:BARE326," &
+       "IO_PAD141:BARE327," &
+       "IO_PAD142:BARE328," &
+       "IO_PAD143:BARE329," &
+       "IO_PAD144:BARE330," &
+       "IO_PAD145:BARE331," &
+       "IO_PAD146:BARE332," &
+       "IO_PAD147:BARE333," &
+       "IO_PAD148:BARE334," &
+       "IO_PAD149:BARE335," &
+       "IO_PAD150:BARE336," &
+       "IO_PAD151:BARE337," &
+       "IO_PAD152:BARE338," &
+       "IO_PAD153:BARE339," &
+       "IO_PAD154:BARE340," &
+       "IO_PAD155:BARE341," &
+       "IO_PAD156:BARE342," &
+       "IO_PAD157:BARE343," &
+       "IO_PAD158:BARE344," &
+       "IO_PAD159:BARE345," &
+       "IO_PAD160:BARE346," &
+       "IO_PAD161:BARE347," &
+       "IO_PAD162:BARE348," &
+       "IO_PAD163:BARE349," &
+       "IO_PAD164:BARE350," &
+       "IO_PAD165:BARE351," &
+       "IO_PAD166:BARE352," &
+       "IO_PAD167:BARE353," &
+       "IO_PAD168:BARE354," &
+       "IO_PAD169:BARE355," &
+       "IO_PAD170:BARE356," &
+       "IO_PAD171:BARE357," &
+       "IO_PAD172:BARE358," &
+       "IO_PAD173:BARE359," &
+       "IO_PAD174:BARE360," &
+       "IO_PAD175:BARE361," &
+       "IO_PAD176:BARE362," &
+       "IO_PAD177:BARE363," &
+       "IO_PAD178:BARE364," &
+       "IO_PAD179:BARE365," &
+       "IO_PAD180:BARE366," &
+       "IO_PAD181:BARE367," &
+       "IO_PAD182:BARE368," &
+       "IO_PAD183:BARE369," &
+       "IO_PAD184:BARE370," &
+       "IO_PAD185:BARE371," &
+       "IO_PAD186:BARE372," &
+       "IO_PAD187:BARE373," &
+       "IO_PAD188:BARE374," &
+       "IO_PAD189:BARE375," &
+       "IO_PAD190:BARE376," &
+       "IO_PAD191:BARE377," &
+       "IO_PAD192:BARE378," &
+       "IO_PAD193:BARE379," &
+       "IO_PAD194:BARE380," &
+       "IO_PAD195:BARE381," &
+       "IO_PAD196:BARE382," &
+       "IO_PAD197:BARE383," &
+       "IO_PAD198:BARE384," &
+       "IO_PAD199:BARE385," &
+       "IO_PAD200:BARE386," &
+       "IO_PAD201:BARE387," &
+       "IO_PAD202:BARE388," &
+       "IO_PAD203:BARE389," &
+       "IO_PAD204:BARE390," &
+       "IO_PAD205:BARE391," &
+       "IO_PAD206:BARE392," &
+       "IO_PAD207:BARE393," &
+       "IO_PAD208:BARE394," &
+       "IO_PAD209:BARE395," &
+       "IO_PAD210:BARE396," &
+       "IO_PAD211:BARE397," &
+       "IO_PAD212:BARE398," &
+       "IO_PAD213:BARE399," &
+       "IO_PAD214:BARE400," &
+       "IO_PAD215:BARE401," &
+       "IO_PAD216:BARE402," &
+       "IO_PAD217:BARE403," &
+       "IO_PAD218:BARE404," &
+       "IO_PAD219:BARE405," &
+       "IO_PAD220:BARE406," &
+       "IO_PAD221:BARE407," &
+       "IO_PAD222:BARE408," &
+       "IO_PAD223:BARE409," &
+       "IO_PAD224:BARE410," &
+       "IO_PAD225:BARE411," &
+       "IO_PAD226:BARE412," &
+       "IO_PAD227:BARE413," &
+       "IO_PAD228:BARE414," &
+       "IO_PAD229:BARE415," &
+       "IO_PAD230:BARE416," &
+       "IO_PAD231:BARE417," &
+       "IO_PAD232:BARE418," &
+       "IO_PAD233:BARE419," &
+       "IO_PAD234:BARE420," &
+       "IO_PAD235:BARE421," &
+       "IO_PAD236:BARE422," &
+       "IO_PAD237:BARE423," &
+       "IO_PAD238:BARE424," &
+       "IO_PAD239:BARE425," &
+       "IO_PAD240:BARE426," &
+       "IO_PAD241:BARE427," &
+       "IO_PAD242:BARE428," &
+       "IO_PAD243:BARE429," &
+       "IO_PAD244:BARE430," &
+       "IO_PAD245:BARE431," &
+       "IO_PAD246:BARE432," &
+       "IO_PAD247:BARE433," &
+       "IO_PAD248:BARE434," &
+       "IO_PAD249:BARE435," &
+       "IO_PAD250:BARE436," &
+       "IO_PAD251:BARE437," &
+       "IO_PAD252:BARE438," &
+       "IO_PAD253:BARE439," &
+       "IO_PAD254:BARE440," &
+       "IO_PAD255:BARE441," &
+       "IO_PAD256:BARE442," &
+       "IO_PAD257:BARE443," &
+       "IO_PAD258:BARE444," &
+       "IO_PAD259:BARE445," &
+       "IO_PAD260:BARE446," &
+       "IO_PAD261:BARE447," &
+       "IO_PAD262:BARE448," &
+       "IO_PAD263:BARE449," &
+       "IO_PAD264:BARE450," &
+       "IO_PAD265:BARE451," &
+       "IO_PAD266:BARE452," &
+       "IO_PAD267:BARE453," &
+       "IO_PAD268:BARE454," &
+       "IO_PAD269:BARE455," &
+       "IO_PAD270:BARE456," &
+       "IO_PAD271:BARE457," &
+       "IO_PAD272:BARE458," &
+       "IO_PAD273:BARE459," &
+       "IO_PAD274:BARE460," &
+       "IO_PAD275:BARE461," &
+       "IO_PAD276:BARE462," &
+       "IO_PAD277:BARE463," &
+       "IO_PAD278:BARE464," &
+       "IO_PAD279:BARE465," &
+       "IO_PAD280:BARE466," &
+       "IO_PAD281:BARE467," &
+       "IO_PAD282:BARE468," &
+       "IO_PAD283:BARE469," &
+       "IO_PAD284:BARE470," &
+       "IO_PAD285:BARE471," &
+       "IO_PAD286:BARE472," &
+       "IO_PAD287:BARE473," &
+       "IO_PAD288:BARE474," &
+       "IO_PAD289:BARE475," &
+       "IO_PAD290:BARE476," &
+       "IO_PAD291:BARE477," &
+       "IO_PAD292:BARE478," &
+       "IO_PAD293:BARE479," &
+       "IO_PAD294:BARE480," &
+       "IO_PAD295:BARE481," &
+       "IO_PAD296:BARE482," &
+       "IO_PAD297:BARE483," &
+       "IO_PAD298:BARE484," &
+       "IO_PAD299:BARE485," &
+       "IO_PAD300:BARE486," &
+       "IO_PAD301:BARE487," &
+       "IO_PAD302:BARE488," &
+       "IO_PAD303:BARE489," &
+       "IO_PAD304:BARE490," &
+       "IO_PAD305:BARE491," &
+       "IO_PAD306:BARE492," &
+       "IO_PAD307:BARE493," &
+       "IO_PAD308:BARE494," &
+       "IO_PAD309:BARE495," &
+       "IO_PAD310:BARE496," &
+       "IO_PAD311:BARE497," &
+       "IO_PAD312:BARE498," &
+       "IO_PAD313:BARE499," &
+       "IO_PAD314:BARE500," &
+       "IO_PAD315:BARE501," &
+       "IO_PAD316:BARE502," &
+       "IO_PAD317:BARE503," &
+       "IO_PAD318:BARE504," &
+       "IO_PAD319:BARE505," &
+       "IO_PAD320:BARE506," &
+       "IO_PAD321:BARE507," &
+       "IO_PAD322:BARE508," &
+       "IO_PAD323:BARE509," &
+       "IO_PAD324:BARE510," &
+       "IO_PAD325:BARE511," &
+       "IO_PAD326:BARE512," &
+       "IO_PAD327:BARE513," &
+       "IO_PAD328:BARE514," &
+       "IO_PAD329:BARE515," &
+       "IO_PAD330:BARE516," &
+       "IO_PAD331:BARE517," &
+       "IO_PAD332:BARE518," &
+       "IO_PAD333:BARE519," &
+       "IO_PAD334:BARE520," &
+       "IO_PAD335:BARE521," &
+       "IO_PAD336:BARE522," &
+       "IO_PAD337:BARE523," &
+       "IO_PAD338:BARE524," &
+       "IO_PAD339:BARE525," &
+       "IO_PAD340:BARE526," &
+       "IO_PAD341:BARE527," &
+       "IO_PAD342:BARE528," &
+       "IO_PAD343:BARE529," &
+       "IO_PAD344:BARE530," &
+       "IO_PAD345:BARE531," &
+       "IO_PAD346:BARE532," &
+       "IO_PAD347:BARE533," &
+       "IO_PAD348:BARE534," &
+       "IO_PAD349:BARE535," &
+       "IO_PAD350:BARE536," &
+       "IO_PAD351:BARE537," &
+       "IO_PAD352:BARE538," &
+       "IO_PAD353:BARE539," &
+       "IO_PAD354:BARE540," &
+       "IO_PAD355:BARE541," &
+       "IO_PAD356:BARE542," &
+       "IO_PAD357:BARE543," &
+       "IO_PAD358:BARE544," &
+       "IO_PAD359:BARE545," &
+       "IO_PAD360:BARE546," &
+       "IO_PAD361:BARE547," &
+       "IO_PAD362:BARE548," &
+       "IO_PAD363:BARE549," &
+       "IO_PAD364:BARE550," &
+       "IO_PAD365:BARE551," &
+       "IO_PAD366:BARE552," &
+       "IO_PAD367:BARE553," &
+       "IO_PAD368:BARE554," &
+       "IO_PAD369:BARE555," &
+       "IO_PAD370:BARE556," &
+       "IO_PAD371:BARE557," &
+       "IO_PAD372:BARE558," &
+       "IO_PAD373:BARE559," &
+       "IO_PAD374:BARE560," &
+       "IO_PAD375:BARE561," &
+       "IO_PAD376:BARE562," &
+       "IO_PAD377:BARE563," &
+       "IO_PAD378:BARE564," &
+       "IO_PAD379:BARE565," &
+       "IO_PAD380:BARE566," &
+       "IO_PAD381:BARE567," &
+       "IO_PAD382:BARE568," &
+       "IO_PAD383:BARE569," &
+       "IO_PAD384:BARE570," &
+       "IO_PAD385:BARE571," &
+       "IO_PAD386:BARE572," &
+       "IO_PAD387:BARE573," &
+       "IO_PAD388:BARE574," &
+       "IO_PAD389:BARE575," &
+       "IO_PAD390:BARE576," &
+       "IO_PAD391:BARE577," &
+       "IO_PAD392:BARE578," &
+       "IO_PAD393:BARE579," &
+       "IO_PAD394:BARE580," &
+       "IO_PAD395:BARE581," &
+       "IO_PAD396:BARE582," &
+       "IO_PAD397:BARE583," &
+       "IO_PAD398:BARE584," &
+       "IO_PAD399:BARE585," &
+       "IO_PAD400:BARE586," &
+       "IO_PAD401:BARE587," &
+       "IO_PAD402:BARE588," &
+       "IO_PAD403:BARE589," &
+       "IO_PAD404:BARE590," &
+       "IO_PAD405:BARE591," &
+       "IO_PAD406:BARE592," &
+       "IO_PAD407:BARE593," &
+       "IO_PAD408:BARE594," &
+       "IO_PAD409:BARE595," &
+       "IO_PAD410:BARE596," &
+       "IO_PAD411:BARE597," &
+       "IO_PAD412:BARE598," &
+       "IO_PAD413:BARE599," &
+       "IO_PAD414:BARE600," &
+       "IO_PAD415:BARE601," &
+       "IO_PAD416:BARE602," &
+       "IO_PAD417:BARE603," &
+       "IO_PAD418:BARE604," &
+       "IO_PAD419:BARE605," &
+       "IO_PAD420:BARE606," &
+       "IO_PAD421:BARE607," &
+       "IO_PAD422:BARE608," &
+       "IO_PAD423:BARE609," &
+       "IO_PAD424:BARE610," &
+       "IO_PAD425:BARE611," &
+       "IO_PAD426:BARE612," &
+       "IO_PAD427:BARE613," &
+       "IO_PAD428:BARE614," &
+       "IO_PAD429:BARE615," &
+       "IO_PAD430:BARE616," &
+       "IO_PAD431:BARE617," &
+       "IO_PAD432:BARE618," &
+       "IO_PAD433:BARE619," &
+       "IO_PAD434:BARE620," &
+       "IO_PAD435:BARE621," &
+       "IO_PAD436:BARE622," &
+       "IO_PAD437:BARE623," &
+       "IO_PAD438:BARE624," &
+       "IO_PAD439:BARE625," &
+       "IO_PAD440:BARE626," &
+       "IO_PAD441:BARE627," &
+       "IO_PAD442:BARE628," &
+       "IO_PAD443:BARE629," &
+       "IO_PAD444:BARE630," &
+       "IO_PAD445:BARE631," &
+       "IO_PAD446:BARE632," &
+       "IO_PAD447:BARE633," &
+       "IO_PAD448:BARE634," &
+       "IO_PAD449:BARE635," &
+       "IO_PAD450:BARE636," &
+       "IO_PAD451:BARE637," &
+       "IO_PAD452:BARE638," &
+       "IO_PAD453:BARE639," &
+       "IO_PAD454:BARE640," &
+       "IO_PAD455:BARE641," &
+       "IO_PAD456:BARE642," &
+       "IO_PAD457:BARE643," &
+       "IO_PAD458:BARE644," &
+       "IO_PAD459:BARE645," &
+       "IO_PAD460:BARE646," &
+       "IO_PAD461:BARE647," &
+       "IO_PAD462:BARE648," &
+       "IO_PAD463:BARE649," &
+       "IO_PAD464:BARE650," &
+       "IO_PAD465:BARE651," &
+       "IO_PAD466:BARE652," &
+       "IO_PAD467:BARE653," &
+       "IO_PAD468:BARE654," &
+       "IO_PAD469:BARE655," &
+       "IO_PAD470:BARE656," &
+       "IO_PAD471:BARE657," &
+       "IO_PAD472:BARE658," &
+       "IO_PAD473:BARE659," &
+       "IO_PAD474:BARE660," &
+       "IO_PAD475:BARE661," &
+       "IO_PAD476:BARE662," &
+       "IO_PAD477:BARE663," &
+       "IO_PAD478:BARE664," &
+       "IO_PAD479:BARE665," &
+       "IO_PAD480:BARE666," &
+       "IO_PAD481:BARE667," &
+       "IO_PAD482:BARE668," &
+       "IO_PAD483:BARE669," &
+       "IO_PAD484:BARE670," &
+       "IO_PAD485:BARE671," &
+       "IO_PAD486:BARE672," &
+       "IO_PAD487:BARE673," &
+       "IO_PAD488:BARE674," &
+       "IO_PAD489:BARE675," &
+       "IO_PAD490:BARE676," &
+       "IO_PAD491:BARE677," &
+       "IO_PAD492:BARE678," &
+       "IO_PAD493:BARE679," &
+       "IO_PAD494:BARE680," &
+       "IO_PAD495:BARE681," &
+       "IO_PAD496:BARE682," &
+       "IO_PAD497:BARE683," &
+       "IO_PAD498:BARE684," &
+       "IO_PAD499:BARE685," &
+       "IO_PAD500:BARE686," &
+       "IO_PAD501:BARE687," &
+       "IO_PAD502:BARE688," &
+       "IO_PAD503:BARE689," &
+       "IO_PAD504:BARE690," &
+       "IO_PAD505:BARE691," &
+       "IO_PAD506:BARE692," &
+       "IO_PAD507:BARE693," &
+       "IO_PAD508:BARE694," &
+       "IO_PAD509:BARE695," &
+       "IO_PAD510:BARE696," &
+       "IO_PAD511:BARE697," &
+       "IO_PAD512:BARE698," &
+       "IO_PAD513:BARE699," &
+       "IO_PAD514:BARE700," &
+       "IO_PAD515:BARE701," &
+       "IO_PAD516:BARE702," &
+       "IO_PAD517:BARE703," &
+       "IO_PAD518:BARE704," &
+       "IO_PAD519:BARE705," &
+       "IO_PAD520:BARE706," &
+       "IO_PAD521:BARE707," &
+       "IO_PAD522:BARE708," &
+       "IO_PAD523:BARE709," &
+       "IO_PAD524:BARE710," &
+       "IO_PAD525:BARE711," &
+       "IO_PAD526:BARE712," &
+       "IO_PAD527:BARE713," &
+       "IO_PAD528:BARE714," &
+       "IO_PAD529:BARE715," &
+       "IO_PAD530:BARE716," &
+       "IO_PAD531:BARE717," &
+       "IO_PAD532:BARE718," &
+       "IO_PAD533:BARE719," &
+       "IO_PAD534:BARE720," &
+       "IO_PAD535:BARE721," &
+       "IO_PAD536:BARE722," &
+       "IO_PAD537:BARE723," &
+       "IO_PAD538:BARE724," &
+       "IO_PAD539:BARE725," &
+       "IO_PAD540:BARE726," &
+       "IO_PAD541:BARE727," &
+       "IO_PAD542:BARE728," &
+       "IO_PAD543:BARE729," &
+       "IO_PAD544:BARE730," &
+       "IO_PAD545:BARE731," &
+       "IO_PAD546:BARE732," &
+       "IO_PAD547:BARE733," &
+       "IO_PAD548:BARE734," &
+       "IO_PAD549:BARE735," &
+       "IO_PAD550:BARE736," &
+       "IO_PAD551:BARE737," &
+       "IO_PAD552:BARE738," &
+       "IO_PAD553:BARE739," &
+       "IO_PAD554:BARE740," &
+       "IO_PAD555:BARE741," &
+       "IO_PAD556:BARE742," &
+       "IO_PAD557:BARE743," &
+       "IO_PAD558:BARE744," &
+       "IO_PAD559:BARE745," &
+       "IO_PAD560:BARE746," &
+       "IO_PAD561:BARE747," &
+       "IO_PAD562:BARE748," &
+       "IO_PAD563:BARE749," &
+       "IO_PAD564:BARE750," &
+       "IO_PAD565:BARE751," &
+       "IO_PAD566:BARE752," &
+       "IO_PAD567:BARE753," &
+       "IO_PAD568:BARE754," &
+       "IO_PAD569:BARE755," &
+       "IO_PAD570:BARE756," &
+       "IO_PAD571:BARE757," &
+       "IO_PAD572:BARE758," &
+       "IO_PAD573:BARE759," &
+       "IO_PAD574:BARE760," &
+       "IO_PAD575:BARE761," &
+       "IO_PAD576:BARE762," &
+       "IO_PAD577:BARE763," &
+       "IO_PAD578:BARE764," &
+       "IO_PAD579:BARE765," &
+       "IO_PAD580:BARE766," &
+       "IO_PAD581:BARE767," &
+       "IO_PAD582:BARE768," &
+       "IO_PAD583:BARE769," &
+       "IO_PAD584:BARE770," &
+       "IO_PAD585:BARE771," &
+       "IO_PAD586:BARE772," &
+       "IO_PAD587:BARE773," &
+       "IO_PAD588:BARE774," &
+       "IO_PAD589:BARE775," &
+       "IO_PAD590:BARE776," &
+       "IO_PAD591:BARE777," &
+       "IO_PAD592:BARE778," &
+       "IO_PAD593:BARE779," &
+       "IO_PAD594:BARE780," &
+       "IO_PAD595:BARE781," &
+       "IO_PAD596:BARE782," &
+       "IO_PAD597:BARE783," &
+       "IO_PAD598:BARE784," &
+       "IO_PAD599:BARE785," &
+       "IO_PAD600:BARE786," &
+       "IO_PAD601:BARE787," &
+       "IO_PAD602:BARE788," &
+       "IO_PAD603:BARE789," &
+       "IO_PAD604:BARE790," &
+       "IO_PAD605:BARE791," &
+       "IO_PAD606:BARE792," &
+       "IO_PAD607:BARE793," &
+       "IO_PAD608:BARE794," &
+       "IO_PAD609:BARE795," &
+       "IO_PAD610:BARE796," &
+       "IO_PAD611:BARE797," &
+       "IO_PAD612:BARE798," &
+       "IO_PAD613:BARE799," &
+       "IO_PAD614:BARE800," &
+       "IO_PAD615:BARE801," &
+       "IO_PAD616:BARE802," &
+       "IO_PAD617:BARE803," &
+       "IO_PAD618:BARE804," &
+       "IO_PAD619:BARE805," &
+       "IO_PAD620:BARE806," &
+       "IO_PAD621:BARE807," &
+       "IO_PAD622:BARE808," &
+       "IO_PAD623:BARE809," &
+       "IO_PAD624:BARE810," &
+       "IO_PAD625:BARE811," &
+       "IO_PAD626:BARE812," &
+       "IO_PAD627:BARE813," &
+       "IO_PAD628:BARE814," &
+       "IO_PAD629:BARE815," &
+       "IO_PAD630:BARE816," &
+       "IO_PAD631:BARE817," &
+       "IO_PAD632:BARE818," &
+       "IO_PAD633:BARE819," &
+       "IO_PAD634:BARE820," &
+       "IO_PAD635:BARE821," &
+       "IO_PAD636:BARE822," &
+       "IO_PAD637:BARE823," &
+       "IO_PAD638:BARE824," &
+       "IO_PAD639:BARE825," &
+       "IO_PAD640:BARE826," &
+       "IO_PAD641:BARE827," &
+       "IO_PAD642:BARE828," &
+       "IO_PAD643:BARE829," &
+       "IO_PAD644:BARE830," &
+       "IO_PAD645:BARE831," &
+       "IO_PAD646:BARE832," &
+       "IO_PAD647:BARE833," &
+       "IO_PAD648:BARE834," &
+       "IO_PAD649:BARE835," &
+       "IO_PAD650:BARE836," &
+       "IO_PAD651:BARE837," &
+       "IO_PAD652:BARE838," &
+       "IO_PAD653:BARE839," &
+       "IO_PAD654:BARE840," &
+       "IO_PAD655:BARE841," &
+       "IO_PAD656:BARE842," &
+       "IO_PAD657:BARE843," &
+       "IO_PAD658:BARE844," &
+       "IO_PAD659:BARE845," &
+       "IO_PAD660:BARE846," &
+       "IO_PAD661:BARE847," &
+       "IO_PAD662:BARE848," &
+       "IO_PAD663:BARE849," &
+       "IO_PAD664:BARE850," &
+       "IO_PAD665:BARE851," &
+       "IO_PAD666:BARE852," &
+       "IO_PAD667:BARE853," &
+       "IO_PAD668:BARE854," &
+       "IO_PAD669:BARE855," &
+       "IO_PAD670:BARE856," &
+       "IO_PAD671:BARE857," &
+       "IO_PAD672:BARE858," &
+       "IO_PAD673:BARE859," &
+       "IO_PAD674:BARE860," &
+       "IO_PAD675:BARE861," &
+       "IO_PAD676:BARE862," &
+       "IO_PAD677:BARE863," &
+       "IO_PAD678:BARE864," &
+       "IO_PAD679:BARE865," &
+       "IO_PAD680:BARE866," &
+       "IO_PAD681:BARE867," &
+       "IO_PAD682:BARE868," &
+       "IO_PAD683:BARE869," &
+       "IO_PAD684:BARE870," &
+       "IO_PAD685:BARE871," &
+       "IO_PAD686:BARE872," &
+       "IO_PAD687:BARE873," &
+       "IO_PAD688:BARE874," &
+       "IO_PAD689:BARE875," &
+       "IO_PAD690:BARE876," &
+       "IO_PAD691:BARE877," &
+       "IO_PAD692:BARE878," &
+       "IO_PAD693:BARE879," &
+       "IO_PAD694:BARE880," &
+       "IO_PAD695:BARE881," &
+       "IO_PAD696:BARE882," &
+       "IO_PAD697:BARE883," &
+       "IO_PAD698:BARE884," &
+       "IO_PAD699:BARE885," &
+       "IO_PAD700:BARE886," &
+       "IO_PAD701:BARE887," &
+       "IO_PAD702:BARE888," &
+       "IO_PAD703:BARE889," &
+       "IO_PAD704:BARE890," &
+       "IO_PAD705:BARE891," &
+       "IO_PAD706:BARE892," &
+       "IO_PAD707:BARE893," &
+       "IO_PAD708:BARE894," &
+       "IO_PAD709:BARE895," &
+       "IO_PAD710:BARE896," &
+       "IO_PAD711:BARE897," &
+       "IO_PAD712:BARE898," &
+       "IO_PAD713:BARE899," &
+       "IO_PAD714:BARE900," &
+       "IO_PAD715:BARE901," &
+       "IO_PAD716:BARE902," &
+       "IO_PAD717:BARE903," &
+       "IO_PAD718:BARE904," &
+       "IO_PAD719:BARE905," &
+       "IO_PAD720:BARE906," &
+       "IO_PAD721:BARE907," &
+       "IO_PAD722:BARE908," &
+       "IO_PAD723:BARE909," &
+       "IO_PAD724:BARE910," &
+       "IO_PAD725:BARE911," &
+       "IO_PAD726:BARE912," &
+       "IO_PAD727:BARE913," &
+       "IO_PAD728:BARE914," &
+       "IO_PAD729:BARE915," &
+       "IO_PAD730:BARE916," &
+       "IO_PAD731:BARE917," &
+       "IO_PAD732:BARE918," &
+       "IO_PAD733:BARE919," &
+       "IO_PAD734:BARE920," &
+       "IO_PAD735:BARE921," &
+       "IO_PAD736:BARE922," &
+       "IO_PAD737:BARE923," &
+       "IO_PAD738:BARE924," &
+       "IO_PAD739:BARE925," &
+       "IO_PAD740:BARE926," &
+       "IO_PAD741:BARE927," &
+       "IO_PAD742:BARE928," &
+       "IO_PAD743:BARE929," &
+       "IO_PAD744:BARE930," &
+       "IO_PAD745:BARE931," &
+       "IO_PAD746:BARE932," &
+       "IO_PAD747:BARE933," &
+       "IO_PAD748:BARE934," &
+       "IO_PAD749:BARE935," &
+       "IO_PAD750:BARE936," &
+       "IO_PAD751:BARE937," &
+       "IO_PAD752:BARE938," &
+       "IO_PAD753:BARE939," &
+       "IO_PAD754:BARE940," &
+       "IO_PAD755:BARE941," &
+       "IO_PAD756:BARE942," &
+       "IO_PAD757:BARE943," &
+       "IO_PAD758:BARE944," &
+       "IO_PAD759:BARE945," &
+       "IO_PAD760:BARE946," &
+       "IO_PAD761:BARE947," &
+       "IO_PAD762:BARE948," &
+       "IO_PAD763:BARE949," &
+       "IO_PAD764:BARE950," &
+       "IO_PAD765:BARE951," &
+       "IO_PAD766:BARE952," &
+       "IO_PAD767:BARE953," &
+       "IO_PAD768:BARE954," &
+       "IO_PAD769:BARE955," &
+       "IO_PAD770:BARE956," &
+       "IO_PAD771:BARE957," &
+       "IO_PAD772:BARE958," &
+       "IO_PAD773:BARE959," &
+       "IO_PAD774:BARE960," &
+       "IO_PAD775:BARE961," &
+       "IO_PAD776:BARE962," &
+       "IO_PAD777:BARE963," &
+       "IO_PAD778:BARE964," &
+       "IO_PAD779:BARE965," &
+       "IO_PAD780:BARE966," &
+       "IO_PAD781:BARE967," &
+       "IO_PAD782:BARE968," &
+       "IO_PAD783:BARE969," &
+       "IO_PAD784:BARE970," &
+       "IO_PAD785:BARE971," &
+       "IO_PAD786:BARE972," &
+       "IO_PAD787:BARE973," &
+       "IO_PAD788:BARE974," &
+       "IO_PAD789:BARE975," &
+       "IO_PAD790:BARE976," &
+       "IO_PAD791:BARE977," &
+       "IO_PAD792:BARE978," &
+       "IO_PAD793:BARE979," &
+       "IO_PAD794:BARE980," &
+       "IO_PAD795:BARE981," &
+       "IO_PAD796:BARE982," &
+       "IO_PAD797:BARE983," &
+       "IO_PAD798:BARE984," &
+       "IO_PAD799:BARE985," &
+       "IO_PAD800:BARE986";
+
+
+-- Grouped Port Identification
+
+attribute PORT_GROUPING of XC5VLX110T : entity is
+"DIFFERENTIAL_VOLTAGE (" &
+"(MGTRXP0_112, MGTRXN0_112), " &
+"(MGTRXP0_114, MGTRXN0_114), " &
+"(MGTRXP0_116, MGTRXN0_116), " &
+"(MGTRXP0_118, MGTRXN0_118), " &
+"(MGTRXP0_120, MGTRXN0_120), " &
+"(MGTRXP0_122, MGTRXN0_122), " &
+"(MGTRXP0_124, MGTRXN0_124), " &
+"(MGTRXP0_126, MGTRXN0_126), " &
+"(MGTRXP1_112, MGTRXN1_112), " &
+"(MGTRXP1_114, MGTRXN1_114), " &
+"(MGTRXP1_116, MGTRXN1_116), " &
+"(MGTRXP1_118, MGTRXN1_118), " &
+"(MGTRXP1_120, MGTRXN1_120), " &
+"(MGTRXP1_122, MGTRXN1_122), " &
+"(MGTRXP1_124, MGTRXN1_124), " &
+"(MGTRXP1_126, MGTRXN1_126), " &
+"(MGTTXP0_112, MGTTXN0_112), " &
+"(MGTTXP0_114, MGTTXN0_114), " &
+"(MGTTXP0_116, MGTTXN0_116), " &
+"(MGTTXP0_118, MGTTXN0_118), " &
+"(MGTTXP0_120, MGTTXN0_120), " &
+"(MGTTXP0_122, MGTTXN0_122), " &
+"(MGTTXP0_124, MGTTXN0_124), " &
+"(MGTTXP0_126, MGTTXN0_126), " &
+"(MGTTXP1_112, MGTTXN1_112), " &
+"(MGTTXP1_114, MGTTXN1_114), " &
+"(MGTTXP1_116, MGTTXN1_116), " &
+"(MGTTXP1_118, MGTTXN1_118), " &
+"(MGTTXP1_120, MGTTXN1_120), " &
+"(MGTTXP1_122, MGTTXN1_122), " &
+"(MGTTXP1_124, MGTTXN1_124), " &
+"(MGTTXP1_126, MGTTXN1_126))";
+
+-- Scan Port Identification
+
+attribute TAP_SCAN_IN    of TDI : signal is true;
+attribute TAP_SCAN_MODE  of TMS : signal is true;
+attribute TAP_SCAN_OUT   of TDO : signal is true;
+attribute TAP_SCAN_CLOCK of TCK : signal is (33.0e6, BOTH);
+
+-- Compliance-Enable Description
+
+attribute COMPLIANCE_PATTERNS of XC5VLX110T : entity is
+        "(PROG_B) (1)";
+
+-- Instruction Register Description
+
+attribute INSTRUCTION_LENGTH of XC5VLX110T : entity is 10;
+
+attribute INSTRUCTION_OPCODE of XC5VLX110T : entity is
+        "EXTEST         (1111000000)," &
+        "EXTEST_PULSE   (1111111100)," &
+        "EXTEST_TRAIN   (1111111101)," &
+        "SAMPLE    (1111000001)," &
+        "PRELOAD   (1111000001)," & -- Same as SAMPLE
+        "USER1     (1111000010)," & -- Not available until after configuration
+        "USER2     (1111000011)," & -- Not available until after configuration
+        "USER3     (1111100010)," & -- Not available until after configuration
+        "USER4     (1111100011)," & -- Not available until after configuration
+        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
+        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
+        "JRSVD     (1111000110)," &
+        "INTEST_RSVD    (1111000111)," &
+        "USERCODE  (1111001000)," &
+        "IDCODE    (1111001001)," &
+        "HIGHZ     (1111001010)," &
+        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
+        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
+        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
+        "MONITOR1  (1111001110)," &
+        "MONITOR2  (1111001111)," &
+        "BYPASS    (1111111111)," &
+        "FUSE_UPDATE      (1111110000)," &
+        "FUSE_KEY         (1111110001)," &
+        "FUSE_ID          (1111110010)," &
+        "FUSE_USER        (1111110011)," &
+        "FUSE_CNTL        (1111110100)," &
+        "MONITOR_DRP      (1111110111)," &
+        "MISR_EN          (1111111000)," &
+       "ISC_ENABLE           (1111010000)," &
+       "ISC_PROGRAM          (1111010001)," &
+       "ISC_PROGRAM_SECURITY (1111010010)," &
+       "ISC_ADDRESS_SHIFT    (1111010011)," &
+       "ISC_NOOP             (1111010100)," &
+       "ISC_READ             (1111010101)," &
+       "ISC_DISABLE          (1111010110)";
+
+attribute INSTRUCTION_CAPTURE of XC5VLX110T : entity is
+-- Bit 5 is 1 when DONE is released (part of startup sequence)
+-- Bit 4 is 1 if house-cleaning is complete
+-- Bit 3 is ISC_Enabled
+-- Bit 2 is ISC_Done
+        "XXXXXXXX01";
+
+attribute INSTRUCTION_PRIVATE of XC5VLX110T : entity is
+-- If the device is configured, and a USER instruction is implemented
+-- and not private to the FPGA designer, then it should be removed
+-- from INSTRUCTION_PRIVATE, and the target register should be defined
+-- in REGISTER_ACCESS.
+        "USER1," &
+        "USER2," &
+        "USER3," &
+        "USER4," &
+        "CFG_OUT," &
+        "CFG_IN," &
+        "JRSVD," &
+        "JPROGRAM," &
+        "JSTART," &
+        "JSHUTDOWN," &
+        "INTEST_RSVD," &
+        "MONITOR1," &
+        "MONITOR2," &
+        "FUSE_UPDATE," &
+        "FUSE_KEY," &
+        "FUSE_ID," &
+        "FUSE_USER," &
+        "FUSE_CNTL," &
+        "MONITOR_DRP," &
+        "MISR_EN," &
+       "ISC_ENABLE," &
+       "ISC_PROGRAM," &
+       "ISC_PROGRAM_SECURITY," &
+       "ISC_ADDRESS_SHIFT," &
+       "ISC_NOOP," &
+       "ISC_READ," &
+       "ISC_DISABLE";
+
+-- Optional Register Description
+
+attribute IDCODE_REGISTER of XC5VLX110T : entity is
+       "XXXX" &        -- version
+       "0010101" &     -- family
+       "011010110" &   -- array size
+       "00001001001" & -- manufacturer
+       "1";            -- required by 1149.1
+
+
+attribute USERCODE_REGISTER of XC5VLX110T : entity is
+        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
+
+-- Register Access Description
+
+attribute REGISTER_ACCESS of XC5VLX110T : entity is
+--     "<reg_name>[<length>] (USER1)," &
+--     "<reg_name>[<length>] (USER2)," &
+--     "<reg_name>[<length>] (USER3)," &
+--     "<reg_name>[<length>] (USER4)," &
+        "BYPASS (HIGHZ,BYPASS)," &
+       "DEVICE_ID (USERCODE,IDCODE)," &
+       "BOUNDARY (SAMPLE,PRELOAD,EXTEST,EXTEST_PULSE,EXTEST_TRAIN)";
+
+-- Boundary-Scan Register Description
+
+attribute BOUNDARY_LENGTH of XC5VLX110T : entity is 2505;
+
+attribute BOUNDARY_REGISTER of XC5VLX110T : entity is
+-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
+       "   0 (BC_1, *, internal, X)," &
+       "   1 (BC_1, *, internal, X)," &
+       "   2 (BC_1, *, internal, X)," &
+       "   3 (BC_1, *, internal, X)," &
+       "   4 (BC_1, *, internal, X)," &
+       "   5 (BC_1, *, internal, X)," &
+       "   6 (BC_1, *, internal, X)," &
+       "   7 (BC_1, *, internal, X)," &
+       "   8 (BC_1, *, internal, X)," &
+       "   9 (BC_1, *, internal, X)," &
+       "  10 (BC_1, *, internal, X)," &
+       "  11 (BC_4, MGTTXP1_126, OBSERVE_ONLY, X)," &
+       "  12 (BC_4, MGTRXN1_126, OBSERVE_ONLY, X)," &
+       "  13 (BC_4, MGTRXP1_126, OBSERVE_ONLY, X)," &
+       "  14 (BC_4, MGTRXN0_126, OBSERVE_ONLY, X)," &
+       "  15 (BC_4, MGTRXP0_126, OBSERVE_ONLY, X)," &
+       "  16 (BC_4, MGTTXP0_126, OBSERVE_ONLY, X)," &
+       "  17 (BC_4, MGTTXP1_122, OBSERVE_ONLY, X)," &
+       "  18 (BC_4, MGTRXN1_122, OBSERVE_ONLY, X)," &
+       "  19 (BC_4, MGTRXP1_122, OBSERVE_ONLY, X)," &
+       "  20 (BC_4, MGTRXN0_122, OBSERVE_ONLY, X)," &
+       "  21 (BC_4, MGTRXP0_122, OBSERVE_ONLY, X)," &
+       "  22 (BC_4, MGTTXP0_122, OBSERVE_ONLY, X)," &
+       "  23 (BC_4, MGTTXP1_118, OBSERVE_ONLY, X)," &
+       "  24 (BC_4, MGTRXN1_118, OBSERVE_ONLY, X)," &
+       "  25 (BC_4, MGTRXP1_118, OBSERVE_ONLY, X)," &
+       "  26 (BC_4, MGTRXN0_118, OBSERVE_ONLY, X)," &
+       "  27 (BC_4, MGTRXP0_118, OBSERVE_ONLY, X)," &
+       "  28 (BC_4, MGTTXP0_118, OBSERVE_ONLY, X)," &
+       "  29 (BC_4, MGTTXP1_114, OBSERVE_ONLY, X)," &
+       "  30 (BC_4, MGTRXN1_114, OBSERVE_ONLY, X)," &
+       "  31 (BC_4, MGTRXP1_114, OBSERVE_ONLY, X)," &
+       "  32 (BC_4, MGTRXN0_114, OBSERVE_ONLY, X)," &
+       "  33 (BC_4, MGTRXP0_114, OBSERVE_ONLY, X)," &
+       "  34 (BC_4, MGTTXP0_114, OBSERVE_ONLY, X)," &
+       "  35 (BC_4, MGTTXP1_112, OBSERVE_ONLY, X)," &
+       "  36 (BC_4, MGTRXN1_112, OBSERVE_ONLY, X)," &
+       "  37 (BC_4, MGTRXP1_112, OBSERVE_ONLY, X)," &
+       "  38 (BC_4, MGTRXN0_112, OBSERVE_ONLY, X)," &
+       "  39 (BC_4, MGTRXP0_112, OBSERVE_ONLY, X)," &
+       "  40 (BC_4, MGTTXP0_112, OBSERVE_ONLY, X)," &
+       "  41 (BC_4, MGTTXP1_116, OBSERVE_ONLY, X)," &
+       "  42 (BC_4, MGTRXN1_116, OBSERVE_ONLY, X)," &
+       "  43 (BC_4, MGTRXP1_116, OBSERVE_ONLY, X)," &
+       "  44 (BC_4, MGTRXN0_116, OBSERVE_ONLY, X)," &
+       "  45 (BC_4, MGTRXP0_116, OBSERVE_ONLY, X)," &
+       "  46 (BC_4, MGTTXP0_116, OBSERVE_ONLY, X)," &
+       "  47 (BC_4, MGTTXP1_120, OBSERVE_ONLY, X)," &
+       "  48 (BC_4, MGTRXN1_120, OBSERVE_ONLY, X)," &
+       "  49 (BC_4, MGTRXP1_120, OBSERVE_ONLY, X)," &
+       "  50 (BC_4, MGTRXN0_120, OBSERVE_ONLY, X)," &
+       "  51 (BC_4, MGTRXP0_120, OBSERVE_ONLY, X)," &
+       "  52 (BC_4, MGTTXP0_120, OBSERVE_ONLY, X)," &
+       "  53 (BC_4, MGTTXP1_124, OBSERVE_ONLY, X)," &
+       "  54 (BC_4, MGTRXN1_124, OBSERVE_ONLY, X)," &
+       "  55 (BC_4, MGTRXP1_124, OBSERVE_ONLY, X)," &
+       "  56 (BC_4, MGTRXN0_124, OBSERVE_ONLY, X)," &
+       "  57 (BC_4, MGTRXP0_124, OBSERVE_ONLY, X)," &
+       "  58 (BC_4, MGTTXP0_124, OBSERVE_ONLY, X)," &
+       "  59 (BC_1, *, internal, X)," &
+       "  60 (BC_1, *, internal, X)," &
+       "  61 (BC_1, *, internal, X)," &
+       "  62 (BC_1, *, internal, X)," &
+       "  63 (BC_1, *, internal, X)," &
+       "  64 (BC_1, *, internal, X)," &
+       "  65 (BC_1, *, internal, X)," &
+       "  66 (BC_1, *, internal, X)," &
+       "  67 (BC_1, *, internal, X)," &
+       "  68 (BC_2, *, controlr, 1)," &
+       "  69 (BC_2, IO_PAD800, output3, X, 68, 1, PULL0)," & --  PAD800
+       "  70 (BC_2, IO_PAD800, input, X)," & --  PAD800
+       "  71 (BC_2, *, controlr, 1)," &
+       "  72 (BC_2, IO_PAD799, output3, X, 71, 1, PULL0)," & --  PAD799
+       "  73 (BC_2, IO_PAD799, input, X)," & --  PAD799
+       "  74 (BC_2, *, controlr, 1)," &
+       "  75 (BC_2, IO_PAD798, output3, X, 74, 1, PULL0)," & --  PAD798
+       "  76 (BC_2, IO_PAD798, input, X)," & --  PAD798
+       "  77 (BC_2, *, controlr, 1)," &
+       "  78 (BC_2, IO_PAD797, output3, X, 77, 1, PULL0)," & --  PAD797
+       "  79 (BC_2, IO_PAD797, input, X)," & --  PAD797
+       "  80 (BC_2, *, controlr, 1)," &
+       "  81 (BC_2, IO_PAD796, output3, X, 80, 1, PULL0)," & --  PAD796
+       "  82 (BC_2, IO_PAD796, input, X)," & --  PAD796
+       "  83 (BC_2, *, controlr, 1)," &
+       "  84 (BC_2, IO_PAD795, output3, X, 83, 1, PULL0)," & --  PAD795
+       "  85 (BC_2, IO_PAD795, input, X)," & --  PAD795
+       "  86 (BC_2, *, controlr, 1)," &
+       "  87 (BC_2, IO_PAD794, output3, X, 86, 1, PULL0)," & --  PAD794
+       "  88 (BC_2, IO_PAD794, input, X)," & --  PAD794
+       "  89 (BC_2, *, controlr, 1)," &
+       "  90 (BC_2, IO_PAD793, output3, X, 89, 1, PULL0)," & --  PAD793
+       "  91 (BC_2, IO_PAD793, input, X)," & --  PAD793
+       "  92 (BC_2, *, controlr, 1)," &
+       "  93 (BC_2, IO_PAD792, output3, X, 92, 1, PULL0)," & --  PAD792
+       "  94 (BC_2, IO_PAD792, input, X)," & --  PAD792
+       "  95 (BC_2, *, controlr, 1)," &
+       "  96 (BC_2, IO_PAD791, output3, X, 95, 1, PULL0)," & --  PAD791
+       "  97 (BC_2, IO_PAD791, input, X)," & --  PAD791
+       "  98 (BC_2, *, controlr, 1)," &
+       "  99 (BC_2, IO_PAD790, output3, X, 98, 1, PULL0)," & --  PAD790
+       " 100 (BC_2, IO_PAD790, input, X)," & --  PAD790
+       " 101 (BC_2, *, controlr, 1)," &
+       " 102 (BC_2, IO_PAD789, output3, X, 101, 1, PULL0)," & --  PAD789
+       " 103 (BC_2, IO_PAD789, input, X)," & --  PAD789
+       " 104 (BC_2, *, controlr, 1)," &
+       " 105 (BC_2, IO_PAD788, output3, X, 104, 1, PULL0)," & --  PAD788
+       " 106 (BC_2, IO_PAD788, input, X)," & --  PAD788
+       " 107 (BC_2, *, controlr, 1)," &
+       " 108 (BC_2, IO_PAD787, output3, X, 107, 1, PULL0)," & --  PAD787
+       " 109 (BC_2, IO_PAD787, input, X)," & --  PAD787
+       " 110 (BC_2, *, controlr, 1)," &
+       " 111 (BC_2, IO_PAD786, output3, X, 110, 1, PULL0)," & --  PAD786
+       " 112 (BC_2, IO_PAD786, input, X)," & --  PAD786
+       " 113 (BC_2, *, controlr, 1)," &
+       " 114 (BC_2, IO_PAD785, output3, X, 113, 1, PULL0)," & --  PAD785
+       " 115 (BC_2, IO_PAD785, input, X)," & --  PAD785
+       " 116 (BC_2, *, controlr, 1)," &
+       " 117 (BC_2, IO_PAD784, output3, X, 116, 1, PULL0)," & --  PAD784
+       " 118 (BC_2, IO_PAD784, input, X)," & --  PAD784
+       " 119 (BC_2, *, controlr, 1)," &
+       " 120 (BC_2, IO_PAD783, output3, X, 119, 1, PULL0)," & --  PAD783
+       " 121 (BC_2, IO_PAD783, input, X)," & --  PAD783
+       " 122 (BC_2, *, controlr, 1)," &
+       " 123 (BC_2, IO_PAD782, output3, X, 122, 1, PULL0)," & --  PAD782
+       " 124 (BC_2, IO_PAD782, input, X)," & --  PAD782
+       " 125 (BC_2, *, controlr, 1)," &
+       " 126 (BC_2, IO_PAD781, output3, X, 125, 1, PULL0)," & --  PAD781
+       " 127 (BC_2, IO_PAD781, input, X)," & --  PAD781
+       " 128 (BC_2, *, controlr, 1)," &
+       " 129 (BC_2, IO_PAD780, output3, X, 128, 1, PULL0)," & --  PAD780
+       " 130 (BC_2, IO_PAD780, input, X)," & --  PAD780
+       " 131 (BC_2, *, controlr, 1)," &
+       " 132 (BC_2, IO_PAD779, output3, X, 131, 1, PULL0)," & --  PAD779
+       " 133 (BC_2, IO_PAD779, input, X)," & --  PAD779
+       " 134 (BC_2, *, controlr, 1)," &
+       " 135 (BC_2, IO_PAD778, output3, X, 134, 1, PULL0)," & --  PAD778
+       " 136 (BC_2, IO_PAD778, input, X)," & --  PAD778
+       " 137 (BC_2, *, controlr, 1)," &
+       " 138 (BC_2, IO_PAD777, output3, X, 137, 1, PULL0)," & --  PAD777
+       " 139 (BC_2, IO_PAD777, input, X)," & --  PAD777
+       " 140 (BC_2, *, controlr, 1)," &
+       " 141 (BC_2, IO_PAD776, output3, X, 140, 1, PULL0)," & --  PAD776
+       " 142 (BC_2, IO_PAD776, input, X)," & --  PAD776
+       " 143 (BC_2, *, controlr, 1)," &
+       " 144 (BC_2, IO_PAD775, output3, X, 143, 1, PULL0)," & --  PAD775
+       " 145 (BC_2, IO_PAD775, input, X)," & --  PAD775
+       " 146 (BC_2, *, controlr, 1)," &
+       " 147 (BC_2, IO_PAD774, output3, X, 146, 1, PULL0)," & --  PAD774
+       " 148 (BC_2, IO_PAD774, input, X)," & --  PAD774
+       " 149 (BC_2, *, controlr, 1)," &
+       " 150 (BC_2, IO_PAD773, output3, X, 149, 1, PULL0)," & --  PAD773
+       " 151 (BC_2, IO_PAD773, input, X)," & --  PAD773
+       " 152 (BC_2, *, controlr, 1)," &
+       " 153 (BC_2, IO_PAD772, output3, X, 152, 1, PULL0)," & --  PAD772
+       " 154 (BC_2, IO_PAD772, input, X)," & --  PAD772
+       " 155 (BC_2, *, controlr, 1)," &
+       " 156 (BC_2, IO_PAD771, output3, X, 155, 1, PULL0)," & --  PAD771
+       " 157 (BC_2, IO_PAD771, input, X)," & --  PAD771
+       " 158 (BC_2, *, controlr, 1)," &
+       " 159 (BC_2, IO_PAD770, output3, X, 158, 1, PULL0)," & --  PAD770
+       " 160 (BC_2, IO_PAD770, input, X)," & --  PAD770
+       " 161 (BC_2, *, controlr, 1)," &
+       " 162 (BC_2, IO_PAD769, output3, X, 161, 1, PULL0)," & --  PAD769
+       " 163 (BC_2, IO_PAD769, input, X)," & --  PAD769
+       " 164 (BC_2, *, controlr, 1)," &
+       " 165 (BC_2, IO_PAD768, output3, X, 164, 1, PULL0)," & --  PAD768
+       " 166 (BC_2, IO_PAD768, input, X)," & --  PAD768
+       " 167 (BC_2, *, controlr, 1)," &
+       " 168 (BC_2, IO_PAD767, output3, X, 167, 1, PULL0)," & --  PAD767
+       " 169 (BC_2, IO_PAD767, input, X)," & --  PAD767
+       " 170 (BC_2, *, controlr, 1)," &
+       " 171 (BC_2, IO_PAD766, output3, X, 170, 1, PULL0)," & --  PAD766
+       " 172 (BC_2, IO_PAD766, input, X)," & --  PAD766
+       " 173 (BC_2, *, controlr, 1)," &
+       " 174 (BC_2, IO_PAD765, output3, X, 173, 1, PULL0)," & --  PAD765
+       " 175 (BC_2, IO_PAD765, input, X)," & --  PAD765
+       " 176 (BC_2, *, controlr, 1)," &
+       " 177 (BC_2, IO_PAD764, output3, X, 176, 1, PULL0)," & --  PAD764
+       " 178 (BC_2, IO_PAD764, input, X)," & --  PAD764
+       " 179 (BC_2, *, controlr, 1)," &
+       " 180 (BC_2, IO_PAD763, output3, X, 179, 1, PULL0)," & --  PAD763
+       " 181 (BC_2, IO_PAD763, input, X)," & --  PAD763
+       " 182 (BC_2, *, controlr, 1)," &
+       " 183 (BC_2, IO_PAD762, output3, X, 182, 1, PULL0)," & --  PAD762
+       " 184 (BC_2, IO_PAD762, input, X)," & --  PAD762
+       " 185 (BC_2, *, controlr, 1)," &
+       " 186 (BC_2, IO_PAD761, output3, X, 185, 1, PULL0)," & --  PAD761
+       " 187 (BC_2, IO_PAD761, input, X)," & --  PAD761
+       " 188 (BC_2, *, controlr, 1)," &
+       " 189 (BC_2, IO_PAD760, output3, X, 188, 1, PULL0)," & --  PAD760
+       " 190 (BC_2, IO_PAD760, input, X)," & --  PAD760
+       " 191 (BC_2, *, controlr, 1)," &
+       " 192 (BC_2, IO_PAD759, output3, X, 191, 1, PULL0)," & --  PAD759
+       " 193 (BC_2, IO_PAD759, input, X)," & --  PAD759
+       " 194 (BC_2, *, controlr, 1)," &
+       " 195 (BC_2, IO_PAD758, output3, X, 194, 1, PULL0)," & --  PAD758
+       " 196 (BC_2, IO_PAD758, input, X)," & --  PAD758
+       " 197 (BC_2, *, controlr, 1)," &
+       " 198 (BC_2, IO_PAD757, output3, X, 197, 1, PULL0)," & --  PAD757
+       " 199 (BC_2, IO_PAD757, input, X)," & --  PAD757
+       " 200 (BC_2, *, controlr, 1)," &
+       " 201 (BC_2, IO_PAD756, output3, X, 200, 1, PULL0)," & --  PAD756
+       " 202 (BC_2, IO_PAD756, input, X)," & --  PAD756
+       " 203 (BC_2, *, controlr, 1)," &
+       " 204 (BC_2, IO_PAD755, output3, X, 203, 1, PULL0)," & --  PAD755
+       " 205 (BC_2, IO_PAD755, input, X)," & --  PAD755
+       " 206 (BC_2, *, controlr, 1)," &
+       " 207 (BC_2, IO_PAD754, output3, X, 206, 1, PULL0)," & --  PAD754
+       " 208 (BC_2, IO_PAD754, input, X)," & --  PAD754
+       " 209 (BC_2, *, controlr, 1)," &
+       " 210 (BC_2, IO_PAD753, output3, X, 209, 1, PULL0)," & --  PAD753
+       " 211 (BC_2, IO_PAD753, input, X)," & --  PAD753
+       " 212 (BC_2, *, controlr, 1)," &
+       " 213 (BC_2, IO_PAD752, output3, X, 212, 1, PULL0)," & --  PAD752
+       " 214 (BC_2, IO_PAD752, input, X)," & --  PAD752
+       " 215 (BC_2, *, controlr, 1)," &
+       " 216 (BC_2, IO_PAD751, output3, X, 215, 1, PULL0)," & --  PAD751
+       " 217 (BC_2, IO_PAD751, input, X)," & --  PAD751
+       " 218 (BC_2, *, controlr, 1)," &
+       " 219 (BC_2, IO_PAD750, output3, X, 218, 1, PULL0)," & --  PAD750
+       " 220 (BC_2, IO_PAD750, input, X)," & --  PAD750
+       " 221 (BC_2, *, controlr, 1)," &
+       " 222 (BC_2, IO_PAD749, output3, X, 221, 1, PULL0)," & --  PAD749
+       " 223 (BC_2, IO_PAD749, input, X)," & --  PAD749
+       " 224 (BC_2, *, controlr, 1)," &
+       " 225 (BC_2, IO_PAD748, output3, X, 224, 1, PULL0)," & --  PAD748
+       " 226 (BC_2, IO_PAD748, input, X)," & --  PAD748
+       " 227 (BC_2, *, controlr, 1)," &
+       " 228 (BC_2, IO_PAD747, output3, X, 227, 1, PULL0)," & --  PAD747
+       " 229 (BC_2, IO_PAD747, input, X)," & --  PAD747
+       " 230 (BC_2, *, controlr, 1)," &
+       " 231 (BC_2, IO_PAD746, output3, X, 230, 1, PULL0)," & --  PAD746
+       " 232 (BC_2, IO_PAD746, input, X)," & --  PAD746
+       " 233 (BC_2, *, controlr, 1)," &
+       " 234 (BC_2, IO_PAD745, output3, X, 233, 1, PULL0)," & --  PAD745
+       " 235 (BC_2, IO_PAD745, input, X)," & --  PAD745
+       " 236 (BC_2, *, controlr, 1)," &
+       " 237 (BC_2, IO_PAD744, output3, X, 236, 1, PULL0)," & --  PAD744
+       " 238 (BC_2, IO_PAD744, input, X)," & --  PAD744
+       " 239 (BC_2, *, controlr, 1)," &
+       " 240 (BC_2, IO_PAD743, output3, X, 239, 1, PULL0)," & --  PAD743
+       " 241 (BC_2, IO_PAD743, input, X)," & --  PAD743
+       " 242 (BC_2, *, controlr, 1)," &
+       " 243 (BC_2, IO_PAD742, output3, X, 242, 1, PULL0)," & --  PAD742
+       " 244 (BC_2, IO_PAD742, input, X)," & --  PAD742
+       " 245 (BC_2, *, controlr, 1)," &
+       " 246 (BC_2, IO_PAD741, output3, X, 245, 1, PULL0)," & --  PAD741
+       " 247 (BC_2, IO_PAD741, input, X)," & --  PAD741
+       " 248 (BC_2, *, controlr, 1)," &
+       " 249 (BC_2, IO_PAD740, output3, X, 248, 1, PULL0)," & --  PAD740
+       " 250 (BC_2, IO_PAD740, input, X)," & --  PAD740
+       " 251 (BC_2, *, controlr, 1)," &
+       " 252 (BC_2, IO_PAD739, output3, X, 251, 1, PULL0)," & --  PAD739
+       " 253 (BC_2, IO_PAD739, input, X)," & --  PAD739
+       " 254 (BC_2, *, controlr, 1)," &
+       " 255 (BC_2, IO_PAD738, output3, X, 254, 1, PULL0)," & --  PAD738
+       " 256 (BC_2, IO_PAD738, input, X)," & --  PAD738
+       " 257 (BC_2, *, controlr, 1)," &
+       " 258 (BC_2, IO_PAD737, output3, X, 257, 1, PULL0)," & --  PAD737
+       " 259 (BC_2, IO_PAD737, input, X)," & --  PAD737
+       " 260 (BC_2, *, controlr, 1)," &
+       " 261 (BC_2, IO_PAD736, output3, X, 260, 1, PULL0)," & --  PAD736
+       " 262 (BC_2, IO_PAD736, input, X)," & --  PAD736
+       " 263 (BC_2, *, controlr, 1)," &
+       " 264 (BC_2, IO_PAD735, output3, X, 263, 1, PULL0)," & --  PAD735
+       " 265 (BC_2, IO_PAD735, input, X)," & --  PAD735
+       " 266 (BC_2, *, controlr, 1)," &
+       " 267 (BC_2, IO_PAD734, output3, X, 266, 1, PULL0)," & --  PAD734
+       " 268 (BC_2, IO_PAD734, input, X)," & --  PAD734
+       " 269 (BC_2, *, controlr, 1)," &
+       " 270 (BC_2, IO_PAD733, output3, X, 269, 1, PULL0)," & --  PAD733
+       " 271 (BC_2, IO_PAD733, input, X)," & --  PAD733
+       " 272 (BC_2, *, controlr, 1)," &
+       " 273 (BC_2, IO_PAD732, output3, X, 272, 1, PULL0)," & --  PAD732
+       " 274 (BC_2, IO_PAD732, input, X)," & --  PAD732
+       " 275 (BC_2, *, controlr, 1)," &
+       " 276 (BC_2, IO_PAD731, output3, X, 275, 1, PULL0)," & --  PAD731
+       " 277 (BC_2, IO_PAD731, input, X)," & --  PAD731
+       " 278 (BC_2, *, controlr, 1)," &
+       " 279 (BC_2, IO_PAD730, output3, X, 278, 1, PULL0)," & --  PAD730
+       " 280 (BC_2, IO_PAD730, input, X)," & --  PAD730
+       " 281 (BC_2, *, controlr, 1)," &
+       " 282 (BC_2, IO_PAD729, output3, X, 281, 1, PULL0)," & --  PAD729
+       " 283 (BC_2, IO_PAD729, input, X)," & --  PAD729
+       " 284 (BC_2, *, controlr, 1)," &
+       " 285 (BC_2, IO_PAD728, output3, X, 284, 1, PULL0)," & --  PAD728
+       " 286 (BC_2, IO_PAD728, input, X)," & --  PAD728
+       " 287 (BC_2, *, controlr, 1)," &
+       " 288 (BC_2, IO_PAD727, output3, X, 287, 1, PULL0)," & --  PAD727
+       " 289 (BC_2, IO_PAD727, input, X)," & --  PAD727
+       " 290 (BC_2, *, controlr, 1)," &
+       " 291 (BC_2, IO_PAD726, output3, X, 290, 1, PULL0)," & --  PAD726
+       " 292 (BC_2, IO_PAD726, input, X)," & --  PAD726
+       " 293 (BC_2, *, controlr, 1)," &
+       " 294 (BC_2, IO_PAD725, output3, X, 293, 1, PULL0)," & --  PAD725
+       " 295 (BC_2, IO_PAD725, input, X)," & --  PAD725
+       " 296 (BC_2, *, controlr, 1)," &
+       " 297 (BC_2, IO_PAD724, output3, X, 296, 1, PULL0)," & --  PAD724
+       " 298 (BC_2, IO_PAD724, input, X)," & --  PAD724
+       " 299 (BC_2, *, controlr, 1)," &
+       " 300 (BC_2, IO_PAD723, output3, X, 299, 1, PULL0)," & --  PAD723
+       " 301 (BC_2, IO_PAD723, input, X)," & --  PAD723
+       " 302 (BC_2, *, controlr, 1)," &
+       " 303 (BC_2, IO_PAD722, output3, X, 302, 1, PULL0)," & --  PAD722
+       " 304 (BC_2, IO_PAD722, input, X)," & --  PAD722
+       " 305 (BC_2, *, controlr, 1)," &
+       " 306 (BC_2, IO_PAD721, output3, X, 305, 1, PULL0)," & --  PAD721
+       " 307 (BC_2, IO_PAD721, input, X)," & --  PAD721
+       " 308 (BC_2, *, controlr, 1)," &
+       " 309 (BC_2, IO_PAD720, output3, X, 308, 1, PULL0)," & --  PAD720
+       " 310 (BC_2, IO_PAD720, input, X)," & --  PAD720
+       " 311 (BC_2, *, controlr, 1)," &
+       " 312 (BC_2, IO_PAD719, output3, X, 311, 1, PULL0)," & --  PAD719
+       " 313 (BC_2, IO_PAD719, input, X)," & --  PAD719
+       " 314 (BC_2, *, controlr, 1)," &
+       " 315 (BC_2, IO_PAD718, output3, X, 314, 1, PULL0)," & --  PAD718
+       " 316 (BC_2, IO_PAD718, input, X)," & --  PAD718
+       " 317 (BC_2, *, controlr, 1)," &
+       " 318 (BC_2, IO_PAD717, output3, X, 317, 1, PULL0)," & --  PAD717
+       " 319 (BC_2, IO_PAD717, input, X)," & --  PAD717
+       " 320 (BC_2, *, controlr, 1)," &
+       " 321 (BC_2, IO_PAD716, output3, X, 320, 1, PULL0)," & --  PAD716
+       " 322 (BC_2, IO_PAD716, input, X)," & --  PAD716
+       " 323 (BC_2, *, controlr, 1)," &
+       " 324 (BC_2, IO_PAD715, output3, X, 323, 1, PULL0)," & --  PAD715
+       " 325 (BC_2, IO_PAD715, input, X)," & --  PAD715
+       " 326 (BC_2, *, controlr, 1)," &
+       " 327 (BC_2, IO_PAD714, output3, X, 326, 1, PULL0)," & --  PAD714
+       " 328 (BC_2, IO_PAD714, input, X)," & --  PAD714
+       " 329 (BC_2, *, controlr, 1)," &
+       " 330 (BC_2, IO_PAD713, output3, X, 329, 1, PULL0)," & --  PAD713
+       " 331 (BC_2, IO_PAD713, input, X)," & --  PAD713
+       " 332 (BC_2, *, controlr, 1)," &
+       " 333 (BC_2, IO_PAD712, output3, X, 332, 1, PULL0)," & --  PAD712
+       " 334 (BC_2, IO_PAD712, input, X)," & --  PAD712
+       " 335 (BC_2, *, controlr, 1)," &
+       " 336 (BC_2, IO_PAD711, output3, X, 335, 1, PULL0)," & --  PAD711
+       " 337 (BC_2, IO_PAD711, input, X)," & --  PAD711
+       " 338 (BC_2, *, controlr, 1)," &
+       " 339 (BC_2, IO_PAD710, output3, X, 338, 1, PULL0)," & --  PAD710
+       " 340 (BC_2, IO_PAD710, input, X)," & --  PAD710
+       " 341 (BC_2, *, controlr, 1)," &
+       " 342 (BC_2, IO_PAD709, output3, X, 341, 1, PULL0)," & --  PAD709
+       " 343 (BC_2, IO_PAD709, input, X)," & --  PAD709
+       " 344 (BC_2, *, controlr, 1)," &
+       " 345 (BC_2, IO_PAD708, output3, X, 344, 1, PULL0)," & --  PAD708
+       " 346 (BC_2, IO_PAD708, input, X)," & --  PAD708
+       " 347 (BC_2, *, controlr, 1)," &
+       " 348 (BC_2, IO_PAD707, output3, X, 347, 1, PULL0)," & --  PAD707
+       " 349 (BC_2, IO_PAD707, input, X)," & --  PAD707
+       " 350 (BC_2, *, controlr, 1)," &
+       " 351 (BC_2, IO_PAD706, output3, X, 350, 1, PULL0)," & --  PAD706
+       " 352 (BC_2, IO_PAD706, input, X)," & --  PAD706
+       " 353 (BC_2, *, controlr, 1)," &
+       " 354 (BC_2, IO_PAD705, output3, X, 353, 1, PULL0)," & --  PAD705
+       " 355 (BC_2, IO_PAD705, input, X)," & --  PAD705
+       " 356 (BC_2, *, controlr, 1)," &
+       " 357 (BC_2, IO_PAD704, output3, X, 356, 1, PULL0)," & --  PAD704
+       " 358 (BC_2, IO_PAD704, input, X)," & --  PAD704
+       " 359 (BC_2, *, controlr, 1)," &
+       " 360 (BC_2, IO_PAD703, output3, X, 359, 1, PULL0)," & --  PAD703
+       " 361 (BC_2, IO_PAD703, input, X)," & --  PAD703
+       " 362 (BC_2, *, controlr, 1)," &
+       " 363 (BC_2, IO_PAD702, output3, X, 362, 1, PULL0)," & --  PAD702
+       " 364 (BC_2, IO_PAD702, input, X)," & --  PAD702
+       " 365 (BC_2, *, controlr, 1)," &
+       " 366 (BC_2, IO_PAD701, output3, X, 365, 1, PULL0)," & --  PAD701
+       " 367 (BC_2, IO_PAD701, input, X)," & --  PAD701
+       " 368 (BC_2, *, controlr, 1)," &
+       " 369 (BC_2, IO_PAD700, output3, X, 368, 1, PULL0)," & --  PAD700
+       " 370 (BC_2, IO_PAD700, input, X)," & --  PAD700
+       " 371 (BC_2, *, controlr, 1)," &
+       " 372 (BC_2, IO_PAD699, output3, X, 371, 1, PULL0)," & --  PAD699
+       " 373 (BC_2, IO_PAD699, input, X)," & --  PAD699
+       " 374 (BC_2, *, controlr, 1)," &
+       " 375 (BC_2, IO_PAD698, output3, X, 374, 1, PULL0)," & --  PAD698
+       " 376 (BC_2, IO_PAD698, input, X)," & --  PAD698
+       " 377 (BC_2, *, controlr, 1)," &
+       " 378 (BC_2, IO_PAD697, output3, X, 377, 1, PULL0)," & --  PAD697
+       " 379 (BC_2, IO_PAD697, input, X)," & --  PAD697
+       " 380 (BC_2, *, controlr, 1)," &
+       " 381 (BC_2, IO_PAD696, output3, X, 380, 1, PULL0)," & --  PAD696
+       " 382 (BC_2, IO_PAD696, input, X)," & --  PAD696
+       " 383 (BC_2, *, controlr, 1)," &
+       " 384 (BC_2, IO_PAD695, output3, X, 383, 1, PULL0)," & --  PAD695
+       " 385 (BC_2, IO_PAD695, input, X)," & --  PAD695
+       " 386 (BC_2, *, controlr, 1)," &
+       " 387 (BC_2, IO_PAD694, output3, X, 386, 1, PULL0)," & --  PAD694
+       " 388 (BC_2, IO_PAD694, input, X)," & --  PAD694
+       " 389 (BC_2, *, controlr, 1)," &
+       " 390 (BC_2, IO_PAD693, output3, X, 389, 1, PULL0)," & --  PAD693
+       " 391 (BC_2, IO_PAD693, input, X)," & --  PAD693
+       " 392 (BC_2, *, controlr, 1)," &
+       " 393 (BC_2, IO_PAD692, output3, X, 392, 1, PULL0)," & --  PAD692
+       " 394 (BC_2, IO_PAD692, input, X)," & --  PAD692
+       " 395 (BC_2, *, controlr, 1)," &
+       " 396 (BC_2, IO_PAD691, output3, X, 395, 1, PULL0)," & --  PAD691
+       " 397 (BC_2, IO_PAD691, input, X)," & --  PAD691
+       " 398 (BC_2, *, controlr, 1)," &
+       " 399 (BC_2, IO_PAD690, output3, X, 398, 1, PULL0)," & --  PAD690
+       " 400 (BC_2, IO_PAD690, input, X)," & --  PAD690
+       " 401 (BC_2, *, controlr, 1)," &
+       " 402 (BC_2, IO_PAD689, output3, X, 401, 1, PULL0)," & --  PAD689
+       " 403 (BC_2, IO_PAD689, input, X)," & --  PAD689
+       " 404 (BC_2, *, controlr, 1)," &
+       " 405 (BC_2, IO_PAD688, output3, X, 404, 1, PULL0)," & --  PAD688
+       " 406 (BC_2, IO_PAD688, input, X)," & --  PAD688
+       " 407 (BC_2, *, controlr, 1)," &
+       " 408 (BC_2, IO_PAD687, output3, X, 407, 1, PULL0)," & --  PAD687
+       " 409 (BC_2, IO_PAD687, input, X)," & --  PAD687
+       " 410 (BC_2, *, controlr, 1)," &
+       " 411 (BC_2, IO_PAD686, output3, X, 410, 1, PULL0)," & --  PAD686
+       " 412 (BC_2, IO_PAD686, input, X)," & --  PAD686
+       " 413 (BC_2, *, controlr, 1)," &
+       " 414 (BC_2, IO_PAD685, output3, X, 413, 1, PULL0)," & --  PAD685
+       " 415 (BC_2, IO_PAD685, input, X)," & --  PAD685
+       " 416 (BC_2, *, controlr, 1)," &
+       " 417 (BC_2, IO_PAD684, output3, X, 416, 1, PULL0)," & --  PAD684
+       " 418 (BC_2, IO_PAD684, input, X)," & --  PAD684
+       " 419 (BC_2, *, controlr, 1)," &
+       " 420 (BC_2, IO_PAD683, output3, X, 419, 1, PULL0)," & --  PAD683
+       " 421 (BC_2, IO_PAD683, input, X)," & --  PAD683
+       " 422 (BC_2, *, controlr, 1)," &
+       " 423 (BC_2, IO_PAD682, output3, X, 422, 1, PULL0)," & --  PAD682
+       " 424 (BC_2, IO_PAD682, input, X)," & --  PAD682
+       " 425 (BC_2, *, controlr, 1)," &
+       " 426 (BC_2, IO_PAD681, output3, X, 425, 1, PULL0)," & --  PAD681
+       " 427 (BC_2, IO_PAD681, input, X)," & --  PAD681
+       " 428 (BC_2, *, controlr, 1)," &
+       " 429 (BC_2, IO_PAD680, output3, X, 428, 1, PULL0)," & --  PAD680
+       " 430 (BC_2, IO_PAD680, input, X)," & --  PAD680
+       " 431 (BC_2, *, controlr, 1)," &
+       " 432 (BC_2, IO_PAD679, output3, X, 431, 1, PULL0)," & --  PAD679
+       " 433 (BC_2, IO_PAD679, input, X)," & --  PAD679
+       " 434 (BC_2, *, controlr, 1)," &
+       " 435 (BC_2, IO_PAD678, output3, X, 434, 1, PULL0)," & --  PAD678
+       " 436 (BC_2, IO_PAD678, input, X)," & --  PAD678
+       " 437 (BC_2, *, controlr, 1)," &
+       " 438 (BC_2, IO_PAD677, output3, X, 437, 1, PULL0)," & --  PAD677
+       " 439 (BC_2, IO_PAD677, input, X)," & --  PAD677
+       " 440 (BC_2, *, controlr, 1)," &
+       " 441 (BC_2, IO_PAD676, output3, X, 440, 1, PULL0)," & --  PAD676
+       " 442 (BC_2, IO_PAD676, input, X)," & --  PAD676
+       " 443 (BC_2, *, controlr, 1)," &
+       " 444 (BC_2, IO_PAD675, output3, X, 443, 1, PULL0)," & --  PAD675
+       " 445 (BC_2, IO_PAD675, input, X)," & --  PAD675
+       " 446 (BC_2, *, controlr, 1)," &
+       " 447 (BC_2, IO_PAD674, output3, X, 446, 1, PULL0)," & --  PAD674
+       " 448 (BC_2, IO_PAD674, input, X)," & --  PAD674
+       " 449 (BC_2, *, controlr, 1)," &
+       " 450 (BC_2, IO_PAD673, output3, X, 449, 1, PULL0)," & --  PAD673
+       " 451 (BC_2, IO_PAD673, input, X)," & --  PAD673
+       " 452 (BC_2, *, controlr, 1)," &
+       " 453 (BC_2, IO_PAD672, output3, X, 452, 1, PULL0)," & --  PAD672
+       " 454 (BC_2, IO_PAD672, input, X)," & --  PAD672
+       " 455 (BC_2, *, controlr, 1)," &
+       " 456 (BC_2, IO_PAD671, output3, X, 455, 1, PULL0)," & --  PAD671
+       " 457 (BC_2, IO_PAD671, input, X)," & --  PAD671
+       " 458 (BC_2, *, controlr, 1)," &
+       " 459 (BC_2, IO_PAD670, output3, X, 458, 1, PULL0)," & --  PAD670
+       " 460 (BC_2, IO_PAD670, input, X)," & --  PAD670
+       " 461 (BC_2, *, controlr, 1)," &
+       " 462 (BC_2, IO_PAD669, output3, X, 461, 1, PULL0)," & --  PAD669
+       " 463 (BC_2, IO_PAD669, input, X)," & --  PAD669
+       " 464 (BC_2, *, controlr, 1)," &
+       " 465 (BC_2, IO_PAD668, output3, X, 464, 1, PULL0)," & --  PAD668
+       " 466 (BC_2, IO_PAD668, input, X)," & --  PAD668
+       " 467 (BC_2, *, controlr, 1)," &
+       " 468 (BC_2, IO_PAD667, output3, X, 467, 1, PULL0)," & --  PAD667
+       " 469 (BC_2, IO_PAD667, input, X)," & --  PAD667
+       " 470 (BC_2, *, controlr, 1)," &
+       " 471 (BC_2, IO_PAD666, output3, X, 470, 1, PULL0)," & --  PAD666
+       " 472 (BC_2, IO_PAD666, input, X)," & --  PAD666
+       " 473 (BC_2, *, controlr, 1)," &
+       " 474 (BC_2, IO_PAD665, output3, X, 473, 1, PULL0)," & --  PAD665
+       " 475 (BC_2, IO_PAD665, input, X)," & --  PAD665
+       " 476 (BC_2, *, controlr, 1)," &
+       " 477 (BC_2, IO_PAD664, output3, X, 476, 1, PULL0)," & --  PAD664
+       " 478 (BC_2, IO_PAD664, input, X)," & --  PAD664
+       " 479 (BC_2, *, controlr, 1)," &
+       " 480 (BC_2, IO_PAD663, output3, X, 479, 1, PULL0)," & --  PAD663
+       " 481 (BC_2, IO_PAD663, input, X)," & --  PAD663
+       " 482 (BC_2, *, controlr, 1)," &
+       " 483 (BC_2, IO_PAD662, output3, X, 482, 1, PULL0)," & --  PAD662
+       " 484 (BC_2, IO_PAD662, input, X)," & --  PAD662
+       " 485 (BC_2, *, controlr, 1)," &
+       " 486 (BC_2, IO_PAD661, output3, X, 485, 1, PULL0)," & --  PAD661
+       " 487 (BC_2, IO_PAD661, input, X)," & --  PAD661
+       " 488 (BC_2, *, controlr, 1)," &
+       " 489 (BC_2, IO_PAD660, output3, X, 488, 1, PULL0)," & --  PAD660
+       " 490 (BC_2, IO_PAD660, input, X)," & --  PAD660
+       " 491 (BC_2, *, controlr, 1)," &
+       " 492 (BC_2, IO_PAD659, output3, X, 491, 1, PULL0)," & --  PAD659
+       " 493 (BC_2, IO_PAD659, input, X)," & --  PAD659
+       " 494 (BC_2, *, controlr, 1)," &
+       " 495 (BC_2, IO_PAD658, output3, X, 494, 1, PULL0)," & --  PAD658
+       " 496 (BC_2, IO_PAD658, input, X)," & --  PAD658
+       " 497 (BC_2, *, controlr, 1)," &
+       " 498 (BC_2, IO_PAD657, output3, X, 497, 1, PULL0)," & --  PAD657
+       " 499 (BC_2, IO_PAD657, input, X)," & --  PAD657
+       " 500 (BC_2, *, controlr, 1)," &
+       " 501 (BC_2, IO_PAD656, output3, X, 500, 1, PULL0)," & --  PAD656
+       " 502 (BC_2, IO_PAD656, input, X)," & --  PAD656
+       " 503 (BC_2, *, controlr, 1)," &
+       " 504 (BC_2, IO_PAD655, output3, X, 503, 1, PULL0)," & --  PAD655
+       " 505 (BC_2, IO_PAD655, input, X)," & --  PAD655
+       " 506 (BC_2, *, controlr, 1)," &
+       " 507 (BC_2, IO_PAD654, output3, X, 506, 1, PULL0)," & --  PAD654
+       " 508 (BC_2, IO_PAD654, input, X)," & --  PAD654
+       " 509 (BC_2, *, controlr, 1)," &
+       " 510 (BC_2, IO_PAD653, output3, X, 509, 1, PULL0)," & --  PAD653
+       " 511 (BC_2, IO_PAD653, input, X)," & --  PAD653
+       " 512 (BC_2, *, controlr, 1)," &
+       " 513 (BC_2, IO_PAD652, output3, X, 512, 1, PULL0)," & --  PAD652
+       " 514 (BC_2, IO_PAD652, input, X)," & --  PAD652
+       " 515 (BC_2, *, controlr, 1)," &
+       " 516 (BC_2, IO_PAD651, output3, X, 515, 1, PULL0)," & --  PAD651
+       " 517 (BC_2, IO_PAD651, input, X)," & --  PAD651
+       " 518 (BC_2, *, controlr, 1)," &
+       " 519 (BC_2, IO_PAD650, output3, X, 518, 1, PULL0)," & --  PAD650
+       " 520 (BC_2, IO_PAD650, input, X)," & --  PAD650
+       " 521 (BC_2, *, controlr, 1)," &
+       " 522 (BC_2, IO_PAD649, output3, X, 521, 1, PULL0)," & --  PAD649
+       " 523 (BC_2, IO_PAD649, input, X)," & --  PAD649
+       " 524 (BC_2, *, controlr, 1)," &
+       " 525 (BC_2, IO_PAD648, output3, X, 524, 1, PULL0)," & --  PAD648
+       " 526 (BC_2, IO_PAD648, input, X)," & --  PAD648
+       " 527 (BC_2, *, controlr, 1)," &
+       " 528 (BC_2, IO_PAD647, output3, X, 527, 1, PULL0)," & --  PAD647
+       " 529 (BC_2, IO_PAD647, input, X)," & --  PAD647
+       " 530 (BC_2, *, controlr, 1)," &
+       " 531 (BC_2, IO_PAD646, output3, X, 530, 1, PULL0)," & --  PAD646
+       " 532 (BC_2, IO_PAD646, input, X)," & --  PAD646
+       " 533 (BC_2, *, controlr, 1)," &
+       " 534 (BC_2, IO_PAD645, output3, X, 533, 1, PULL0)," & --  PAD645
+       " 535 (BC_2, IO_PAD645, input, X)," & --  PAD645
+       " 536 (BC_2, *, controlr, 1)," &
+       " 537 (BC_2, IO_PAD644, output3, X, 536, 1, PULL0)," & --  PAD644
+       " 538 (BC_2, IO_PAD644, input, X)," & --  PAD644
+       " 539 (BC_2, *, controlr, 1)," &
+       " 540 (BC_2, IO_PAD643, output3, X, 539, 1, PULL0)," & --  PAD643
+       " 541 (BC_2, IO_PAD643, input, X)," & --  PAD643
+       " 542 (BC_2, *, controlr, 1)," &
+       " 543 (BC_2, IO_PAD642, output3, X, 542, 1, PULL0)," & --  PAD642
+       " 544 (BC_2, IO_PAD642, input, X)," & --  PAD642
+       " 545 (BC_2, *, controlr, 1)," &
+       " 546 (BC_2, IO_PAD641, output3, X, 545, 1, PULL0)," & --  PAD641
+       " 547 (BC_2, IO_PAD641, input, X)," & --  PAD641
+       " 548 (BC_2, *, controlr, 1)," &
+       " 549 (BC_2, IO_PAD640, output3, X, 548, 1, PULL0)," & --  PAD640
+       " 550 (BC_2, IO_PAD640, input, X)," & --  PAD640
+       " 551 (BC_2, *, controlr, 1)," &
+       " 552 (BC_2, IO_PAD639, output3, X, 551, 1, PULL0)," & --  PAD639
+       " 553 (BC_2, IO_PAD639, input, X)," & --  PAD639
+       " 554 (BC_2, *, controlr, 1)," &
+       " 555 (BC_2, IO_PAD638, output3, X, 554, 1, PULL0)," & --  PAD638
+       " 556 (BC_2, IO_PAD638, input, X)," & --  PAD638
+       " 557 (BC_2, *, controlr, 1)," &
+       " 558 (BC_2, IO_PAD637, output3, X, 557, 1, PULL0)," & --  PAD637
+       " 559 (BC_2, IO_PAD637, input, X)," & --  PAD637
+       " 560 (BC_2, *, controlr, 1)," &
+       " 561 (BC_2, IO_PAD636, output3, X, 560, 1, PULL0)," & --  PAD636
+       " 562 (BC_2, IO_PAD636, input, X)," & --  PAD636
+       " 563 (BC_2, *, controlr, 1)," &
+       " 564 (BC_2, IO_PAD635, output3, X, 563, 1, PULL0)," & --  PAD635
+       " 565 (BC_2, IO_PAD635, input, X)," & --  PAD635
+       " 566 (BC_2, *, controlr, 1)," &
+       " 567 (BC_2, IO_PAD634, output3, X, 566, 1, PULL0)," & --  PAD634
+       " 568 (BC_2, IO_PAD634, input, X)," & --  PAD634
+       " 569 (BC_2, *, controlr, 1)," &
+       " 570 (BC_2, IO_PAD633, output3, X, 569, 1, PULL0)," & --  PAD633
+       " 571 (BC_2, IO_PAD633, input, X)," & --  PAD633
+       " 572 (BC_2, *, controlr, 1)," &
+       " 573 (BC_2, IO_PAD632, output3, X, 572, 1, PULL0)," & --  PAD632
+       " 574 (BC_2, IO_PAD632, input, X)," & --  PAD632
+       " 575 (BC_2, *, controlr, 1)," &
+       " 576 (BC_2, IO_PAD631, output3, X, 575, 1, PULL0)," & --  PAD631
+       " 577 (BC_2, IO_PAD631, input, X)," & --  PAD631
+       " 578 (BC_2, *, controlr, 1)," &
+       " 579 (BC_2, IO_PAD630, output3, X, 578, 1, PULL0)," & --  PAD630
+       " 580 (BC_2, IO_PAD630, input, X)," & --  PAD630
+       " 581 (BC_2, *, controlr, 1)," &
+       " 582 (BC_2, IO_PAD629, output3, X, 581, 1, PULL0)," & --  PAD629
+       " 583 (BC_2, IO_PAD629, input, X)," & --  PAD629
+       " 584 (BC_2, *, controlr, 1)," &
+       " 585 (BC_2, IO_PAD628, output3, X, 584, 1, PULL0)," & --  PAD628
+       " 586 (BC_2, IO_PAD628, input, X)," & --  PAD628
+       " 587 (BC_2, *, controlr, 1)," &
+       " 588 (BC_2, IO_PAD627, output3, X, 587, 1, PULL0)," & --  PAD627
+       " 589 (BC_2, IO_PAD627, input, X)," & --  PAD627
+       " 590 (BC_2, *, controlr, 1)," &
+       " 591 (BC_2, IO_PAD626, output3, X, 590, 1, PULL0)," & --  PAD626
+       " 592 (BC_2, IO_PAD626, input, X)," & --  PAD626
+       " 593 (BC_2, *, controlr, 1)," &
+       " 594 (BC_2, IO_PAD625, output3, X, 593, 1, PULL0)," & --  PAD625
+       " 595 (BC_2, IO_PAD625, input, X)," & --  PAD625
+       " 596 (BC_2, *, controlr, 1)," &
+       " 597 (BC_2, IO_PAD624, output3, X, 596, 1, PULL0)," & --  PAD624
+       " 598 (BC_2, IO_PAD624, input, X)," & --  PAD624
+       " 599 (BC_2, *, controlr, 1)," &
+       " 600 (BC_2, IO_PAD623, output3, X, 599, 1, PULL0)," & --  PAD623
+       " 601 (BC_2, IO_PAD623, input, X)," & --  PAD623
+       " 602 (BC_2, *, controlr, 1)," &
+       " 603 (BC_2, IO_PAD622, output3, X, 602, 1, PULL0)," & --  PAD622
+       " 604 (BC_2, IO_PAD622, input, X)," & --  PAD622
+       " 605 (BC_2, *, controlr, 1)," &
+       " 606 (BC_2, IO_PAD621, output3, X, 605, 1, PULL0)," & --  PAD621
+       " 607 (BC_2, IO_PAD621, input, X)," & --  PAD621
+       " 608 (BC_2, *, controlr, 1)," &
+       " 609 (BC_2, IO_PAD620, output3, X, 608, 1, PULL0)," & --  PAD620
+       " 610 (BC_2, IO_PAD620, input, X)," & --  PAD620
+       " 611 (BC_2, *, controlr, 1)," &
+       " 612 (BC_2, IO_PAD619, output3, X, 611, 1, PULL0)," & --  PAD619
+       " 613 (BC_2, IO_PAD619, input, X)," & --  PAD619
+       " 614 (BC_2, *, controlr, 1)," &
+       " 615 (BC_2, IO_PAD618, output3, X, 614, 1, PULL0)," & --  PAD618
+       " 616 (BC_2, IO_PAD618, input, X)," & --  PAD618
+       " 617 (BC_2, *, controlr, 1)," &
+       " 618 (BC_2, IO_PAD617, output3, X, 617, 1, PULL0)," & --  PAD617
+       " 619 (BC_2, IO_PAD617, input, X)," & --  PAD617
+       " 620 (BC_2, *, controlr, 1)," &
+       " 621 (BC_2, IO_PAD616, output3, X, 620, 1, PULL0)," & --  PAD616
+       " 622 (BC_2, IO_PAD616, input, X)," & --  PAD616
+       " 623 (BC_2, *, controlr, 1)," &
+       " 624 (BC_2, IO_PAD615, output3, X, 623, 1, PULL0)," & --  PAD615
+       " 625 (BC_2, IO_PAD615, input, X)," & --  PAD615
+       " 626 (BC_2, *, controlr, 1)," &
+       " 627 (BC_2, IO_PAD614, output3, X, 626, 1, PULL0)," & --  PAD614
+       " 628 (BC_2, IO_PAD614, input, X)," & --  PAD614
+       " 629 (BC_2, *, controlr, 1)," &
+       " 630 (BC_2, IO_PAD613, output3, X, 629, 1, PULL0)," & --  PAD613
+       " 631 (BC_2, IO_PAD613, input, X)," & --  PAD613
+       " 632 (BC_2, *, controlr, 1)," &
+       " 633 (BC_2, IO_PAD612, output3, X, 632, 1, PULL0)," & --  PAD612
+       " 634 (BC_2, IO_PAD612, input, X)," & --  PAD612
+       " 635 (BC_2, *, controlr, 1)," &
+       " 636 (BC_2, IO_PAD611, output3, X, 635, 1, PULL0)," & --  PAD611
+       " 637 (BC_2, IO_PAD611, input, X)," & --  PAD611
+       " 638 (BC_2, *, controlr, 1)," &
+       " 639 (BC_2, IO_PAD610, output3, X, 638, 1, PULL0)," & --  PAD610
+       " 640 (BC_2, IO_PAD610, input, X)," & --  PAD610
+       " 641 (BC_2, *, controlr, 1)," &
+       " 642 (BC_2, IO_PAD609, output3, X, 641, 1, PULL0)," & --  PAD609
+       " 643 (BC_2, IO_PAD609, input, X)," & --  PAD609
+       " 644 (BC_2, *, controlr, 1)," &
+       " 645 (BC_2, IO_PAD608, output3, X, 644, 1, PULL0)," & --  PAD608
+       " 646 (BC_2, IO_PAD608, input, X)," & --  PAD608
+       " 647 (BC_2, *, controlr, 1)," &
+       " 648 (BC_2, IO_PAD607, output3, X, 647, 1, PULL0)," & --  PAD607
+       " 649 (BC_2, IO_PAD607, input, X)," & --  PAD607
+       " 650 (BC_2, *, controlr, 1)," &
+       " 651 (BC_2, IO_PAD606, output3, X, 650, 1, PULL0)," & --  PAD606
+       " 652 (BC_2, IO_PAD606, input, X)," & --  PAD606
+       " 653 (BC_2, *, controlr, 1)," &
+       " 654 (BC_2, IO_PAD605, output3, X, 653, 1, PULL0)," & --  PAD605
+       " 655 (BC_2, IO_PAD605, input, X)," & --  PAD605
+       " 656 (BC_2, *, controlr, 1)," &
+       " 657 (BC_2, IO_PAD604, output3, X, 656, 1, PULL0)," & --  PAD604
+       " 658 (BC_2, IO_PAD604, input, X)," & --  PAD604
+       " 659 (BC_2, *, controlr, 1)," &
+       " 660 (BC_2, IO_PAD603, output3, X, 659, 1, PULL0)," & --  PAD603
+       " 661 (BC_2, IO_PAD603, input, X)," & --  PAD603
+       " 662 (BC_2, *, controlr, 1)," &
+       " 663 (BC_2, IO_PAD602, output3, X, 662, 1, PULL0)," & --  PAD602
+       " 664 (BC_2, IO_PAD602, input, X)," & --  PAD602
+       " 665 (BC_2, *, controlr, 1)," &
+       " 666 (BC_2, IO_PAD601, output3, X, 665, 1, PULL0)," & --  PAD601
+       " 667 (BC_2, IO_PAD601, input, X)," & --  PAD601
+       " 668 (BC_2, *, controlr, 1)," &
+       " 669 (BC_2, IO_PAD600, output3, X, 668, 1, PULL0)," & --  PAD600
+       " 670 (BC_2, IO_PAD600, input, X)," & --  PAD600
+       " 671 (BC_2, *, controlr, 1)," &
+       " 672 (BC_2, IO_PAD599, output3, X, 671, 1, PULL0)," & --  PAD599
+       " 673 (BC_2, IO_PAD599, input, X)," & --  PAD599
+       " 674 (BC_2, *, controlr, 1)," &
+       " 675 (BC_2, IO_PAD598, output3, X, 674, 1, PULL0)," & --  PAD598
+       " 676 (BC_2, IO_PAD598, input, X)," & --  PAD598
+       " 677 (BC_2, *, controlr, 1)," &
+       " 678 (BC_2, IO_PAD597, output3, X, 677, 1, PULL0)," & --  PAD597
+       " 679 (BC_2, IO_PAD597, input, X)," & --  PAD597
+       " 680 (BC_2, *, controlr, 1)," &
+       " 681 (BC_2, IO_PAD596, output3, X, 680, 1, PULL0)," & --  PAD596
+       " 682 (BC_2, IO_PAD596, input, X)," & --  PAD596
+       " 683 (BC_2, *, controlr, 1)," &
+       " 684 (BC_2, IO_PAD595, output3, X, 683, 1, PULL0)," & --  PAD595
+       " 685 (BC_2, IO_PAD595, input, X)," & --  PAD595
+       " 686 (BC_2, *, controlr, 1)," &
+       " 687 (BC_2, IO_PAD594, output3, X, 686, 1, PULL0)," & --  PAD594
+       " 688 (BC_2, IO_PAD594, input, X)," & --  PAD594
+       " 689 (BC_2, *, controlr, 1)," &
+       " 690 (BC_2, IO_PAD593, output3, X, 689, 1, PULL0)," & --  PAD593
+       " 691 (BC_2, IO_PAD593, input, X)," & --  PAD593
+       " 692 (BC_2, *, controlr, 1)," &
+       " 693 (BC_2, IO_PAD592, output3, X, 692, 1, PULL0)," & --  PAD592
+       " 694 (BC_2, IO_PAD592, input, X)," & --  PAD592
+       " 695 (BC_2, *, controlr, 1)," &
+       " 696 (BC_2, IO_PAD591, output3, X, 695, 1, PULL0)," & --  PAD591
+       " 697 (BC_2, IO_PAD591, input, X)," & --  PAD591
+       " 698 (BC_2, *, controlr, 1)," &
+       " 699 (BC_2, IO_PAD590, output3, X, 698, 1, PULL0)," & --  PAD590
+       " 700 (BC_2, IO_PAD590, input, X)," & --  PAD590
+       " 701 (BC_2, *, controlr, 1)," &
+       " 702 (BC_2, IO_PAD589, output3, X, 701, 1, PULL0)," & --  PAD589
+       " 703 (BC_2, IO_PAD589, input, X)," & --  PAD589
+       " 704 (BC_2, *, controlr, 1)," &
+       " 705 (BC_2, IO_PAD588, output3, X, 704, 1, PULL0)," & --  PAD588
+       " 706 (BC_2, IO_PAD588, input, X)," & --  PAD588
+       " 707 (BC_2, *, controlr, 1)," &
+       " 708 (BC_2, IO_PAD587, output3, X, 707, 1, PULL0)," & --  PAD587
+       " 709 (BC_2, IO_PAD587, input, X)," & --  PAD587
+       " 710 (BC_2, *, controlr, 1)," &
+       " 711 (BC_2, IO_PAD586, output3, X, 710, 1, PULL0)," & --  PAD586
+       " 712 (BC_2, IO_PAD586, input, X)," & --  PAD586
+       " 713 (BC_2, *, controlr, 1)," &
+       " 714 (BC_2, IO_PAD585, output3, X, 713, 1, PULL0)," & --  PAD585
+       " 715 (BC_2, IO_PAD585, input, X)," & --  PAD585
+       " 716 (BC_2, *, controlr, 1)," &
+       " 717 (BC_2, IO_PAD584, output3, X, 716, 1, PULL0)," & --  PAD584
+       " 718 (BC_2, IO_PAD584, input, X)," & --  PAD584
+       " 719 (BC_2, *, controlr, 1)," &
+       " 720 (BC_2, IO_PAD583, output3, X, 719, 1, PULL0)," & --  PAD583
+       " 721 (BC_2, IO_PAD583, input, X)," & --  PAD583
+       " 722 (BC_2, *, controlr, 1)," &
+       " 723 (BC_2, IO_PAD582, output3, X, 722, 1, PULL0)," & --  PAD582
+       " 724 (BC_2, IO_PAD582, input, X)," & --  PAD582
+       " 725 (BC_2, *, controlr, 1)," &
+       " 726 (BC_2, IO_PAD581, output3, X, 725, 1, PULL0)," & --  PAD581
+       " 727 (BC_2, IO_PAD581, input, X)," & --  PAD581
+       " 728 (BC_2, *, controlr, 1)," &
+       " 729 (BC_2, IO_PAD580, output3, X, 728, 1, PULL0)," & --  PAD580
+       " 730 (BC_2, IO_PAD580, input, X)," & --  PAD580
+       " 731 (BC_2, *, controlr, 1)," &
+       " 732 (BC_2, IO_PAD579, output3, X, 731, 1, PULL0)," & --  PAD579
+       " 733 (BC_2, IO_PAD579, input, X)," & --  PAD579
+       " 734 (BC_2, *, controlr, 1)," &
+       " 735 (BC_2, IO_PAD578, output3, X, 734, 1, PULL0)," & --  PAD578
+       " 736 (BC_2, IO_PAD578, input, X)," & --  PAD578
+       " 737 (BC_2, *, controlr, 1)," &
+       " 738 (BC_2, IO_PAD577, output3, X, 737, 1, PULL0)," & --  PAD577
+       " 739 (BC_2, IO_PAD577, input, X)," & --  PAD577
+       " 740 (BC_2, *, controlr, 1)," &
+       " 741 (BC_2, IO_PAD576, output3, X, 740, 1, PULL0)," & --  PAD576
+       " 742 (BC_2, IO_PAD576, input, X)," & --  PAD576
+       " 743 (BC_2, *, controlr, 1)," &
+       " 744 (BC_2, IO_PAD575, output3, X, 743, 1, PULL0)," & --  PAD575
+       " 745 (BC_2, IO_PAD575, input, X)," & --  PAD575
+       " 746 (BC_2, *, controlr, 1)," &
+       " 747 (BC_2, IO_PAD574, output3, X, 746, 1, PULL0)," & --  PAD574
+       " 748 (BC_2, IO_PAD574, input, X)," & --  PAD574
+       " 749 (BC_2, *, controlr, 1)," &
+       " 750 (BC_2, IO_PAD573, output3, X, 749, 1, PULL0)," & --  PAD573
+       " 751 (BC_2, IO_PAD573, input, X)," & --  PAD573
+       " 752 (BC_2, *, controlr, 1)," &
+       " 753 (BC_2, IO_PAD572, output3, X, 752, 1, PULL0)," & --  PAD572
+       " 754 (BC_2, IO_PAD572, input, X)," & --  PAD572
+       " 755 (BC_2, *, controlr, 1)," &
+       " 756 (BC_2, IO_PAD571, output3, X, 755, 1, PULL0)," & --  PAD571
+       " 757 (BC_2, IO_PAD571, input, X)," & --  PAD571
+       " 758 (BC_2, *, controlr, 1)," &
+       " 759 (BC_2, IO_PAD570, output3, X, 758, 1, PULL0)," & --  PAD570
+       " 760 (BC_2, IO_PAD570, input, X)," & --  PAD570
+       " 761 (BC_2, *, controlr, 1)," &
+       " 762 (BC_2, IO_PAD569, output3, X, 761, 1, PULL0)," & --  PAD569
+       " 763 (BC_2, IO_PAD569, input, X)," & --  PAD569
+       " 764 (BC_2, *, controlr, 1)," &
+       " 765 (BC_2, IO_PAD568, output3, X, 764, 1, PULL0)," & --  PAD568
+       " 766 (BC_2, IO_PAD568, input, X)," & --  PAD568
+       " 767 (BC_2, *, controlr, 1)," &
+       " 768 (BC_2, IO_PAD567, output3, X, 767, 1, PULL0)," & --  PAD567
+       " 769 (BC_2, IO_PAD567, input, X)," & --  PAD567
+       " 770 (BC_2, *, controlr, 1)," &
+       " 771 (BC_2, IO_PAD566, output3, X, 770, 1, PULL0)," & --  PAD566
+       " 772 (BC_2, IO_PAD566, input, X)," & --  PAD566
+       " 773 (BC_2, *, controlr, 1)," &
+       " 774 (BC_2, IO_PAD565, output3, X, 773, 1, PULL0)," & --  PAD565
+       " 775 (BC_2, IO_PAD565, input, X)," & --  PAD565
+       " 776 (BC_2, *, controlr, 1)," &
+       " 777 (BC_2, IO_PAD564, output3, X, 776, 1, PULL0)," & --  PAD564
+       " 778 (BC_2, IO_PAD564, input, X)," & --  PAD564
+       " 779 (BC_2, *, controlr, 1)," &
+       " 780 (BC_2, IO_PAD563, output3, X, 779, 1, PULL0)," & --  PAD563
+       " 781 (BC_2, IO_PAD563, input, X)," & --  PAD563
+       " 782 (BC_2, *, controlr, 1)," &
+       " 783 (BC_2, IO_PAD562, output3, X, 782, 1, PULL0)," & --  PAD562
+       " 784 (BC_2, IO_PAD562, input, X)," & --  PAD562
+       " 785 (BC_2, *, controlr, 1)," &
+       " 786 (BC_2, IO_PAD561, output3, X, 785, 1, PULL0)," & --  PAD561
+       " 787 (BC_2, IO_PAD561, input, X)," & --  PAD561
+       " 788 (BC_2, *, controlr, 1)," &
+       " 789 (BC_2, IO_PAD560, output3, X, 788, 1, PULL0)," & --  PAD560
+       " 790 (BC_2, IO_PAD560, input, X)," & --  PAD560
+       " 791 (BC_2, *, controlr, 1)," &
+       " 792 (BC_2, IO_PAD559, output3, X, 791, 1, PULL0)," & --  PAD559
+       " 793 (BC_2, IO_PAD559, input, X)," & --  PAD559
+       " 794 (BC_2, *, controlr, 1)," &
+       " 795 (BC_2, IO_PAD558, output3, X, 794, 1, PULL0)," & --  PAD558
+       " 796 (BC_2, IO_PAD558, input, X)," & --  PAD558
+       " 797 (BC_2, *, controlr, 1)," &
+       " 798 (BC_2, IO_PAD557, output3, X, 797, 1, PULL0)," & --  PAD557
+       " 799 (BC_2, IO_PAD557, input, X)," & --  PAD557
+       " 800 (BC_2, *, controlr, 1)," &
+       " 801 (BC_2, IO_PAD556, output3, X, 800, 1, PULL0)," & --  PAD556
+       " 802 (BC_2, IO_PAD556, input, X)," & --  PAD556
+       " 803 (BC_2, *, controlr, 1)," &
+       " 804 (BC_2, IO_PAD555, output3, X, 803, 1, PULL0)," & --  PAD555
+       " 805 (BC_2, IO_PAD555, input, X)," & --  PAD555
+       " 806 (BC_2, *, controlr, 1)," &
+       " 807 (BC_2, IO_PAD554, output3, X, 806, 1, PULL0)," & --  PAD554
+       " 808 (BC_2, IO_PAD554, input, X)," & --  PAD554
+       " 809 (BC_2, *, controlr, 1)," &
+       " 810 (BC_2, IO_PAD553, output3, X, 809, 1, PULL0)," & --  PAD553
+       " 811 (BC_2, IO_PAD553, input, X)," & --  PAD553
+       " 812 (BC_2, *, controlr, 1)," &
+       " 813 (BC_2, IO_PAD552, output3, X, 812, 1, PULL0)," & --  PAD552
+       " 814 (BC_2, IO_PAD552, input, X)," & --  PAD552
+       " 815 (BC_2, *, controlr, 1)," &
+       " 816 (BC_2, IO_PAD551, output3, X, 815, 1, PULL0)," & --  PAD551
+       " 817 (BC_2, IO_PAD551, input, X)," & --  PAD551
+       " 818 (BC_2, *, controlr, 1)," &
+       " 819 (BC_2, IO_PAD550, output3, X, 818, 1, PULL0)," & --  PAD550
+       " 820 (BC_2, IO_PAD550, input, X)," & --  PAD550
+       " 821 (BC_2, *, controlr, 1)," &
+       " 822 (BC_2, IO_PAD549, output3, X, 821, 1, PULL0)," & --  PAD549
+       " 823 (BC_2, IO_PAD549, input, X)," & --  PAD549
+       " 824 (BC_2, *, controlr, 1)," &
+       " 825 (BC_2, IO_PAD548, output3, X, 824, 1, PULL0)," & --  PAD548
+       " 826 (BC_2, IO_PAD548, input, X)," & --  PAD548
+       " 827 (BC_2, *, controlr, 1)," &
+       " 828 (BC_2, IO_PAD547, output3, X, 827, 1, PULL0)," & --  PAD547
+       " 829 (BC_2, IO_PAD547, input, X)," & --  PAD547
+       " 830 (BC_2, *, controlr, 1)," &
+       " 831 (BC_2, IO_PAD546, output3, X, 830, 1, PULL0)," & --  PAD546
+       " 832 (BC_2, IO_PAD546, input, X)," & --  PAD546
+       " 833 (BC_2, *, controlr, 1)," &
+       " 834 (BC_2, IO_PAD545, output3, X, 833, 1, PULL0)," & --  PAD545
+       " 835 (BC_2, IO_PAD545, input, X)," & --  PAD545
+       " 836 (BC_2, *, controlr, 1)," &
+       " 837 (BC_2, IO_PAD544, output3, X, 836, 1, PULL0)," & --  PAD544
+       " 838 (BC_2, IO_PAD544, input, X)," & --  PAD544
+       " 839 (BC_2, *, controlr, 1)," &
+       " 840 (BC_2, IO_PAD543, output3, X, 839, 1, PULL0)," & --  PAD543
+       " 841 (BC_2, IO_PAD543, input, X)," & --  PAD543
+       " 842 (BC_2, *, controlr, 1)," &
+       " 843 (BC_2, IO_PAD542, output3, X, 842, 1, PULL0)," & --  PAD542
+       " 844 (BC_2, IO_PAD542, input, X)," & --  PAD542
+       " 845 (BC_2, *, controlr, 1)," &
+       " 846 (BC_2, IO_PAD541, output3, X, 845, 1, PULL0)," & --  PAD541
+       " 847 (BC_2, IO_PAD541, input, X)," & --  PAD541
+       " 848 (BC_2, *, controlr, 1)," &
+       " 849 (BC_2, IO_PAD540, output3, X, 848, 1, PULL0)," & --  PAD540
+       " 850 (BC_2, IO_PAD540, input, X)," & --  PAD540
+       " 851 (BC_2, *, controlr, 1)," &
+       " 852 (BC_2, IO_PAD539, output3, X, 851, 1, PULL0)," & --  PAD539
+       " 853 (BC_2, IO_PAD539, input, X)," & --  PAD539
+       " 854 (BC_2, *, controlr, 1)," &
+       " 855 (BC_2, IO_PAD538, output3, X, 854, 1, PULL0)," & --  PAD538
+       " 856 (BC_2, IO_PAD538, input, X)," & --  PAD538
+       " 857 (BC_2, *, controlr, 1)," &
+       " 858 (BC_2, IO_PAD537, output3, X, 857, 1, PULL0)," & --  PAD537
+       " 859 (BC_2, IO_PAD537, input, X)," & --  PAD537
+       " 860 (BC_2, *, controlr, 1)," &
+       " 861 (BC_2, IO_PAD536, output3, X, 860, 1, PULL0)," & --  PAD536
+       " 862 (BC_2, IO_PAD536, input, X)," & --  PAD536
+       " 863 (BC_2, *, controlr, 1)," &
+       " 864 (BC_2, IO_PAD535, output3, X, 863, 1, PULL0)," & --  PAD535
+       " 865 (BC_2, IO_PAD535, input, X)," & --  PAD535
+       " 866 (BC_2, *, controlr, 1)," &
+       " 867 (BC_2, IO_PAD534, output3, X, 866, 1, PULL0)," & --  PAD534
+       " 868 (BC_2, IO_PAD534, input, X)," & --  PAD534
+       " 869 (BC_2, *, controlr, 1)," &
+       " 870 (BC_2, IO_PAD533, output3, X, 869, 1, PULL0)," & --  PAD533
+       " 871 (BC_2, IO_PAD533, input, X)," & --  PAD533
+       " 872 (BC_2, *, controlr, 1)," &
+       " 873 (BC_2, IO_PAD532, output3, X, 872, 1, PULL0)," & --  PAD532
+       " 874 (BC_2, IO_PAD532, input, X)," & --  PAD532
+       " 875 (BC_2, *, controlr, 1)," &
+       " 876 (BC_2, IO_PAD531, output3, X, 875, 1, PULL0)," & --  PAD531
+       " 877 (BC_2, IO_PAD531, input, X)," & --  PAD531
+       " 878 (BC_2, *, controlr, 1)," &
+       " 879 (BC_2, IO_PAD530, output3, X, 878, 1, PULL0)," & --  PAD530
+       " 880 (BC_2, IO_PAD530, input, X)," & --  PAD530
+       " 881 (BC_2, *, controlr, 1)," &
+       " 882 (BC_2, IO_PAD529, output3, X, 881, 1, PULL0)," & --  PAD529
+       " 883 (BC_2, IO_PAD529, input, X)," & --  PAD529
+       " 884 (BC_2, *, controlr, 1)," &
+       " 885 (BC_2, IO_PAD528, output3, X, 884, 1, PULL0)," & --  PAD528
+       " 886 (BC_2, IO_PAD528, input, X)," & --  PAD528
+       " 887 (BC_2, *, controlr, 1)," &
+       " 888 (BC_2, IO_PAD527, output3, X, 887, 1, PULL0)," & --  PAD527
+       " 889 (BC_2, IO_PAD527, input, X)," & --  PAD527
+       " 890 (BC_2, *, controlr, 1)," &
+       " 891 (BC_2, IO_PAD526, output3, X, 890, 1, PULL0)," & --  PAD526
+       " 892 (BC_2, IO_PAD526, input, X)," & --  PAD526
+       " 893 (BC_2, *, controlr, 1)," &
+       " 894 (BC_2, IO_PAD525, output3, X, 893, 1, PULL0)," & --  PAD525
+       " 895 (BC_2, IO_PAD525, input, X)," & --  PAD525
+       " 896 (BC_2, *, controlr, 1)," &
+       " 897 (BC_2, IO_PAD524, output3, X, 896, 1, PULL0)," & --  PAD524
+       " 898 (BC_2, IO_PAD524, input, X)," & --  PAD524
+       " 899 (BC_2, *, controlr, 1)," &
+       " 900 (BC_2, IO_PAD523, output3, X, 899, 1, PULL0)," & --  PAD523
+       " 901 (BC_2, IO_PAD523, input, X)," & --  PAD523
+       " 902 (BC_2, *, controlr, 1)," &
+       " 903 (BC_2, IO_PAD522, output3, X, 902, 1, PULL0)," & --  PAD522
+       " 904 (BC_2, IO_PAD522, input, X)," & --  PAD522
+       " 905 (BC_2, *, controlr, 1)," &
+       " 906 (BC_2, IO_PAD521, output3, X, 905, 1, PULL0)," & --  PAD521
+       " 907 (BC_2, IO_PAD521, input, X)," & --  PAD521
+       " 908 (BC_2, *, controlr, 1)," &
+       " 909 (BC_2, IO_PAD520, output3, X, 908, 1, PULL0)," & --  PAD520
+       " 910 (BC_2, IO_PAD520, input, X)," & --  PAD520
+       " 911 (BC_2, *, controlr, 1)," &
+       " 912 (BC_2, IO_PAD519, output3, X, 911, 1, PULL0)," & --  PAD519
+       " 913 (BC_2, IO_PAD519, input, X)," & --  PAD519
+       " 914 (BC_2, *, controlr, 1)," &
+       " 915 (BC_2, IO_PAD518, output3, X, 914, 1, PULL0)," & --  PAD518
+       " 916 (BC_2, IO_PAD518, input, X)," & --  PAD518
+       " 917 (BC_2, *, controlr, 1)," &
+       " 918 (BC_2, IO_PAD517, output3, X, 917, 1, PULL0)," & --  PAD517
+       " 919 (BC_2, IO_PAD517, input, X)," & --  PAD517
+       " 920 (BC_2, *, controlr, 1)," &
+       " 921 (BC_2, IO_PAD516, output3, X, 920, 1, PULL0)," & --  PAD516
+       " 922 (BC_2, IO_PAD516, input, X)," & --  PAD516
+       " 923 (BC_2, *, controlr, 1)," &
+       " 924 (BC_2, IO_PAD515, output3, X, 923, 1, PULL0)," & --  PAD515
+       " 925 (BC_2, IO_PAD515, input, X)," & --  PAD515
+       " 926 (BC_2, *, controlr, 1)," &
+       " 927 (BC_2, IO_PAD514, output3, X, 926, 1, PULL0)," & --  PAD514
+       " 928 (BC_2, IO_PAD514, input, X)," & --  PAD514
+       " 929 (BC_2, *, controlr, 1)," &
+       " 930 (BC_2, IO_PAD513, output3, X, 929, 1, PULL0)," & --  PAD513
+       " 931 (BC_2, IO_PAD513, input, X)," & --  PAD513
+       " 932 (BC_2, *, controlr, 1)," &
+       " 933 (BC_2, IO_PAD512, output3, X, 932, 1, PULL0)," & --  PAD512
+       " 934 (BC_2, IO_PAD512, input, X)," & --  PAD512
+       " 935 (BC_2, *, controlr, 1)," &
+       " 936 (BC_2, IO_PAD511, output3, X, 935, 1, PULL0)," & --  PAD511
+       " 937 (BC_2, IO_PAD511, input, X)," & --  PAD511
+       " 938 (BC_2, *, controlr, 1)," &
+       " 939 (BC_2, IO_PAD510, output3, X, 938, 1, PULL0)," & --  PAD510
+       " 940 (BC_2, IO_PAD510, input, X)," & --  PAD510
+       " 941 (BC_2, *, controlr, 1)," &
+       " 942 (BC_2, IO_PAD509, output3, X, 941, 1, PULL0)," & --  PAD509
+       " 943 (BC_2, IO_PAD509, input, X)," & --  PAD509
+       " 944 (BC_2, *, controlr, 1)," &
+       " 945 (BC_2, IO_PAD508, output3, X, 944, 1, PULL0)," & --  PAD508
+       " 946 (BC_2, IO_PAD508, input, X)," & --  PAD508
+       " 947 (BC_2, *, controlr, 1)," &
+       " 948 (BC_2, IO_PAD507, output3, X, 947, 1, PULL0)," & --  PAD507
+       " 949 (BC_2, IO_PAD507, input, X)," & --  PAD507
+       " 950 (BC_2, *, controlr, 1)," &
+       " 951 (BC_2, IO_PAD506, output3, X, 950, 1, PULL0)," & --  PAD506
+       " 952 (BC_2, IO_PAD506, input, X)," & --  PAD506
+       " 953 (BC_2, *, controlr, 1)," &
+       " 954 (BC_2, IO_PAD505, output3, X, 953, 1, PULL0)," & --  PAD505
+       " 955 (BC_2, IO_PAD505, input, X)," & --  PAD505
+       " 956 (BC_2, *, controlr, 1)," &
+       " 957 (BC_2, IO_PAD504, output3, X, 956, 1, PULL0)," & --  PAD504
+       " 958 (BC_2, IO_PAD504, input, X)," & --  PAD504
+       " 959 (BC_2, *, controlr, 1)," &
+       " 960 (BC_2, IO_PAD503, output3, X, 959, 1, PULL0)," & --  PAD503
+       " 961 (BC_2, IO_PAD503, input, X)," & --  PAD503
+       " 962 (BC_2, *, controlr, 1)," &
+       " 963 (BC_2, IO_PAD502, output3, X, 962, 1, PULL0)," & --  PAD502
+       " 964 (BC_2, IO_PAD502, input, X)," & --  PAD502
+       " 965 (BC_2, *, controlr, 1)," &
+       " 966 (BC_2, IO_PAD501, output3, X, 965, 1, PULL0)," & --  PAD501
+       " 967 (BC_2, IO_PAD501, input, X)," & --  PAD501
+       " 968 (BC_2, *, controlr, 1)," &
+       " 969 (BC_2, IO_PAD500, output3, X, 968, 1, PULL0)," & --  PAD500
+       " 970 (BC_2, IO_PAD500, input, X)," & --  PAD500
+       " 971 (BC_2, *, controlr, 1)," &
+       " 972 (BC_2, IO_PAD499, output3, X, 971, 1, PULL0)," & --  PAD499
+       " 973 (BC_2, IO_PAD499, input, X)," & --  PAD499
+       " 974 (BC_2, *, controlr, 1)," &
+       " 975 (BC_2, IO_PAD498, output3, X, 974, 1, PULL0)," & --  PAD498
+       " 976 (BC_2, IO_PAD498, input, X)," & --  PAD498
+       " 977 (BC_2, *, controlr, 1)," &
+       " 978 (BC_2, IO_PAD497, output3, X, 977, 1, PULL0)," & --  PAD497
+       " 979 (BC_2, IO_PAD497, input, X)," & --  PAD497
+       " 980 (BC_2, *, controlr, 1)," &
+       " 981 (BC_2, IO_PAD496, output3, X, 980, 1, PULL0)," & --  PAD496
+       " 982 (BC_2, IO_PAD496, input, X)," & --  PAD496
+       " 983 (BC_2, *, controlr, 1)," &
+       " 984 (BC_2, IO_PAD495, output3, X, 983, 1, PULL0)," & --  PAD495
+       " 985 (BC_2, IO_PAD495, input, X)," & --  PAD495
+       " 986 (BC_2, *, controlr, 1)," &
+       " 987 (BC_2, IO_PAD494, output3, X, 986, 1, PULL0)," & --  PAD494
+       " 988 (BC_2, IO_PAD494, input, X)," & --  PAD494
+       " 989 (BC_2, *, controlr, 1)," &
+       " 990 (BC_2, IO_PAD493, output3, X, 989, 1, PULL0)," & --  PAD493
+       " 991 (BC_2, IO_PAD493, input, X)," & --  PAD493
+       " 992 (BC_2, *, controlr, 1)," &
+       " 993 (BC_2, IO_PAD492, output3, X, 992, 1, PULL0)," & --  PAD492
+       " 994 (BC_2, IO_PAD492, input, X)," & --  PAD492
+       " 995 (BC_2, *, controlr, 1)," &
+       " 996 (BC_2, IO_PAD491, output3, X, 995, 1, PULL0)," & --  PAD491
+       " 997 (BC_2, IO_PAD491, input, X)," & --  PAD491
+       " 998 (BC_2, *, controlr, 1)," &
+       " 999 (BC_2, IO_PAD490, output3, X, 998, 1, PULL0)," & --  PAD490
+       "1000 (BC_2, IO_PAD490, input, X)," & --  PAD490
+       "1001 (BC_2, *, controlr, 1)," &
+       "1002 (BC_2, IO_PAD489, output3, X, 1001, 1, PULL0)," & --  PAD489
+       "1003 (BC_2, IO_PAD489, input, X)," & --  PAD489
+       "1004 (BC_2, *, controlr, 1)," &
+       "1005 (BC_2, IO_PAD488, output3, X, 1004, 1, PULL0)," & --  PAD488
+       "1006 (BC_2, IO_PAD488, input, X)," & --  PAD488
+       "1007 (BC_2, *, controlr, 1)," &
+       "1008 (BC_2, IO_PAD487, output3, X, 1007, 1, PULL0)," & --  PAD487
+       "1009 (BC_2, IO_PAD487, input, X)," & --  PAD487
+       "1010 (BC_2, *, controlr, 1)," &
+       "1011 (BC_2, IO_PAD486, output3, X, 1010, 1, PULL0)," & --  PAD486
+       "1012 (BC_2, IO_PAD486, input, X)," & --  PAD486
+       "1013 (BC_2, *, controlr, 1)," &
+       "1014 (BC_2, IO_PAD485, output3, X, 1013, 1, PULL0)," & --  PAD485
+       "1015 (BC_2, IO_PAD485, input, X)," & --  PAD485
+       "1016 (BC_2, *, controlr, 1)," &
+       "1017 (BC_2, IO_PAD484, output3, X, 1016, 1, PULL0)," & --  PAD484
+       "1018 (BC_2, IO_PAD484, input, X)," & --  PAD484
+       "1019 (BC_2, *, controlr, 1)," &
+       "1020 (BC_2, IO_PAD483, output3, X, 1019, 1, PULL0)," & --  PAD483
+       "1021 (BC_2, IO_PAD483, input, X)," & --  PAD483
+       "1022 (BC_2, *, controlr, 1)," &
+       "1023 (BC_2, IO_PAD482, output3, X, 1022, 1, PULL0)," & --  PAD482
+       "1024 (BC_2, IO_PAD482, input, X)," & --  PAD482
+       "1025 (BC_2, *, controlr, 1)," &
+       "1026 (BC_2, IO_PAD481, output3, X, 1025, 1, PULL0)," & --  PAD481
+       "1027 (BC_2, IO_PAD481, input, X)," & --  PAD481
+       "1028 (BC_1, *, internal, X)," &
+       "1029 (BC_1, *, internal, X)," &
+       "1030 (BC_1, *, internal, X)," &
+       "1031 (BC_1, *, internal, X)," &
+       "1032 (BC_1, *, internal, X)," &
+       "1033 (BC_1, *, internal, X)," &
+       "1034 (BC_1, *, internal, X)," &
+       "1035 (BC_1, *, internal, X)," &
+       "1036 (BC_1, *, internal, X)," &
+       "1037 (BC_2, *, controlr, 1)," &
+       "1038 (BC_2, IO_PAD480, output3, X, 1037, 1, PULL0)," & --  PAD480
+       "1039 (BC_2, IO_PAD480, input, X)," & --  PAD480
+       "1040 (BC_2, *, controlr, 1)," &
+       "1041 (BC_2, IO_PAD479, output3, X, 1040, 1, PULL0)," & --  PAD479
+       "1042 (BC_2, IO_PAD479, input, X)," & --  PAD479
+       "1043 (BC_2, *, controlr, 1)," &
+       "1044 (BC_2, IO_PAD478, output3, X, 1043, 1, PULL0)," & --  PAD478
+       "1045 (BC_2, IO_PAD478, input, X)," & --  PAD478
+       "1046 (BC_2, *, controlr, 1)," &
+       "1047 (BC_2, IO_PAD477, output3, X, 1046, 1, PULL0)," & --  PAD477
+       "1048 (BC_2, IO_PAD477, input, X)," & --  PAD477
+       "1049 (BC_2, *, controlr, 1)," &
+       "1050 (BC_2, IO_PAD476, output3, X, 1049, 1, PULL0)," & --  PAD476
+       "1051 (BC_2, IO_PAD476, input, X)," & --  PAD476
+       "1052 (BC_2, *, controlr, 1)," &
+       "1053 (BC_2, IO_PAD475, output3, X, 1052, 1, PULL0)," & --  PAD475
+       "1054 (BC_2, IO_PAD475, input, X)," & --  PAD475
+       "1055 (BC_2, *, controlr, 1)," &
+       "1056 (BC_2, IO_PAD474, output3, X, 1055, 1, PULL0)," & --  PAD474
+       "1057 (BC_2, IO_PAD474, input, X)," & --  PAD474
+       "1058 (BC_2, *, controlr, 1)," &
+       "1059 (BC_2, IO_PAD473, output3, X, 1058, 1, PULL0)," & --  PAD473
+       "1060 (BC_2, IO_PAD473, input, X)," & --  PAD473
+       "1061 (BC_2, *, controlr, 1)," &
+       "1062 (BC_2, IO_PAD472, output3, X, 1061, 1, PULL0)," & --  PAD472
+       "1063 (BC_2, IO_PAD472, input, X)," & --  PAD472
+       "1064 (BC_2, *, controlr, 1)," &
+       "1065 (BC_2, IO_PAD471, output3, X, 1064, 1, PULL0)," & --  PAD471
+       "1066 (BC_2, IO_PAD471, input, X)," & --  PAD471
+       "1067 (BC_2, *, controlr, 1)," &
+       "1068 (BC_2, IO_PAD470, output3, X, 1067, 1, PULL0)," & --  PAD470
+       "1069 (BC_2, IO_PAD470, input, X)," & --  PAD470
+       "1070 (BC_2, *, controlr, 1)," &
+       "1071 (BC_2, IO_PAD469, output3, X, 1070, 1, PULL0)," & --  PAD469
+       "1072 (BC_2, IO_PAD469, input, X)," & --  PAD469
+       "1073 (BC_2, *, controlr, 1)," &
+       "1074 (BC_2, IO_PAD468, output3, X, 1073, 1, PULL0)," & --  PAD468
+       "1075 (BC_2, IO_PAD468, input, X)," & --  PAD468
+       "1076 (BC_2, *, controlr, 1)," &
+       "1077 (BC_2, IO_PAD467, output3, X, 1076, 1, PULL0)," & --  PAD467
+       "1078 (BC_2, IO_PAD467, input, X)," & --  PAD467
+       "1079 (BC_2, *, controlr, 1)," &
+       "1080 (BC_2, IO_PAD466, output3, X, 1079, 1, PULL0)," & --  PAD466
+       "1081 (BC_2, IO_PAD466, input, X)," & --  PAD466
+       "1082 (BC_2, *, controlr, 1)," &
+       "1083 (BC_2, IO_PAD465, output3, X, 1082, 1, PULL0)," & --  PAD465
+       "1084 (BC_2, IO_PAD465, input, X)," & --  PAD465
+       "1085 (BC_2, *, controlr, 1)," &
+       "1086 (BC_2, IO_PAD464, output3, X, 1085, 1, PULL0)," & --  PAD464
+       "1087 (BC_2, IO_PAD464, input, X)," & --  PAD464
+       "1088 (BC_2, *, controlr, 1)," &
+       "1089 (BC_2, IO_PAD463, output3, X, 1088, 1, PULL0)," & --  PAD463
+       "1090 (BC_2, IO_PAD463, input, X)," & --  PAD463
+       "1091 (BC_2, *, controlr, 1)," &
+       "1092 (BC_2, IO_PAD462, output3, X, 1091, 1, PULL0)," & --  PAD462
+       "1093 (BC_2, IO_PAD462, input, X)," & --  PAD462
+       "1094 (BC_2, *, controlr, 1)," &
+       "1095 (BC_2, IO_PAD461, output3, X, 1094, 1, PULL0)," & --  PAD461
+       "1096 (BC_2, IO_PAD461, input, X)," & --  PAD461
+       "1097 (BC_2, *, controlr, 1)," &
+       "1098 (BC_2, IO_PAD460, output3, X, 1097, 1, PULL0)," & --  PAD460
+       "1099 (BC_2, IO_PAD460, input, X)," & --  PAD460
+       "1100 (BC_2, *, controlr, 1)," &
+       "1101 (BC_2, IO_PAD459, output3, X, 1100, 1, PULL0)," & --  PAD459
+       "1102 (BC_2, IO_PAD459, input, X)," & --  PAD459
+       "1103 (BC_2, *, controlr, 1)," &
+       "1104 (BC_2, IO_PAD458, output3, X, 1103, 1, PULL0)," & --  PAD458
+       "1105 (BC_2, IO_PAD458, input, X)," & --  PAD458
+       "1106 (BC_2, *, controlr, 1)," &
+       "1107 (BC_2, IO_PAD457, output3, X, 1106, 1, PULL0)," & --  PAD457
+       "1108 (BC_2, IO_PAD457, input, X)," & --  PAD457
+       "1109 (BC_2, *, controlr, 1)," &
+       "1110 (BC_2, IO_PAD456, output3, X, 1109, 1, PULL0)," & --  PAD456
+       "1111 (BC_2, IO_PAD456, input, X)," & --  PAD456
+       "1112 (BC_2, *, controlr, 1)," &
+       "1113 (BC_2, IO_PAD455, output3, X, 1112, 1, PULL0)," & --  PAD455
+       "1114 (BC_2, IO_PAD455, input, X)," & --  PAD455
+       "1115 (BC_2, *, controlr, 1)," &
+       "1116 (BC_2, IO_PAD454, output3, X, 1115, 1, PULL0)," & --  PAD454
+       "1117 (BC_2, IO_PAD454, input, X)," & --  PAD454
+       "1118 (BC_2, *, controlr, 1)," &
+       "1119 (BC_2, IO_PAD453, output3, X, 1118, 1, PULL0)," & --  PAD453
+       "1120 (BC_2, IO_PAD453, input, X)," & --  PAD453
+       "1121 (BC_2, *, controlr, 1)," &
+       "1122 (BC_2, IO_PAD452, output3, X, 1121, 1, PULL0)," & --  PAD452
+       "1123 (BC_2, IO_PAD452, input, X)," & --  PAD452
+       "1124 (BC_2, *, controlr, 1)," &
+       "1125 (BC_2, IO_PAD451, output3, X, 1124, 1, PULL0)," & --  PAD451
+       "1126 (BC_2, IO_PAD451, input, X)," & --  PAD451
+       "1127 (BC_2, *, controlr, 1)," &
+       "1128 (BC_2, IO_PAD450, output3, X, 1127, 1, PULL0)," & --  PAD450
+       "1129 (BC_2, IO_PAD450, input, X)," & --  PAD450
+       "1130 (BC_2, *, controlr, 1)," &
+       "1131 (BC_2, IO_PAD449, output3, X, 1130, 1, PULL0)," & --  PAD449
+       "1132 (BC_2, IO_PAD449, input, X)," & --  PAD449
+       "1133 (BC_2, *, controlr, 1)," &
+       "1134 (BC_2, IO_PAD448, output3, X, 1133, 1, PULL0)," & --  PAD448
+       "1135 (BC_2, IO_PAD448, input, X)," & --  PAD448
+       "1136 (BC_2, *, controlr, 1)," &
+       "1137 (BC_2, IO_PAD447, output3, X, 1136, 1, PULL0)," & --  PAD447
+       "1138 (BC_2, IO_PAD447, input, X)," & --  PAD447
+       "1139 (BC_2, *, controlr, 1)," &
+       "1140 (BC_2, IO_PAD446, output3, X, 1139, 1, PULL0)," & --  PAD446
+       "1141 (BC_2, IO_PAD446, input, X)," & --  PAD446
+       "1142 (BC_2, *, controlr, 1)," &
+       "1143 (BC_2, IO_PAD445, output3, X, 1142, 1, PULL0)," & --  PAD445
+       "1144 (BC_2, IO_PAD445, input, X)," & --  PAD445
+       "1145 (BC_2, *, controlr, 1)," &
+       "1146 (BC_2, IO_PAD444, output3, X, 1145, 1, PULL0)," & --  PAD444
+       "1147 (BC_2, IO_PAD444, input, X)," & --  PAD444
+       "1148 (BC_2, *, controlr, 1)," &
+       "1149 (BC_2, IO_PAD443, output3, X, 1148, 1, PULL0)," & --  PAD443
+       "1150 (BC_2, IO_PAD443, input, X)," & --  PAD443
+       "1151 (BC_2, *, controlr, 1)," &
+       "1152 (BC_2, IO_PAD442, output3, X, 1151, 1, PULL0)," & --  PAD442
+       "1153 (BC_2, IO_PAD442, input, X)," & --  PAD442
+       "1154 (BC_2, *, controlr, 1)," &
+       "1155 (BC_2, IO_PAD441, output3, X, 1154, 1, PULL0)," & --  PAD441
+       "1156 (BC_2, IO_PAD441, input, X)," & --  PAD441
+       "1157 (BC_2, *, controlr, 1)," &
+       "1158 (BC_2, IO_PAD440, output3, X, 1157, 1, PULL0)," & --  PAD440
+       "1159 (BC_2, IO_PAD440, input, X)," & --  PAD440
+       "1160 (BC_2, *, controlr, 1)," &
+       "1161 (BC_2, IO_PAD439, output3, X, 1160, 1, PULL0)," & --  PAD439
+       "1162 (BC_2, IO_PAD439, input, X)," & --  PAD439
+       "1163 (BC_2, *, controlr, 1)," &
+       "1164 (BC_2, IO_PAD438, output3, X, 1163, 1, PULL0)," & --  PAD438
+       "1165 (BC_2, IO_PAD438, input, X)," & --  PAD438
+       "1166 (BC_2, *, controlr, 1)," &
+       "1167 (BC_2, IO_PAD437, output3, X, 1166, 1, PULL0)," & --  PAD437
+       "1168 (BC_2, IO_PAD437, input, X)," & --  PAD437
+       "1169 (BC_2, *, controlr, 1)," &
+       "1170 (BC_2, IO_PAD436, output3, X, 1169, 1, PULL0)," & --  PAD436
+       "1171 (BC_2, IO_PAD436, input, X)," & --  PAD436
+       "1172 (BC_2, *, controlr, 1)," &
+       "1173 (BC_2, IO_PAD435, output3, X, 1172, 1, PULL0)," & --  PAD435
+       "1174 (BC_2, IO_PAD435, input, X)," & --  PAD435
+       "1175 (BC_2, *, controlr, 1)," &
+       "1176 (BC_2, IO_PAD434, output3, X, 1175, 1, PULL0)," & --  PAD434
+       "1177 (BC_2, IO_PAD434, input, X)," & --  PAD434
+       "1178 (BC_2, *, controlr, 1)," &
+       "1179 (BC_2, IO_PAD433, output3, X, 1178, 1, PULL0)," & --  PAD433
+       "1180 (BC_2, IO_PAD433, input, X)," & --  PAD433
+       "1181 (BC_2, *, controlr, 1)," &
+       "1182 (BC_2, IO_PAD432, output3, X, 1181, 1, PULL0)," & --  PAD432
+       "1183 (BC_2, IO_PAD432, input, X)," & --  PAD432
+       "1184 (BC_2, *, controlr, 1)," &
+       "1185 (BC_2, IO_PAD431, output3, X, 1184, 1, PULL0)," & --  PAD431
+       "1186 (BC_2, IO_PAD431, input, X)," & --  PAD431
+       "1187 (BC_2, *, controlr, 1)," &
+       "1188 (BC_2, IO_PAD430, output3, X, 1187, 1, PULL0)," & --  PAD430
+       "1189 (BC_2, IO_PAD430, input, X)," & --  PAD430
+       "1190 (BC_2, *, controlr, 1)," &
+       "1191 (BC_2, IO_PAD429, output3, X, 1190, 1, PULL0)," & --  PAD429
+       "1192 (BC_2, IO_PAD429, input, X)," & --  PAD429
+       "1193 (BC_2, *, controlr, 1)," &
+       "1194 (BC_2, IO_PAD428, output3, X, 1193, 1, PULL0)," & --  PAD428
+       "1195 (BC_2, IO_PAD428, input, X)," & --  PAD428
+       "1196 (BC_2, *, controlr, 1)," &
+       "1197 (BC_2, IO_PAD427, output3, X, 1196, 1, PULL0)," & --  PAD427
+       "1198 (BC_2, IO_PAD427, input, X)," & --  PAD427
+       "1199 (BC_2, *, controlr, 1)," &
+       "1200 (BC_2, IO_PAD426, output3, X, 1199, 1, PULL0)," & --  PAD426
+       "1201 (BC_2, IO_PAD426, input, X)," & --  PAD426
+       "1202 (BC_2, *, controlr, 1)," &
+       "1203 (BC_2, IO_PAD425, output3, X, 1202, 1, PULL0)," & --  PAD425
+       "1204 (BC_2, IO_PAD425, input, X)," & --  PAD425
+       "1205 (BC_2, *, controlr, 1)," &
+       "1206 (BC_2, IO_PAD424, output3, X, 1205, 1, PULL0)," & --  PAD424
+       "1207 (BC_2, IO_PAD424, input, X)," & --  PAD424
+       "1208 (BC_2, *, controlr, 1)," &
+       "1209 (BC_2, IO_PAD423, output3, X, 1208, 1, PULL0)," & --  PAD423
+       "1210 (BC_2, IO_PAD423, input, X)," & --  PAD423
+       "1211 (BC_2, *, controlr, 1)," &
+       "1212 (BC_2, IO_PAD422, output3, X, 1211, 1, PULL0)," & --  PAD422
+       "1213 (BC_2, IO_PAD422, input, X)," & --  PAD422
+       "1214 (BC_2, *, controlr, 1)," &
+       "1215 (BC_2, IO_PAD421, output3, X, 1214, 1, PULL0)," & --  PAD421
+       "1216 (BC_2, IO_PAD421, input, X)," & --  PAD421
+       "1217 (BC_2, *, controlr, 1)," &
+       "1218 (BC_2, IO_PAD420, output3, X, 1217, 1, PULL0)," & --  PAD420
+       "1219 (BC_2, IO_PAD420, input, X)," & --  PAD420
+       "1220 (BC_2, *, controlr, 1)," &
+       "1221 (BC_2, IO_PAD419, output3, X, 1220, 1, PULL0)," & --  PAD419
+       "1222 (BC_2, IO_PAD419, input, X)," & --  PAD419
+       "1223 (BC_2, *, controlr, 1)," &
+       "1224 (BC_2, IO_PAD418, output3, X, 1223, 1, PULL0)," & --  PAD418
+       "1225 (BC_2, IO_PAD418, input, X)," & --  PAD418
+       "1226 (BC_2, *, controlr, 1)," &
+       "1227 (BC_2, IO_PAD417, output3, X, 1226, 1, PULL0)," & --  PAD417
+       "1228 (BC_2, IO_PAD417, input, X)," & --  PAD417
+       "1229 (BC_2, *, controlr, 1)," &
+       "1230 (BC_2, IO_PAD416, output3, X, 1229, 1, PULL0)," & --  PAD416
+       "1231 (BC_2, IO_PAD416, input, X)," & --  PAD416
+       "1232 (BC_2, *, controlr, 1)," &
+       "1233 (BC_2, IO_PAD415, output3, X, 1232, 1, PULL0)," & --  PAD415
+       "1234 (BC_2, IO_PAD415, input, X)," & --  PAD415
+       "1235 (BC_2, *, controlr, 1)," &
+       "1236 (BC_2, IO_PAD414, output3, X, 1235, 1, PULL0)," & --  PAD414
+       "1237 (BC_2, IO_PAD414, input, X)," & --  PAD414
+       "1238 (BC_2, *, controlr, 1)," &
+       "1239 (BC_2, IO_PAD413, output3, X, 1238, 1, PULL0)," & --  PAD413
+       "1240 (BC_2, IO_PAD413, input, X)," & --  PAD413
+       "1241 (BC_2, *, controlr, 1)," &
+       "1242 (BC_2, IO_PAD412, output3, X, 1241, 1, PULL0)," & --  PAD412
+       "1243 (BC_2, IO_PAD412, input, X)," & --  PAD412
+       "1244 (BC_2, *, controlr, 1)," &
+       "1245 (BC_2, IO_PAD411, output3, X, 1244, 1, PULL0)," & --  PAD411
+       "1246 (BC_2, IO_PAD411, input, X)," & --  PAD411
+       "1247 (BC_2, *, controlr, 1)," &
+       "1248 (BC_2, IO_PAD410, output3, X, 1247, 1, PULL0)," & --  PAD410
+       "1249 (BC_2, IO_PAD410, input, X)," & --  PAD410
+       "1250 (BC_2, *, controlr, 1)," &
+       "1251 (BC_2, IO_PAD409, output3, X, 1250, 1, PULL0)," & --  PAD409
+       "1252 (BC_2, IO_PAD409, input, X)," & --  PAD409
+       "1253 (BC_2, *, controlr, 1)," &
+       "1254 (BC_2, IO_PAD408, output3, X, 1253, 1, PULL0)," & --  PAD408
+       "1255 (BC_2, IO_PAD408, input, X)," & --  PAD408
+       "1256 (BC_2, *, controlr, 1)," &
+       "1257 (BC_2, IO_PAD407, output3, X, 1256, 1, PULL0)," & --  PAD407
+       "1258 (BC_2, IO_PAD407, input, X)," & --  PAD407
+       "1259 (BC_2, *, controlr, 1)," &
+       "1260 (BC_2, IO_PAD406, output3, X, 1259, 1, PULL0)," & --  PAD406
+       "1261 (BC_2, IO_PAD406, input, X)," & --  PAD406
+       "1262 (BC_2, *, controlr, 1)," &
+       "1263 (BC_2, IO_PAD405, output3, X, 1262, 1, PULL0)," & --  PAD405
+       "1264 (BC_2, IO_PAD405, input, X)," & --  PAD405
+       "1265 (BC_2, *, controlr, 1)," &
+       "1266 (BC_2, IO_PAD404, output3, X, 1265, 1, PULL0)," & --  PAD404
+       "1267 (BC_2, IO_PAD404, input, X)," & --  PAD404
+       "1268 (BC_2, *, controlr, 1)," &
+       "1269 (BC_2, IO_PAD403, output3, X, 1268, 1, PULL0)," & --  PAD403
+       "1270 (BC_2, IO_PAD403, input, X)," & --  PAD403
+       "1271 (BC_2, *, controlr, 1)," &
+       "1272 (BC_2, IO_PAD402, output3, X, 1271, 1, PULL0)," & --  PAD402
+       "1273 (BC_2, IO_PAD402, input, X)," & --  PAD402
+       "1274 (BC_2, *, controlr, 1)," &
+       "1275 (BC_2, IO_PAD401, output3, X, 1274, 1, PULL0)," & --  PAD401
+       "1276 (BC_2, IO_PAD401, input, X)," & --  PAD401
+       "1277 (BC_2, M0_0, input, X)," &
+       "1278 (BC_2, M1_0, input, X)," &
+       "1279 (BC_2, M2_0, input, X)," &
+       "1280 (BC_2, *, controlr, 1)," &
+       "1281 (BC_2, DOUT_BUSY_OUT_BUSY_0, output3, X, 1280, 1, PULL1)," &
+       "1282 (BC_2, RDWR_B_0, input, X)," &
+       "1283 (BC_2, CS_B_0, input, X)," &
+       "1284 (BC_2, D_IN_0, input, X)," &
+       "1285 (BC_2, *, controlr, 1)," &
+       "1286 (BC_2, DONE_0, output3, X, 1285, 1, PULL1)," &
+       "1287 (BC_2, DONE_0, input, X)," &
+       "1288 (BC_2, *, controlr, 1)," &
+       "1289 (BC_2, CCLK_0, output3, X, 1288, 1, PULL1)," &
+       "1290 (BC_2, CCLK_0, input, X)," &
+       "1291 (BC_2, *, controlr, 1)," &
+       "1292 (BC_2, INIT_B_0, output3, X, 1291, 1, PULL1)," &
+       "1293 (BC_2, INIT_B_0, input, X)," &
+       "1294 (BC_2, *, internal, 1)," & --  PROG_B
+       "1295 (BC_2, HSWAP_EN_0, input, 1)," &
+       "1296 (BC_2, *, controlr, 1)," &
+       "1297 (BC_2, IO_PAD400, output3, X, 1296, 1, PULL0)," & --  PAD400
+       "1298 (BC_2, IO_PAD400, input, X)," & --  PAD400
+       "1299 (BC_2, *, controlr, 1)," &
+       "1300 (BC_2, IO_PAD399, output3, X, 1299, 1, PULL0)," & --  PAD399
+       "1301 (BC_2, IO_PAD399, input, X)," & --  PAD399
+       "1302 (BC_2, *, controlr, 1)," &
+       "1303 (BC_2, IO_PAD398, output3, X, 1302, 1, PULL0)," & --  PAD398
+       "1304 (BC_2, IO_PAD398, input, X)," & --  PAD398
+       "1305 (BC_2, *, controlr, 1)," &
+       "1306 (BC_2, IO_PAD397, output3, X, 1305, 1, PULL0)," & --  PAD397
+       "1307 (BC_2, IO_PAD397, input, X)," & --  PAD397
+       "1308 (BC_2, *, controlr, 1)," &
+       "1309 (BC_2, IO_PAD396, output3, X, 1308, 1, PULL0)," & --  PAD396
+       "1310 (BC_2, IO_PAD396, input, X)," & --  PAD396
+       "1311 (BC_2, *, controlr, 1)," &
+       "1312 (BC_2, IO_PAD395, output3, X, 1311, 1, PULL0)," & --  PAD395
+       "1313 (BC_2, IO_PAD395, input, X)," & --  PAD395
+       "1314 (BC_2, *, controlr, 1)," &
+       "1315 (BC_2, IO_PAD394, output3, X, 1314, 1, PULL0)," & --  PAD394
+       "1316 (BC_2, IO_PAD394, input, X)," & --  PAD394
+       "1317 (BC_2, *, controlr, 1)," &
+       "1318 (BC_2, IO_PAD393, output3, X, 1317, 1, PULL0)," & --  PAD393
+       "1319 (BC_2, IO_PAD393, input, X)," & --  PAD393
+       "1320 (BC_2, *, controlr, 1)," &
+       "1321 (BC_2, IO_PAD392, output3, X, 1320, 1, PULL0)," & --  PAD392
+       "1322 (BC_2, IO_PAD392, input, X)," & --  PAD392
+       "1323 (BC_2, *, controlr, 1)," &
+       "1324 (BC_2, IO_PAD391, output3, X, 1323, 1, PULL0)," & --  PAD391
+       "1325 (BC_2, IO_PAD391, input, X)," & --  PAD391
+       "1326 (BC_2, *, controlr, 1)," &
+       "1327 (BC_2, IO_PAD390, output3, X, 1326, 1, PULL0)," & --  PAD390
+       "1328 (BC_2, IO_PAD390, input, X)," & --  PAD390
+       "1329 (BC_2, *, controlr, 1)," &
+       "1330 (BC_2, IO_PAD389, output3, X, 1329, 1, PULL0)," & --  PAD389
+       "1331 (BC_2, IO_PAD389, input, X)," & --  PAD389
+       "1332 (BC_2, *, controlr, 1)," &
+       "1333 (BC_2, IO_PAD388, output3, X, 1332, 1, PULL0)," & --  PAD388
+       "1334 (BC_2, IO_PAD388, input, X)," & --  PAD388
+       "1335 (BC_2, *, controlr, 1)," &
+       "1336 (BC_2, IO_PAD387, output3, X, 1335, 1, PULL0)," & --  PAD387
+       "1337 (BC_2, IO_PAD387, input, X)," & --  PAD387
+       "1338 (BC_2, *, controlr, 1)," &
+       "1339 (BC_2, IO_PAD386, output3, X, 1338, 1, PULL0)," & --  PAD386
+       "1340 (BC_2, IO_PAD386, input, X)," & --  PAD386
+       "1341 (BC_2, *, controlr, 1)," &
+       "1342 (BC_2, IO_PAD385, output3, X, 1341, 1, PULL0)," & --  PAD385
+       "1343 (BC_2, IO_PAD385, input, X)," & --  PAD385
+       "1344 (BC_2, *, controlr, 1)," &
+       "1345 (BC_2, IO_PAD384, output3, X, 1344, 1, PULL0)," & --  PAD384
+       "1346 (BC_2, IO_PAD384, input, X)," & --  PAD384
+       "1347 (BC_2, *, controlr, 1)," &
+       "1348 (BC_2, IO_PAD383, output3, X, 1347, 1, PULL0)," & --  PAD383
+       "1349 (BC_2, IO_PAD383, input, X)," & --  PAD383
+       "1350 (BC_2, *, controlr, 1)," &
+       "1351 (BC_2, IO_PAD382, output3, X, 1350, 1, PULL0)," & --  PAD382
+       "1352 (BC_2, IO_PAD382, input, X)," & --  PAD382
+       "1353 (BC_2, *, controlr, 1)," &
+       "1354 (BC_2, IO_PAD381, output3, X, 1353, 1, PULL0)," & --  PAD381
+       "1355 (BC_2, IO_PAD381, input, X)," & --  PAD381
+       "1356 (BC_2, *, controlr, 1)," &
+       "1357 (BC_2, IO_PAD380, output3, X, 1356, 1, PULL0)," & --  PAD380
+       "1358 (BC_2, IO_PAD380, input, X)," & --  PAD380
+       "1359 (BC_2, *, controlr, 1)," &
+       "1360 (BC_2, IO_PAD379, output3, X, 1359, 1, PULL0)," & --  PAD379
+       "1361 (BC_2, IO_PAD379, input, X)," & --  PAD379
+       "1362 (BC_2, *, controlr, 1)," &
+       "1363 (BC_2, IO_PAD378, output3, X, 1362, 1, PULL0)," & --  PAD378
+       "1364 (BC_2, IO_PAD378, input, X)," & --  PAD378
+       "1365 (BC_2, *, controlr, 1)," &
+       "1366 (BC_2, IO_PAD377, output3, X, 1365, 1, PULL0)," & --  PAD377
+       "1367 (BC_2, IO_PAD377, input, X)," & --  PAD377
+       "1368 (BC_2, *, controlr, 1)," &
+       "1369 (BC_2, IO_PAD376, output3, X, 1368, 1, PULL0)," & --  PAD376
+       "1370 (BC_2, IO_PAD376, input, X)," & --  PAD376
+       "1371 (BC_2, *, controlr, 1)," &
+       "1372 (BC_2, IO_PAD375, output3, X, 1371, 1, PULL0)," & --  PAD375
+       "1373 (BC_2, IO_PAD375, input, X)," & --  PAD375
+       "1374 (BC_2, *, controlr, 1)," &
+       "1375 (BC_2, IO_PAD374, output3, X, 1374, 1, PULL0)," & --  PAD374
+       "1376 (BC_2, IO_PAD374, input, X)," & --  PAD374
+       "1377 (BC_2, *, controlr, 1)," &
+       "1378 (BC_2, IO_PAD373, output3, X, 1377, 1, PULL0)," & --  PAD373
+       "1379 (BC_2, IO_PAD373, input, X)," & --  PAD373
+       "1380 (BC_2, *, controlr, 1)," &
+       "1381 (BC_2, IO_PAD372, output3, X, 1380, 1, PULL0)," & --  PAD372
+       "1382 (BC_2, IO_PAD372, input, X)," & --  PAD372
+       "1383 (BC_2, *, controlr, 1)," &
+       "1384 (BC_2, IO_PAD371, output3, X, 1383, 1, PULL0)," & --  PAD371
+       "1385 (BC_2, IO_PAD371, input, X)," & --  PAD371
+       "1386 (BC_2, *, controlr, 1)," &
+       "1387 (BC_2, IO_PAD370, output3, X, 1386, 1, PULL0)," & --  PAD370
+       "1388 (BC_2, IO_PAD370, input, X)," & --  PAD370
+       "1389 (BC_2, *, controlr, 1)," &
+       "1390 (BC_2, IO_PAD369, output3, X, 1389, 1, PULL0)," & --  PAD369
+       "1391 (BC_2, IO_PAD369, input, X)," & --  PAD369
+       "1392 (BC_2, *, controlr, 1)," &
+       "1393 (BC_2, IO_PAD368, output3, X, 1392, 1, PULL0)," & --  PAD368
+       "1394 (BC_2, IO_PAD368, input, X)," & --  PAD368
+       "1395 (BC_2, *, controlr, 1)," &
+       "1396 (BC_2, IO_PAD367, output3, X, 1395, 1, PULL0)," & --  PAD367
+       "1397 (BC_2, IO_PAD367, input, X)," & --  PAD367
+       "1398 (BC_2, *, controlr, 1)," &
+       "1399 (BC_2, IO_PAD366, output3, X, 1398, 1, PULL0)," & --  PAD366
+       "1400 (BC_2, IO_PAD366, input, X)," & --  PAD366
+       "1401 (BC_2, *, controlr, 1)," &
+       "1402 (BC_2, IO_PAD365, output3, X, 1401, 1, PULL0)," & --  PAD365
+       "1403 (BC_2, IO_PAD365, input, X)," & --  PAD365
+       "1404 (BC_2, *, controlr, 1)," &
+       "1405 (BC_2, IO_PAD364, output3, X, 1404, 1, PULL0)," & --  PAD364
+       "1406 (BC_2, IO_PAD364, input, X)," & --  PAD364
+       "1407 (BC_2, *, controlr, 1)," &
+       "1408 (BC_2, IO_PAD363, output3, X, 1407, 1, PULL0)," & --  PAD363
+       "1409 (BC_2, IO_PAD363, input, X)," & --  PAD363
+       "1410 (BC_2, *, controlr, 1)," &
+       "1411 (BC_2, IO_PAD362, output3, X, 1410, 1, PULL0)," & --  PAD362
+       "1412 (BC_2, IO_PAD362, input, X)," & --  PAD362
+       "1413 (BC_2, *, controlr, 1)," &
+       "1414 (BC_2, IO_PAD361, output3, X, 1413, 1, PULL0)," & --  PAD361
+       "1415 (BC_2, IO_PAD361, input, X)," & --  PAD361
+       "1416 (BC_2, *, controlr, 1)," &
+       "1417 (BC_2, IO_PAD360, output3, X, 1416, 1, PULL0)," & --  PAD360
+       "1418 (BC_2, IO_PAD360, input, X)," & --  PAD360
+       "1419 (BC_2, *, controlr, 1)," &
+       "1420 (BC_2, IO_PAD359, output3, X, 1419, 1, PULL0)," & --  PAD359
+       "1421 (BC_2, IO_PAD359, input, X)," & --  PAD359
+       "1422 (BC_2, *, controlr, 1)," &
+       "1423 (BC_2, IO_PAD358, output3, X, 1422, 1, PULL0)," & --  PAD358
+       "1424 (BC_2, IO_PAD358, input, X)," & --  PAD358
+       "1425 (BC_2, *, controlr, 1)," &
+       "1426 (BC_2, IO_PAD357, output3, X, 1425, 1, PULL0)," & --  PAD357
+       "1427 (BC_2, IO_PAD357, input, X)," & --  PAD357
+       "1428 (BC_2, *, controlr, 1)," &
+       "1429 (BC_2, IO_PAD356, output3, X, 1428, 1, PULL0)," & --  PAD356
+       "1430 (BC_2, IO_PAD356, input, X)," & --  PAD356
+       "1431 (BC_2, *, controlr, 1)," &
+       "1432 (BC_2, IO_PAD355, output3, X, 1431, 1, PULL0)," & --  PAD355
+       "1433 (BC_2, IO_PAD355, input, X)," & --  PAD355
+       "1434 (BC_2, *, controlr, 1)," &
+       "1435 (BC_2, IO_PAD354, output3, X, 1434, 1, PULL0)," & --  PAD354
+       "1436 (BC_2, IO_PAD354, input, X)," & --  PAD354
+       "1437 (BC_2, *, controlr, 1)," &
+       "1438 (BC_2, IO_PAD353, output3, X, 1437, 1, PULL0)," & --  PAD353
+       "1439 (BC_2, IO_PAD353, input, X)," & --  PAD353
+       "1440 (BC_2, *, controlr, 1)," &
+       "1441 (BC_2, IO_PAD352, output3, X, 1440, 1, PULL0)," & --  PAD352
+       "1442 (BC_2, IO_PAD352, input, X)," & --  PAD352
+       "1443 (BC_2, *, controlr, 1)," &
+       "1444 (BC_2, IO_PAD351, output3, X, 1443, 1, PULL0)," & --  PAD351
+       "1445 (BC_2, IO_PAD351, input, X)," & --  PAD351
+       "1446 (BC_2, *, controlr, 1)," &
+       "1447 (BC_2, IO_PAD350, output3, X, 1446, 1, PULL0)," & --  PAD350
+       "1448 (BC_2, IO_PAD350, input, X)," & --  PAD350
+       "1449 (BC_2, *, controlr, 1)," &
+       "1450 (BC_2, IO_PAD349, output3, X, 1449, 1, PULL0)," & --  PAD349
+       "1451 (BC_2, IO_PAD349, input, X)," & --  PAD349
+       "1452 (BC_2, *, controlr, 1)," &
+       "1453 (BC_2, IO_PAD348, output3, X, 1452, 1, PULL0)," & --  PAD348
+       "1454 (BC_2, IO_PAD348, input, X)," & --  PAD348
+       "1455 (BC_2, *, controlr, 1)," &
+       "1456 (BC_2, IO_PAD347, output3, X, 1455, 1, PULL0)," & --  PAD347
+       "1457 (BC_2, IO_PAD347, input, X)," & --  PAD347
+       "1458 (BC_2, *, controlr, 1)," &
+       "1459 (BC_2, IO_PAD346, output3, X, 1458, 1, PULL0)," & --  PAD346
+       "1460 (BC_2, IO_PAD346, input, X)," & --  PAD346
+       "1461 (BC_2, *, controlr, 1)," &
+       "1462 (BC_2, IO_PAD345, output3, X, 1461, 1, PULL0)," & --  PAD345
+       "1463 (BC_2, IO_PAD345, input, X)," & --  PAD345
+       "1464 (BC_2, *, controlr, 1)," &
+       "1465 (BC_2, IO_PAD344, output3, X, 1464, 1, PULL0)," & --  PAD344
+       "1466 (BC_2, IO_PAD344, input, X)," & --  PAD344
+       "1467 (BC_2, *, controlr, 1)," &
+       "1468 (BC_2, IO_PAD343, output3, X, 1467, 1, PULL0)," & --  PAD343
+       "1469 (BC_2, IO_PAD343, input, X)," & --  PAD343
+       "1470 (BC_2, *, controlr, 1)," &
+       "1471 (BC_2, IO_PAD342, output3, X, 1470, 1, PULL0)," & --  PAD342
+       "1472 (BC_2, IO_PAD342, input, X)," & --  PAD342
+       "1473 (BC_2, *, controlr, 1)," &
+       "1474 (BC_2, IO_PAD341, output3, X, 1473, 1, PULL0)," & --  PAD341
+       "1475 (BC_2, IO_PAD341, input, X)," & --  PAD341
+       "1476 (BC_2, *, controlr, 1)," &
+       "1477 (BC_2, IO_PAD340, output3, X, 1476, 1, PULL0)," & --  PAD340
+       "1478 (BC_2, IO_PAD340, input, X)," & --  PAD340
+       "1479 (BC_2, *, controlr, 1)," &
+       "1480 (BC_2, IO_PAD339, output3, X, 1479, 1, PULL0)," & --  PAD339
+       "1481 (BC_2, IO_PAD339, input, X)," & --  PAD339
+       "1482 (BC_2, *, controlr, 1)," &
+       "1483 (BC_2, IO_PAD338, output3, X, 1482, 1, PULL0)," & --  PAD338
+       "1484 (BC_2, IO_PAD338, input, X)," & --  PAD338
+       "1485 (BC_2, *, controlr, 1)," &
+       "1486 (BC_2, IO_PAD337, output3, X, 1485, 1, PULL0)," & --  PAD337
+       "1487 (BC_2, IO_PAD337, input, X)," & --  PAD337
+       "1488 (BC_2, *, controlr, 1)," &
+       "1489 (BC_2, IO_PAD336, output3, X, 1488, 1, PULL0)," & --  PAD336
+       "1490 (BC_2, IO_PAD336, input, X)," & --  PAD336
+       "1491 (BC_2, *, controlr, 1)," &
+       "1492 (BC_2, IO_PAD335, output3, X, 1491, 1, PULL0)," & --  PAD335
+       "1493 (BC_2, IO_PAD335, input, X)," & --  PAD335
+       "1494 (BC_2, *, controlr, 1)," &
+       "1495 (BC_2, IO_PAD334, output3, X, 1494, 1, PULL0)," & --  PAD334
+       "1496 (BC_2, IO_PAD334, input, X)," & --  PAD334
+       "1497 (BC_2, *, controlr, 1)," &
+       "1498 (BC_2, IO_PAD333, output3, X, 1497, 1, PULL0)," & --  PAD333
+       "1499 (BC_2, IO_PAD333, input, X)," & --  PAD333
+       "1500 (BC_2, *, controlr, 1)," &
+       "1501 (BC_2, IO_PAD332, output3, X, 1500, 1, PULL0)," & --  PAD332
+       "1502 (BC_2, IO_PAD332, input, X)," & --  PAD332
+       "1503 (BC_2, *, controlr, 1)," &
+       "1504 (BC_2, IO_PAD331, output3, X, 1503, 1, PULL0)," & --  PAD331
+       "1505 (BC_2, IO_PAD331, input, X)," & --  PAD331
+       "1506 (BC_2, *, controlr, 1)," &
+       "1507 (BC_2, IO_PAD330, output3, X, 1506, 1, PULL0)," & --  PAD330
+       "1508 (BC_2, IO_PAD330, input, X)," & --  PAD330
+       "1509 (BC_2, *, controlr, 1)," &
+       "1510 (BC_2, IO_PAD329, output3, X, 1509, 1, PULL0)," & --  PAD329
+       "1511 (BC_2, IO_PAD329, input, X)," & --  PAD329
+       "1512 (BC_2, *, controlr, 1)," &
+       "1513 (BC_2, IO_PAD328, output3, X, 1512, 1, PULL0)," & --  PAD328
+       "1514 (BC_2, IO_PAD328, input, X)," & --  PAD328
+       "1515 (BC_2, *, controlr, 1)," &
+       "1516 (BC_2, IO_PAD327, output3, X, 1515, 1, PULL0)," & --  PAD327
+       "1517 (BC_2, IO_PAD327, input, X)," & --  PAD327
+       "1518 (BC_2, *, controlr, 1)," &
+       "1519 (BC_2, IO_PAD326, output3, X, 1518, 1, PULL0)," & --  PAD326
+       "1520 (BC_2, IO_PAD326, input, X)," & --  PAD326
+       "1521 (BC_2, *, controlr, 1)," &
+       "1522 (BC_2, IO_PAD325, output3, X, 1521, 1, PULL0)," & --  PAD325
+       "1523 (BC_2, IO_PAD325, input, X)," & --  PAD325
+       "1524 (BC_2, *, controlr, 1)," &
+       "1525 (BC_2, IO_PAD324, output3, X, 1524, 1, PULL0)," & --  PAD324
+       "1526 (BC_2, IO_PAD324, input, X)," & --  PAD324
+       "1527 (BC_2, *, controlr, 1)," &
+       "1528 (BC_2, IO_PAD323, output3, X, 1527, 1, PULL0)," & --  PAD323
+       "1529 (BC_2, IO_PAD323, input, X)," & --  PAD323
+       "1530 (BC_2, *, controlr, 1)," &
+       "1531 (BC_2, IO_PAD322, output3, X, 1530, 1, PULL0)," & --  PAD322
+       "1532 (BC_2, IO_PAD322, input, X)," & --  PAD322
+       "1533 (BC_2, *, controlr, 1)," &
+       "1534 (BC_2, IO_PAD321, output3, X, 1533, 1, PULL0)," & --  PAD321
+       "1535 (BC_2, IO_PAD321, input, X)," & --  PAD321
+       "1536 (BC_1, *, internal, X)," &
+       "1537 (BC_1, *, internal, X)," &
+       "1538 (BC_1, *, internal, X)," &
+       "1539 (BC_1, *, internal, X)," &
+       "1540 (BC_1, *, internal, X)," &
+       "1541 (BC_1, *, internal, X)," &
+       "1542 (BC_1, *, internal, X)," &
+       "1543 (BC_1, *, internal, X)," &
+       "1544 (BC_1, *, internal, X)," &
+       "1545 (BC_2, *, controlr, 1)," &
+       "1546 (BC_2, IO_PAD320, output3, X, 1545, 1, PULL0)," & --  PAD320
+       "1547 (BC_2, IO_PAD320, input, X)," & --  PAD320
+       "1548 (BC_2, *, controlr, 1)," &
+       "1549 (BC_2, IO_PAD319, output3, X, 1548, 1, PULL0)," & --  PAD319
+       "1550 (BC_2, IO_PAD319, input, X)," & --  PAD319
+       "1551 (BC_2, *, controlr, 1)," &
+       "1552 (BC_2, IO_PAD318, output3, X, 1551, 1, PULL0)," & --  PAD318
+       "1553 (BC_2, IO_PAD318, input, X)," & --  PAD318
+       "1554 (BC_2, *, controlr, 1)," &
+       "1555 (BC_2, IO_PAD317, output3, X, 1554, 1, PULL0)," & --  PAD317
+       "1556 (BC_2, IO_PAD317, input, X)," & --  PAD317
+       "1557 (BC_2, *, controlr, 1)," &
+       "1558 (BC_2, IO_PAD316, output3, X, 1557, 1, PULL0)," & --  PAD316
+       "1559 (BC_2, IO_PAD316, input, X)," & --  PAD316
+       "1560 (BC_2, *, controlr, 1)," &
+       "1561 (BC_2, IO_PAD315, output3, X, 1560, 1, PULL0)," & --  PAD315
+       "1562 (BC_2, IO_PAD315, input, X)," & --  PAD315
+       "1563 (BC_2, *, controlr, 1)," &
+       "1564 (BC_2, IO_PAD314, output3, X, 1563, 1, PULL0)," & --  PAD314
+       "1565 (BC_2, IO_PAD314, input, X)," & --  PAD314
+       "1566 (BC_2, *, controlr, 1)," &
+       "1567 (BC_2, IO_PAD313, output3, X, 1566, 1, PULL0)," & --  PAD313
+       "1568 (BC_2, IO_PAD313, input, X)," & --  PAD313
+       "1569 (BC_2, *, controlr, 1)," &
+       "1570 (BC_2, IO_PAD312, output3, X, 1569, 1, PULL0)," & --  PAD312
+       "1571 (BC_2, IO_PAD312, input, X)," & --  PAD312
+       "1572 (BC_2, *, controlr, 1)," &
+       "1573 (BC_2, IO_PAD311, output3, X, 1572, 1, PULL0)," & --  PAD311
+       "1574 (BC_2, IO_PAD311, input, X)," & --  PAD311
+       "1575 (BC_2, *, controlr, 1)," &
+       "1576 (BC_2, IO_PAD310, output3, X, 1575, 1, PULL0)," & --  PAD310
+       "1577 (BC_2, IO_PAD310, input, X)," & --  PAD310
+       "1578 (BC_2, *, controlr, 1)," &
+       "1579 (BC_2, IO_PAD309, output3, X, 1578, 1, PULL0)," & --  PAD309
+       "1580 (BC_2, IO_PAD309, input, X)," & --  PAD309
+       "1581 (BC_2, *, controlr, 1)," &
+       "1582 (BC_2, IO_PAD308, output3, X, 1581, 1, PULL0)," & --  PAD308
+       "1583 (BC_2, IO_PAD308, input, X)," & --  PAD308
+       "1584 (BC_2, *, controlr, 1)," &
+       "1585 (BC_2, IO_PAD307, output3, X, 1584, 1, PULL0)," & --  PAD307
+       "1586 (BC_2, IO_PAD307, input, X)," & --  PAD307
+       "1587 (BC_2, *, controlr, 1)," &
+       "1588 (BC_2, IO_PAD306, output3, X, 1587, 1, PULL0)," & --  PAD306
+       "1589 (BC_2, IO_PAD306, input, X)," & --  PAD306
+       "1590 (BC_2, *, controlr, 1)," &
+       "1591 (BC_2, IO_PAD305, output3, X, 1590, 1, PULL0)," & --  PAD305
+       "1592 (BC_2, IO_PAD305, input, X)," & --  PAD305
+       "1593 (BC_2, *, controlr, 1)," &
+       "1594 (BC_2, IO_PAD304, output3, X, 1593, 1, PULL0)," & --  PAD304
+       "1595 (BC_2, IO_PAD304, input, X)," & --  PAD304
+       "1596 (BC_2, *, controlr, 1)," &
+       "1597 (BC_2, IO_PAD303, output3, X, 1596, 1, PULL0)," & --  PAD303
+       "1598 (BC_2, IO_PAD303, input, X)," & --  PAD303
+       "1599 (BC_2, *, controlr, 1)," &
+       "1600 (BC_2, IO_PAD302, output3, X, 1599, 1, PULL0)," & --  PAD302
+       "1601 (BC_2, IO_PAD302, input, X)," & --  PAD302
+       "1602 (BC_2, *, controlr, 1)," &
+       "1603 (BC_2, IO_PAD301, output3, X, 1602, 1, PULL0)," & --  PAD301
+       "1604 (BC_2, IO_PAD301, input, X)," & --  PAD301
+       "1605 (BC_2, *, controlr, 1)," &
+       "1606 (BC_2, IO_PAD300, output3, X, 1605, 1, PULL0)," & --  PAD300
+       "1607 (BC_2, IO_PAD300, input, X)," & --  PAD300
+       "1608 (BC_2, *, controlr, 1)," &
+       "1609 (BC_2, IO_PAD299, output3, X, 1608, 1, PULL0)," & --  PAD299
+       "1610 (BC_2, IO_PAD299, input, X)," & --  PAD299
+       "1611 (BC_2, *, controlr, 1)," &
+       "1612 (BC_2, IO_PAD298, output3, X, 1611, 1, PULL0)," & --  PAD298
+       "1613 (BC_2, IO_PAD298, input, X)," & --  PAD298
+       "1614 (BC_2, *, controlr, 1)," &
+       "1615 (BC_2, IO_PAD297, output3, X, 1614, 1, PULL0)," & --  PAD297
+       "1616 (BC_2, IO_PAD297, input, X)," & --  PAD297
+       "1617 (BC_2, *, controlr, 1)," &
+       "1618 (BC_2, IO_PAD296, output3, X, 1617, 1, PULL0)," & --  PAD296
+       "1619 (BC_2, IO_PAD296, input, X)," & --  PAD296
+       "1620 (BC_2, *, controlr, 1)," &
+       "1621 (BC_2, IO_PAD295, output3, X, 1620, 1, PULL0)," & --  PAD295
+       "1622 (BC_2, IO_PAD295, input, X)," & --  PAD295
+       "1623 (BC_2, *, controlr, 1)," &
+       "1624 (BC_2, IO_PAD294, output3, X, 1623, 1, PULL0)," & --  PAD294
+       "1625 (BC_2, IO_PAD294, input, X)," & --  PAD294
+       "1626 (BC_2, *, controlr, 1)," &
+       "1627 (BC_2, IO_PAD293, output3, X, 1626, 1, PULL0)," & --  PAD293
+       "1628 (BC_2, IO_PAD293, input, X)," & --  PAD293
+       "1629 (BC_2, *, controlr, 1)," &
+       "1630 (BC_2, IO_PAD292, output3, X, 1629, 1, PULL0)," & --  PAD292
+       "1631 (BC_2, IO_PAD292, input, X)," & --  PAD292
+       "1632 (BC_2, *, controlr, 1)," &
+       "1633 (BC_2, IO_PAD291, output3, X, 1632, 1, PULL0)," & --  PAD291
+       "1634 (BC_2, IO_PAD291, input, X)," & --  PAD291
+       "1635 (BC_2, *, controlr, 1)," &
+       "1636 (BC_2, IO_PAD290, output3, X, 1635, 1, PULL0)," & --  PAD290
+       "1637 (BC_2, IO_PAD290, input, X)," & --  PAD290
+       "1638 (BC_2, *, controlr, 1)," &
+       "1639 (BC_2, IO_PAD289, output3, X, 1638, 1, PULL0)," & --  PAD289
+       "1640 (BC_2, IO_PAD289, input, X)," & --  PAD289
+       "1641 (BC_2, *, controlr, 1)," &
+       "1642 (BC_2, IO_PAD288, output3, X, 1641, 1, PULL0)," & --  PAD288
+       "1643 (BC_2, IO_PAD288, input, X)," & --  PAD288
+       "1644 (BC_2, *, controlr, 1)," &
+       "1645 (BC_2, IO_PAD287, output3, X, 1644, 1, PULL0)," & --  PAD287
+       "1646 (BC_2, IO_PAD287, input, X)," & --  PAD287
+       "1647 (BC_2, *, controlr, 1)," &
+       "1648 (BC_2, IO_PAD286, output3, X, 1647, 1, PULL0)," & --  PAD286
+       "1649 (BC_2, IO_PAD286, input, X)," & --  PAD286
+       "1650 (BC_2, *, controlr, 1)," &
+       "1651 (BC_2, IO_PAD285, output3, X, 1650, 1, PULL0)," & --  PAD285
+       "1652 (BC_2, IO_PAD285, input, X)," & --  PAD285
+       "1653 (BC_2, *, controlr, 1)," &
+       "1654 (BC_2, IO_PAD284, output3, X, 1653, 1, PULL0)," & --  PAD284
+       "1655 (BC_2, IO_PAD284, input, X)," & --  PAD284
+       "1656 (BC_2, *, controlr, 1)," &
+       "1657 (BC_2, IO_PAD283, output3, X, 1656, 1, PULL0)," & --  PAD283
+       "1658 (BC_2, IO_PAD283, input, X)," & --  PAD283
+       "1659 (BC_2, *, controlr, 1)," &
+       "1660 (BC_2, IO_PAD282, output3, X, 1659, 1, PULL0)," & --  PAD282
+       "1661 (BC_2, IO_PAD282, input, X)," & --  PAD282
+       "1662 (BC_2, *, controlr, 1)," &
+       "1663 (BC_2, IO_PAD281, output3, X, 1662, 1, PULL0)," & --  PAD281
+       "1664 (BC_2, IO_PAD281, input, X)," & --  PAD281
+       "1665 (BC_2, *, controlr, 1)," &
+       "1666 (BC_2, IO_PAD280, output3, X, 1665, 1, PULL0)," & --  PAD280
+       "1667 (BC_2, IO_PAD280, input, X)," & --  PAD280
+       "1668 (BC_2, *, controlr, 1)," &
+       "1669 (BC_2, IO_PAD279, output3, X, 1668, 1, PULL0)," & --  PAD279
+       "1670 (BC_2, IO_PAD279, input, X)," & --  PAD279
+       "1671 (BC_2, *, controlr, 1)," &
+       "1672 (BC_2, IO_PAD278, output3, X, 1671, 1, PULL0)," & --  PAD278
+       "1673 (BC_2, IO_PAD278, input, X)," & --  PAD278
+       "1674 (BC_2, *, controlr, 1)," &
+       "1675 (BC_2, IO_PAD277, output3, X, 1674, 1, PULL0)," & --  PAD277
+       "1676 (BC_2, IO_PAD277, input, X)," & --  PAD277
+       "1677 (BC_2, *, controlr, 1)," &
+       "1678 (BC_2, IO_PAD276, output3, X, 1677, 1, PULL0)," & --  PAD276
+       "1679 (BC_2, IO_PAD276, input, X)," & --  PAD276
+       "1680 (BC_2, *, controlr, 1)," &
+       "1681 (BC_2, IO_PAD275, output3, X, 1680, 1, PULL0)," & --  PAD275
+       "1682 (BC_2, IO_PAD275, input, X)," & --  PAD275
+       "1683 (BC_2, *, controlr, 1)," &
+       "1684 (BC_2, IO_PAD274, output3, X, 1683, 1, PULL0)," & --  PAD274
+       "1685 (BC_2, IO_PAD274, input, X)," & --  PAD274
+       "1686 (BC_2, *, controlr, 1)," &
+       "1687 (BC_2, IO_PAD273, output3, X, 1686, 1, PULL0)," & --  PAD273
+       "1688 (BC_2, IO_PAD273, input, X)," & --  PAD273
+       "1689 (BC_2, *, controlr, 1)," &
+       "1690 (BC_2, IO_PAD272, output3, X, 1689, 1, PULL0)," & --  PAD272
+       "1691 (BC_2, IO_PAD272, input, X)," & --  PAD272
+       "1692 (BC_2, *, controlr, 1)," &
+       "1693 (BC_2, IO_PAD271, output3, X, 1692, 1, PULL0)," & --  PAD271
+       "1694 (BC_2, IO_PAD271, input, X)," & --  PAD271
+       "1695 (BC_2, *, controlr, 1)," &
+       "1696 (BC_2, IO_PAD270, output3, X, 1695, 1, PULL0)," & --  PAD270
+       "1697 (BC_2, IO_PAD270, input, X)," & --  PAD270
+       "1698 (BC_2, *, controlr, 1)," &
+       "1699 (BC_2, IO_PAD269, output3, X, 1698, 1, PULL0)," & --  PAD269
+       "1700 (BC_2, IO_PAD269, input, X)," & --  PAD269
+       "1701 (BC_2, *, controlr, 1)," &
+       "1702 (BC_2, IO_PAD268, output3, X, 1701, 1, PULL0)," & --  PAD268
+       "1703 (BC_2, IO_PAD268, input, X)," & --  PAD268
+       "1704 (BC_2, *, controlr, 1)," &
+       "1705 (BC_2, IO_PAD267, output3, X, 1704, 1, PULL0)," & --  PAD267
+       "1706 (BC_2, IO_PAD267, input, X)," & --  PAD267
+       "1707 (BC_2, *, controlr, 1)," &
+       "1708 (BC_2, IO_PAD266, output3, X, 1707, 1, PULL0)," & --  PAD266
+       "1709 (BC_2, IO_PAD266, input, X)," & --  PAD266
+       "1710 (BC_2, *, controlr, 1)," &
+       "1711 (BC_2, IO_PAD265, output3, X, 1710, 1, PULL0)," & --  PAD265
+       "1712 (BC_2, IO_PAD265, input, X)," & --  PAD265
+       "1713 (BC_2, *, controlr, 1)," &
+       "1714 (BC_2, IO_PAD264, output3, X, 1713, 1, PULL0)," & --  PAD264
+       "1715 (BC_2, IO_PAD264, input, X)," & --  PAD264
+       "1716 (BC_2, *, controlr, 1)," &
+       "1717 (BC_2, IO_PAD263, output3, X, 1716, 1, PULL0)," & --  PAD263
+       "1718 (BC_2, IO_PAD263, input, X)," & --  PAD263
+       "1719 (BC_2, *, controlr, 1)," &
+       "1720 (BC_2, IO_PAD262, output3, X, 1719, 1, PULL0)," & --  PAD262
+       "1721 (BC_2, IO_PAD262, input, X)," & --  PAD262
+       "1722 (BC_2, *, controlr, 1)," &
+       "1723 (BC_2, IO_PAD261, output3, X, 1722, 1, PULL0)," & --  PAD261
+       "1724 (BC_2, IO_PAD261, input, X)," & --  PAD261
+       "1725 (BC_2, *, controlr, 1)," &
+       "1726 (BC_2, IO_PAD260, output3, X, 1725, 1, PULL0)," & --  PAD260
+       "1727 (BC_2, IO_PAD260, input, X)," & --  PAD260
+       "1728 (BC_2, *, controlr, 1)," &
+       "1729 (BC_2, IO_PAD259, output3, X, 1728, 1, PULL0)," & --  PAD259
+       "1730 (BC_2, IO_PAD259, input, X)," & --  PAD259
+       "1731 (BC_2, *, controlr, 1)," &
+       "1732 (BC_2, IO_PAD258, output3, X, 1731, 1, PULL0)," & --  PAD258
+       "1733 (BC_2, IO_PAD258, input, X)," & --  PAD258
+       "1734 (BC_2, *, controlr, 1)," &
+       "1735 (BC_2, IO_PAD257, output3, X, 1734, 1, PULL0)," & --  PAD257
+       "1736 (BC_2, IO_PAD257, input, X)," & --  PAD257
+       "1737 (BC_2, *, controlr, 1)," &
+       "1738 (BC_2, IO_PAD256, output3, X, 1737, 1, PULL0)," & --  PAD256
+       "1739 (BC_2, IO_PAD256, input, X)," & --  PAD256
+       "1740 (BC_2, *, controlr, 1)," &
+       "1741 (BC_2, IO_PAD255, output3, X, 1740, 1, PULL0)," & --  PAD255
+       "1742 (BC_2, IO_PAD255, input, X)," & --  PAD255
+       "1743 (BC_2, *, controlr, 1)," &
+       "1744 (BC_2, IO_PAD254, output3, X, 1743, 1, PULL0)," & --  PAD254
+       "1745 (BC_2, IO_PAD254, input, X)," & --  PAD254
+       "1746 (BC_2, *, controlr, 1)," &
+       "1747 (BC_2, IO_PAD253, output3, X, 1746, 1, PULL0)," & --  PAD253
+       "1748 (BC_2, IO_PAD253, input, X)," & --  PAD253
+       "1749 (BC_2, *, controlr, 1)," &
+       "1750 (BC_2, IO_PAD252, output3, X, 1749, 1, PULL0)," & --  PAD252
+       "1751 (BC_2, IO_PAD252, input, X)," & --  PAD252
+       "1752 (BC_2, *, controlr, 1)," &
+       "1753 (BC_2, IO_PAD251, output3, X, 1752, 1, PULL0)," & --  PAD251
+       "1754 (BC_2, IO_PAD251, input, X)," & --  PAD251
+       "1755 (BC_2, *, controlr, 1)," &
+       "1756 (BC_2, IO_PAD250, output3, X, 1755, 1, PULL0)," & --  PAD250
+       "1757 (BC_2, IO_PAD250, input, X)," & --  PAD250
+       "1758 (BC_2, *, controlr, 1)," &
+       "1759 (BC_2, IO_PAD249, output3, X, 1758, 1, PULL0)," & --  PAD249
+       "1760 (BC_2, IO_PAD249, input, X)," & --  PAD249
+       "1761 (BC_2, *, controlr, 1)," &
+       "1762 (BC_2, IO_PAD248, output3, X, 1761, 1, PULL0)," & --  PAD248
+       "1763 (BC_2, IO_PAD248, input, X)," & --  PAD248
+       "1764 (BC_2, *, controlr, 1)," &
+       "1765 (BC_2, IO_PAD247, output3, X, 1764, 1, PULL0)," & --  PAD247
+       "1766 (BC_2, IO_PAD247, input, X)," & --  PAD247
+       "1767 (BC_2, *, controlr, 1)," &
+       "1768 (BC_2, IO_PAD246, output3, X, 1767, 1, PULL0)," & --  PAD246
+       "1769 (BC_2, IO_PAD246, input, X)," & --  PAD246
+       "1770 (BC_2, *, controlr, 1)," &
+       "1771 (BC_2, IO_PAD245, output3, X, 1770, 1, PULL0)," & --  PAD245
+       "1772 (BC_2, IO_PAD245, input, X)," & --  PAD245
+       "1773 (BC_2, *, controlr, 1)," &
+       "1774 (BC_2, IO_PAD244, output3, X, 1773, 1, PULL0)," & --  PAD244
+       "1775 (BC_2, IO_PAD244, input, X)," & --  PAD244
+       "1776 (BC_2, *, controlr, 1)," &
+       "1777 (BC_2, IO_PAD243, output3, X, 1776, 1, PULL0)," & --  PAD243
+       "1778 (BC_2, IO_PAD243, input, X)," & --  PAD243
+       "1779 (BC_2, *, controlr, 1)," &
+       "1780 (BC_2, IO_PAD242, output3, X, 1779, 1, PULL0)," & --  PAD242
+       "1781 (BC_2, IO_PAD242, input, X)," & --  PAD242
+       "1782 (BC_2, *, controlr, 1)," &
+       "1783 (BC_2, IO_PAD241, output3, X, 1782, 1, PULL0)," & --  PAD241
+       "1784 (BC_2, IO_PAD241, input, X)," & --  PAD241
+       "1785 (BC_2, *, controlr, 1)," &
+       "1786 (BC_2, IO_PAD240, output3, X, 1785, 1, PULL0)," & --  PAD240
+       "1787 (BC_2, IO_PAD240, input, X)," & --  PAD240
+       "1788 (BC_2, *, controlr, 1)," &
+       "1789 (BC_2, IO_PAD239, output3, X, 1788, 1, PULL0)," & --  PAD239
+       "1790 (BC_2, IO_PAD239, input, X)," & --  PAD239
+       "1791 (BC_2, *, controlr, 1)," &
+       "1792 (BC_2, IO_PAD238, output3, X, 1791, 1, PULL0)," & --  PAD238
+       "1793 (BC_2, IO_PAD238, input, X)," & --  PAD238
+       "1794 (BC_2, *, controlr, 1)," &
+       "1795 (BC_2, IO_PAD237, output3, X, 1794, 1, PULL0)," & --  PAD237
+       "1796 (BC_2, IO_PAD237, input, X)," & --  PAD237
+       "1797 (BC_2, *, controlr, 1)," &
+       "1798 (BC_2, IO_PAD236, output3, X, 1797, 1, PULL0)," & --  PAD236
+       "1799 (BC_2, IO_PAD236, input, X)," & --  PAD236
+       "1800 (BC_2, *, controlr, 1)," &
+       "1801 (BC_2, IO_PAD235, output3, X, 1800, 1, PULL0)," & --  PAD235
+       "1802 (BC_2, IO_PAD235, input, X)," & --  PAD235
+       "1803 (BC_2, *, controlr, 1)," &
+       "1804 (BC_2, IO_PAD234, output3, X, 1803, 1, PULL0)," & --  PAD234
+       "1805 (BC_2, IO_PAD234, input, X)," & --  PAD234
+       "1806 (BC_2, *, controlr, 1)," &
+       "1807 (BC_2, IO_PAD233, output3, X, 1806, 1, PULL0)," & --  PAD233
+       "1808 (BC_2, IO_PAD233, input, X)," & --  PAD233
+       "1809 (BC_2, *, controlr, 1)," &
+       "1810 (BC_2, IO_PAD232, output3, X, 1809, 1, PULL0)," & --  PAD232
+       "1811 (BC_2, IO_PAD232, input, X)," & --  PAD232
+       "1812 (BC_2, *, controlr, 1)," &
+       "1813 (BC_2, IO_PAD231, output3, X, 1812, 1, PULL0)," & --  PAD231
+       "1814 (BC_2, IO_PAD231, input, X)," & --  PAD231
+       "1815 (BC_2, *, controlr, 1)," &
+       "1816 (BC_2, IO_PAD230, output3, X, 1815, 1, PULL0)," & --  PAD230
+       "1817 (BC_2, IO_PAD230, input, X)," & --  PAD230
+       "1818 (BC_2, *, controlr, 1)," &
+       "1819 (BC_2, IO_PAD229, output3, X, 1818, 1, PULL0)," & --  PAD229
+       "1820 (BC_2, IO_PAD229, input, X)," & --  PAD229
+       "1821 (BC_2, *, controlr, 1)," &
+       "1822 (BC_2, IO_PAD228, output3, X, 1821, 1, PULL0)," & --  PAD228
+       "1823 (BC_2, IO_PAD228, input, X)," & --  PAD228
+       "1824 (BC_2, *, controlr, 1)," &
+       "1825 (BC_2, IO_PAD227, output3, X, 1824, 1, PULL0)," & --  PAD227
+       "1826 (BC_2, IO_PAD227, input, X)," & --  PAD227
+       "1827 (BC_2, *, controlr, 1)," &
+       "1828 (BC_2, IO_PAD226, output3, X, 1827, 1, PULL0)," & --  PAD226
+       "1829 (BC_2, IO_PAD226, input, X)," & --  PAD226
+       "1830 (BC_2, *, controlr, 1)," &
+       "1831 (BC_2, IO_PAD225, output3, X, 1830, 1, PULL0)," & --  PAD225
+       "1832 (BC_2, IO_PAD225, input, X)," & --  PAD225
+       "1833 (BC_2, *, controlr, 1)," &
+       "1834 (BC_2, IO_PAD224, output3, X, 1833, 1, PULL0)," & --  PAD224
+       "1835 (BC_2, IO_PAD224, input, X)," & --  PAD224
+       "1836 (BC_2, *, controlr, 1)," &
+       "1837 (BC_2, IO_PAD223, output3, X, 1836, 1, PULL0)," & --  PAD223
+       "1838 (BC_2, IO_PAD223, input, X)," & --  PAD223
+       "1839 (BC_2, *, controlr, 1)," &
+       "1840 (BC_2, IO_PAD222, output3, X, 1839, 1, PULL0)," & --  PAD222
+       "1841 (BC_2, IO_PAD222, input, X)," & --  PAD222
+       "1842 (BC_2, *, controlr, 1)," &
+       "1843 (BC_2, IO_PAD221, output3, X, 1842, 1, PULL0)," & --  PAD221
+       "1844 (BC_2, IO_PAD221, input, X)," & --  PAD221
+       "1845 (BC_2, *, controlr, 1)," &
+       "1846 (BC_2, IO_PAD220, output3, X, 1845, 1, PULL0)," & --  PAD220
+       "1847 (BC_2, IO_PAD220, input, X)," & --  PAD220
+       "1848 (BC_2, *, controlr, 1)," &
+       "1849 (BC_2, IO_PAD219, output3, X, 1848, 1, PULL0)," & --  PAD219
+       "1850 (BC_2, IO_PAD219, input, X)," & --  PAD219
+       "1851 (BC_2, *, controlr, 1)," &
+       "1852 (BC_2, IO_PAD218, output3, X, 1851, 1, PULL0)," & --  PAD218
+       "1853 (BC_2, IO_PAD218, input, X)," & --  PAD218
+       "1854 (BC_2, *, controlr, 1)," &
+       "1855 (BC_2, IO_PAD217, output3, X, 1854, 1, PULL0)," & --  PAD217
+       "1856 (BC_2, IO_PAD217, input, X)," & --  PAD217
+       "1857 (BC_2, *, controlr, 1)," &
+       "1858 (BC_2, IO_PAD216, output3, X, 1857, 1, PULL0)," & --  PAD216
+       "1859 (BC_2, IO_PAD216, input, X)," & --  PAD216
+       "1860 (BC_2, *, controlr, 1)," &
+       "1861 (BC_2, IO_PAD215, output3, X, 1860, 1, PULL0)," & --  PAD215
+       "1862 (BC_2, IO_PAD215, input, X)," & --  PAD215
+       "1863 (BC_2, *, controlr, 1)," &
+       "1864 (BC_2, IO_PAD214, output3, X, 1863, 1, PULL0)," & --  PAD214
+       "1865 (BC_2, IO_PAD214, input, X)," & --  PAD214
+       "1866 (BC_2, *, controlr, 1)," &
+       "1867 (BC_2, IO_PAD213, output3, X, 1866, 1, PULL0)," & --  PAD213
+       "1868 (BC_2, IO_PAD213, input, X)," & --  PAD213
+       "1869 (BC_2, *, controlr, 1)," &
+       "1870 (BC_2, IO_PAD212, output3, X, 1869, 1, PULL0)," & --  PAD212
+       "1871 (BC_2, IO_PAD212, input, X)," & --  PAD212
+       "1872 (BC_2, *, controlr, 1)," &
+       "1873 (BC_2, IO_PAD211, output3, X, 1872, 1, PULL0)," & --  PAD211
+       "1874 (BC_2, IO_PAD211, input, X)," & --  PAD211
+       "1875 (BC_2, *, controlr, 1)," &
+       "1876 (BC_2, IO_PAD210, output3, X, 1875, 1, PULL0)," & --  PAD210
+       "1877 (BC_2, IO_PAD210, input, X)," & --  PAD210
+       "1878 (BC_2, *, controlr, 1)," &
+       "1879 (BC_2, IO_PAD209, output3, X, 1878, 1, PULL0)," & --  PAD209
+       "1880 (BC_2, IO_PAD209, input, X)," & --  PAD209
+       "1881 (BC_2, *, controlr, 1)," &
+       "1882 (BC_2, IO_PAD208, output3, X, 1881, 1, PULL0)," & --  PAD208
+       "1883 (BC_2, IO_PAD208, input, X)," & --  PAD208
+       "1884 (BC_2, *, controlr, 1)," &
+       "1885 (BC_2, IO_PAD207, output3, X, 1884, 1, PULL0)," & --  PAD207
+       "1886 (BC_2, IO_PAD207, input, X)," & --  PAD207
+       "1887 (BC_2, *, controlr, 1)," &
+       "1888 (BC_2, IO_PAD206, output3, X, 1887, 1, PULL0)," & --  PAD206
+       "1889 (BC_2, IO_PAD206, input, X)," & --  PAD206
+       "1890 (BC_2, *, controlr, 1)," &
+       "1891 (BC_2, IO_PAD205, output3, X, 1890, 1, PULL0)," & --  PAD205
+       "1892 (BC_2, IO_PAD205, input, X)," & --  PAD205
+       "1893 (BC_2, *, controlr, 1)," &
+       "1894 (BC_2, IO_PAD204, output3, X, 1893, 1, PULL0)," & --  PAD204
+       "1895 (BC_2, IO_PAD204, input, X)," & --  PAD204
+       "1896 (BC_2, *, controlr, 1)," &
+       "1897 (BC_2, IO_PAD203, output3, X, 1896, 1, PULL0)," & --  PAD203
+       "1898 (BC_2, IO_PAD203, input, X)," & --  PAD203
+       "1899 (BC_2, *, controlr, 1)," &
+       "1900 (BC_2, IO_PAD202, output3, X, 1899, 1, PULL0)," & --  PAD202
+       "1901 (BC_2, IO_PAD202, input, X)," & --  PAD202
+       "1902 (BC_2, *, controlr, 1)," &
+       "1903 (BC_2, IO_PAD201, output3, X, 1902, 1, PULL0)," & --  PAD201
+       "1904 (BC_2, IO_PAD201, input, X)," & --  PAD201
+       "1905 (BC_2, *, controlr, 1)," &
+       "1906 (BC_2, IO_PAD200, output3, X, 1905, 1, PULL0)," & --  PAD200
+       "1907 (BC_2, IO_PAD200, input, X)," & --  PAD200
+       "1908 (BC_2, *, controlr, 1)," &
+       "1909 (BC_2, IO_PAD199, output3, X, 1908, 1, PULL0)," & --  PAD199
+       "1910 (BC_2, IO_PAD199, input, X)," & --  PAD199
+       "1911 (BC_2, *, controlr, 1)," &
+       "1912 (BC_2, IO_PAD198, output3, X, 1911, 1, PULL0)," & --  PAD198
+       "1913 (BC_2, IO_PAD198, input, X)," & --  PAD198
+       "1914 (BC_2, *, controlr, 1)," &
+       "1915 (BC_2, IO_PAD197, output3, X, 1914, 1, PULL0)," & --  PAD197
+       "1916 (BC_2, IO_PAD197, input, X)," & --  PAD197
+       "1917 (BC_2, *, controlr, 1)," &
+       "1918 (BC_2, IO_PAD196, output3, X, 1917, 1, PULL0)," & --  PAD196
+       "1919 (BC_2, IO_PAD196, input, X)," & --  PAD196
+       "1920 (BC_2, *, controlr, 1)," &
+       "1921 (BC_2, IO_PAD195, output3, X, 1920, 1, PULL0)," & --  PAD195
+       "1922 (BC_2, IO_PAD195, input, X)," & --  PAD195
+       "1923 (BC_2, *, controlr, 1)," &
+       "1924 (BC_2, IO_PAD194, output3, X, 1923, 1, PULL0)," & --  PAD194
+       "1925 (BC_2, IO_PAD194, input, X)," & --  PAD194
+       "1926 (BC_2, *, controlr, 1)," &
+       "1927 (BC_2, IO_PAD193, output3, X, 1926, 1, PULL0)," & --  PAD193
+       "1928 (BC_2, IO_PAD193, input, X)," & --  PAD193
+       "1929 (BC_2, *, controlr, 1)," &
+       "1930 (BC_2, IO_PAD192, output3, X, 1929, 1, PULL0)," & --  PAD192
+       "1931 (BC_2, IO_PAD192, input, X)," & --  PAD192
+       "1932 (BC_2, *, controlr, 1)," &
+       "1933 (BC_2, IO_PAD191, output3, X, 1932, 1, PULL0)," & --  PAD191
+       "1934 (BC_2, IO_PAD191, input, X)," & --  PAD191
+       "1935 (BC_2, *, controlr, 1)," &
+       "1936 (BC_2, IO_PAD190, output3, X, 1935, 1, PULL0)," & --  PAD190
+       "1937 (BC_2, IO_PAD190, input, X)," & --  PAD190
+       "1938 (BC_2, *, controlr, 1)," &
+       "1939 (BC_2, IO_PAD189, output3, X, 1938, 1, PULL0)," & --  PAD189
+       "1940 (BC_2, IO_PAD189, input, X)," & --  PAD189
+       "1941 (BC_2, *, controlr, 1)," &
+       "1942 (BC_2, IO_PAD188, output3, X, 1941, 1, PULL0)," & --  PAD188
+       "1943 (BC_2, IO_PAD188, input, X)," & --  PAD188
+       "1944 (BC_2, *, controlr, 1)," &
+       "1945 (BC_2, IO_PAD187, output3, X, 1944, 1, PULL0)," & --  PAD187
+       "1946 (BC_2, IO_PAD187, input, X)," & --  PAD187
+       "1947 (BC_2, *, controlr, 1)," &
+       "1948 (BC_2, IO_PAD186, output3, X, 1947, 1, PULL0)," & --  PAD186
+       "1949 (BC_2, IO_PAD186, input, X)," & --  PAD186
+       "1950 (BC_2, *, controlr, 1)," &
+       "1951 (BC_2, IO_PAD185, output3, X, 1950, 1, PULL0)," & --  PAD185
+       "1952 (BC_2, IO_PAD185, input, X)," & --  PAD185
+       "1953 (BC_2, *, controlr, 1)," &
+       "1954 (BC_2, IO_PAD184, output3, X, 1953, 1, PULL0)," & --  PAD184
+       "1955 (BC_2, IO_PAD184, input, X)," & --  PAD184
+       "1956 (BC_2, *, controlr, 1)," &
+       "1957 (BC_2, IO_PAD183, output3, X, 1956, 1, PULL0)," & --  PAD183
+       "1958 (BC_2, IO_PAD183, input, X)," & --  PAD183
+       "1959 (BC_2, *, controlr, 1)," &
+       "1960 (BC_2, IO_PAD182, output3, X, 1959, 1, PULL0)," & --  PAD182
+       "1961 (BC_2, IO_PAD182, input, X)," & --  PAD182
+       "1962 (BC_2, *, controlr, 1)," &
+       "1963 (BC_2, IO_PAD181, output3, X, 1962, 1, PULL0)," & --  PAD181
+       "1964 (BC_2, IO_PAD181, input, X)," & --  PAD181
+       "1965 (BC_2, *, controlr, 1)," &
+       "1966 (BC_2, IO_PAD180, output3, X, 1965, 1, PULL0)," & --  PAD180
+       "1967 (BC_2, IO_PAD180, input, X)," & --  PAD180
+       "1968 (BC_2, *, controlr, 1)," &
+       "1969 (BC_2, IO_PAD179, output3, X, 1968, 1, PULL0)," & --  PAD179
+       "1970 (BC_2, IO_PAD179, input, X)," & --  PAD179
+       "1971 (BC_2, *, controlr, 1)," &
+       "1972 (BC_2, IO_PAD178, output3, X, 1971, 1, PULL0)," & --  PAD178
+       "1973 (BC_2, IO_PAD178, input, X)," & --  PAD178
+       "1974 (BC_2, *, controlr, 1)," &
+       "1975 (BC_2, IO_PAD177, output3, X, 1974, 1, PULL0)," & --  PAD177
+       "1976 (BC_2, IO_PAD177, input, X)," & --  PAD177
+       "1977 (BC_2, *, controlr, 1)," &
+       "1978 (BC_2, IO_PAD176, output3, X, 1977, 1, PULL0)," & --  PAD176
+       "1979 (BC_2, IO_PAD176, input, X)," & --  PAD176
+       "1980 (BC_2, *, controlr, 1)," &
+       "1981 (BC_2, IO_PAD175, output3, X, 1980, 1, PULL0)," & --  PAD175
+       "1982 (BC_2, IO_PAD175, input, X)," & --  PAD175
+       "1983 (BC_2, *, controlr, 1)," &
+       "1984 (BC_2, IO_PAD174, output3, X, 1983, 1, PULL0)," & --  PAD174
+       "1985 (BC_2, IO_PAD174, input, X)," & --  PAD174
+       "1986 (BC_2, *, controlr, 1)," &
+       "1987 (BC_2, IO_PAD173, output3, X, 1986, 1, PULL0)," & --  PAD173
+       "1988 (BC_2, IO_PAD173, input, X)," & --  PAD173
+       "1989 (BC_2, *, controlr, 1)," &
+       "1990 (BC_2, IO_PAD172, output3, X, 1989, 1, PULL0)," & --  PAD172
+       "1991 (BC_2, IO_PAD172, input, X)," & --  PAD172
+       "1992 (BC_2, *, controlr, 1)," &
+       "1993 (BC_2, IO_PAD171, output3, X, 1992, 1, PULL0)," & --  PAD171
+       "1994 (BC_2, IO_PAD171, input, X)," & --  PAD171
+       "1995 (BC_2, *, controlr, 1)," &
+       "1996 (BC_2, IO_PAD170, output3, X, 1995, 1, PULL0)," & --  PAD170
+       "1997 (BC_2, IO_PAD170, input, X)," & --  PAD170
+       "1998 (BC_2, *, controlr, 1)," &
+       "1999 (BC_2, IO_PAD169, output3, X, 1998, 1, PULL0)," & --  PAD169
+       "2000 (BC_2, IO_PAD169, input, X)," & --  PAD169
+       "2001 (BC_2, *, controlr, 1)," &
+       "2002 (BC_2, IO_PAD168, output3, X, 2001, 1, PULL0)," & --  PAD168
+       "2003 (BC_2, IO_PAD168, input, X)," & --  PAD168
+       "2004 (BC_2, *, controlr, 1)," &
+       "2005 (BC_2, IO_PAD167, output3, X, 2004, 1, PULL0)," & --  PAD167
+       "2006 (BC_2, IO_PAD167, input, X)," & --  PAD167
+       "2007 (BC_2, *, controlr, 1)," &
+       "2008 (BC_2, IO_PAD166, output3, X, 2007, 1, PULL0)," & --  PAD166
+       "2009 (BC_2, IO_PAD166, input, X)," & --  PAD166
+       "2010 (BC_2, *, controlr, 1)," &
+       "2011 (BC_2, IO_PAD165, output3, X, 2010, 1, PULL0)," & --  PAD165
+       "2012 (BC_2, IO_PAD165, input, X)," & --  PAD165
+       "2013 (BC_2, *, controlr, 1)," &
+       "2014 (BC_2, IO_PAD164, output3, X, 2013, 1, PULL0)," & --  PAD164
+       "2015 (BC_2, IO_PAD164, input, X)," & --  PAD164
+       "2016 (BC_2, *, controlr, 1)," &
+       "2017 (BC_2, IO_PAD163, output3, X, 2016, 1, PULL0)," & --  PAD163
+       "2018 (BC_2, IO_PAD163, input, X)," & --  PAD163
+       "2019 (BC_2, *, controlr, 1)," &
+       "2020 (BC_2, IO_PAD162, output3, X, 2019, 1, PULL0)," & --  PAD162
+       "2021 (BC_2, IO_PAD162, input, X)," & --  PAD162
+       "2022 (BC_2, *, controlr, 1)," &
+       "2023 (BC_2, IO_PAD161, output3, X, 2022, 1, PULL0)," & --  PAD161
+       "2024 (BC_2, IO_PAD161, input, X)," & --  PAD161
+       "2025 (BC_2, *, controlr, 1)," &
+       "2026 (BC_2, IO_PAD160, output3, X, 2025, 1, PULL0)," & --  PAD160
+       "2027 (BC_2, IO_PAD160, input, X)," & --  PAD160
+       "2028 (BC_2, *, controlr, 1)," &
+       "2029 (BC_2, IO_PAD159, output3, X, 2028, 1, PULL0)," & --  PAD159
+       "2030 (BC_2, IO_PAD159, input, X)," & --  PAD159
+       "2031 (BC_2, *, controlr, 1)," &
+       "2032 (BC_2, IO_PAD158, output3, X, 2031, 1, PULL0)," & --  PAD158
+       "2033 (BC_2, IO_PAD158, input, X)," & --  PAD158
+       "2034 (BC_2, *, controlr, 1)," &
+       "2035 (BC_2, IO_PAD157, output3, X, 2034, 1, PULL0)," & --  PAD157
+       "2036 (BC_2, IO_PAD157, input, X)," & --  PAD157
+       "2037 (BC_2, *, controlr, 1)," &
+       "2038 (BC_2, IO_PAD156, output3, X, 2037, 1, PULL0)," & --  PAD156
+       "2039 (BC_2, IO_PAD156, input, X)," & --  PAD156
+       "2040 (BC_2, *, controlr, 1)," &
+       "2041 (BC_2, IO_PAD155, output3, X, 2040, 1, PULL0)," & --  PAD155
+       "2042 (BC_2, IO_PAD155, input, X)," & --  PAD155
+       "2043 (BC_2, *, controlr, 1)," &
+       "2044 (BC_2, IO_PAD154, output3, X, 2043, 1, PULL0)," & --  PAD154
+       "2045 (BC_2, IO_PAD154, input, X)," & --  PAD154
+       "2046 (BC_2, *, controlr, 1)," &
+       "2047 (BC_2, IO_PAD153, output3, X, 2046, 1, PULL0)," & --  PAD153
+       "2048 (BC_2, IO_PAD153, input, X)," & --  PAD153
+       "2049 (BC_2, *, controlr, 1)," &
+       "2050 (BC_2, IO_PAD152, output3, X, 2049, 1, PULL0)," & --  PAD152
+       "2051 (BC_2, IO_PAD152, input, X)," & --  PAD152
+       "2052 (BC_2, *, controlr, 1)," &
+       "2053 (BC_2, IO_PAD151, output3, X, 2052, 1, PULL0)," & --  PAD151
+       "2054 (BC_2, IO_PAD151, input, X)," & --  PAD151
+       "2055 (BC_2, *, controlr, 1)," &
+       "2056 (BC_2, IO_PAD150, output3, X, 2055, 1, PULL0)," & --  PAD150
+       "2057 (BC_2, IO_PAD150, input, X)," & --  PAD150
+       "2058 (BC_2, *, controlr, 1)," &
+       "2059 (BC_2, IO_PAD149, output3, X, 2058, 1, PULL0)," & --  PAD149
+       "2060 (BC_2, IO_PAD149, input, X)," & --  PAD149
+       "2061 (BC_2, *, controlr, 1)," &
+       "2062 (BC_2, IO_PAD148, output3, X, 2061, 1, PULL0)," & --  PAD148
+       "2063 (BC_2, IO_PAD148, input, X)," & --  PAD148
+       "2064 (BC_2, *, controlr, 1)," &
+       "2065 (BC_2, IO_PAD147, output3, X, 2064, 1, PULL0)," & --  PAD147
+       "2066 (BC_2, IO_PAD147, input, X)," & --  PAD147
+       "2067 (BC_2, *, controlr, 1)," &
+       "2068 (BC_2, IO_PAD146, output3, X, 2067, 1, PULL0)," & --  PAD146
+       "2069 (BC_2, IO_PAD146, input, X)," & --  PAD146
+       "2070 (BC_2, *, controlr, 1)," &
+       "2071 (BC_2, IO_PAD145, output3, X, 2070, 1, PULL0)," & --  PAD145
+       "2072 (BC_2, IO_PAD145, input, X)," & --  PAD145
+       "2073 (BC_2, *, controlr, 1)," &
+       "2074 (BC_2, IO_PAD144, output3, X, 2073, 1, PULL0)," & --  PAD144
+       "2075 (BC_2, IO_PAD144, input, X)," & --  PAD144
+       "2076 (BC_2, *, controlr, 1)," &
+       "2077 (BC_2, IO_PAD143, output3, X, 2076, 1, PULL0)," & --  PAD143
+       "2078 (BC_2, IO_PAD143, input, X)," & --  PAD143
+       "2079 (BC_2, *, controlr, 1)," &
+       "2080 (BC_2, IO_PAD142, output3, X, 2079, 1, PULL0)," & --  PAD142
+       "2081 (BC_2, IO_PAD142, input, X)," & --  PAD142
+       "2082 (BC_2, *, controlr, 1)," &
+       "2083 (BC_2, IO_PAD141, output3, X, 2082, 1, PULL0)," & --  PAD141
+       "2084 (BC_2, IO_PAD141, input, X)," & --  PAD141
+       "2085 (BC_2, *, controlr, 1)," &
+       "2086 (BC_2, IO_PAD140, output3, X, 2085, 1, PULL0)," & --  PAD140
+       "2087 (BC_2, IO_PAD140, input, X)," & --  PAD140
+       "2088 (BC_2, *, controlr, 1)," &
+       "2089 (BC_2, IO_PAD139, output3, X, 2088, 1, PULL0)," & --  PAD139
+       "2090 (BC_2, IO_PAD139, input, X)," & --  PAD139
+       "2091 (BC_2, *, controlr, 1)," &
+       "2092 (BC_2, IO_PAD138, output3, X, 2091, 1, PULL0)," & --  PAD138
+       "2093 (BC_2, IO_PAD138, input, X)," & --  PAD138
+       "2094 (BC_2, *, controlr, 1)," &
+       "2095 (BC_2, IO_PAD137, output3, X, 2094, 1, PULL0)," & --  PAD137
+       "2096 (BC_2, IO_PAD137, input, X)," & --  PAD137
+       "2097 (BC_2, *, controlr, 1)," &
+       "2098 (BC_2, IO_PAD136, output3, X, 2097, 1, PULL0)," & --  PAD136
+       "2099 (BC_2, IO_PAD136, input, X)," & --  PAD136
+       "2100 (BC_2, *, controlr, 1)," &
+       "2101 (BC_2, IO_PAD135, output3, X, 2100, 1, PULL0)," & --  PAD135
+       "2102 (BC_2, IO_PAD135, input, X)," & --  PAD135
+       "2103 (BC_2, *, controlr, 1)," &
+       "2104 (BC_2, IO_PAD134, output3, X, 2103, 1, PULL0)," & --  PAD134
+       "2105 (BC_2, IO_PAD134, input, X)," & --  PAD134
+       "2106 (BC_2, *, controlr, 1)," &
+       "2107 (BC_2, IO_PAD133, output3, X, 2106, 1, PULL0)," & --  PAD133
+       "2108 (BC_2, IO_PAD133, input, X)," & --  PAD133
+       "2109 (BC_2, *, controlr, 1)," &
+       "2110 (BC_2, IO_PAD132, output3, X, 2109, 1, PULL0)," & --  PAD132
+       "2111 (BC_2, IO_PAD132, input, X)," & --  PAD132
+       "2112 (BC_2, *, controlr, 1)," &
+       "2113 (BC_2, IO_PAD131, output3, X, 2112, 1, PULL0)," & --  PAD131
+       "2114 (BC_2, IO_PAD131, input, X)," & --  PAD131
+       "2115 (BC_2, *, controlr, 1)," &
+       "2116 (BC_2, IO_PAD130, output3, X, 2115, 1, PULL0)," & --  PAD130
+       "2117 (BC_2, IO_PAD130, input, X)," & --  PAD130
+       "2118 (BC_2, *, controlr, 1)," &
+       "2119 (BC_2, IO_PAD129, output3, X, 2118, 1, PULL0)," & --  PAD129
+       "2120 (BC_2, IO_PAD129, input, X)," & --  PAD129
+       "2121 (BC_2, *, controlr, 1)," &
+       "2122 (BC_2, IO_PAD128, output3, X, 2121, 1, PULL0)," & --  PAD128
+       "2123 (BC_2, IO_PAD128, input, X)," & --  PAD128
+       "2124 (BC_2, *, controlr, 1)," &
+       "2125 (BC_2, IO_PAD127, output3, X, 2124, 1, PULL0)," & --  PAD127
+       "2126 (BC_2, IO_PAD127, input, X)," & --  PAD127
+       "2127 (BC_2, *, controlr, 1)," &
+       "2128 (BC_2, IO_PAD126, output3, X, 2127, 1, PULL0)," & --  PAD126
+       "2129 (BC_2, IO_PAD126, input, X)," & --  PAD126
+       "2130 (BC_2, *, controlr, 1)," &
+       "2131 (BC_2, IO_PAD125, output3, X, 2130, 1, PULL0)," & --  PAD125
+       "2132 (BC_2, IO_PAD125, input, X)," & --  PAD125
+       "2133 (BC_2, *, controlr, 1)," &
+       "2134 (BC_2, IO_PAD124, output3, X, 2133, 1, PULL0)," & --  PAD124
+       "2135 (BC_2, IO_PAD124, input, X)," & --  PAD124
+       "2136 (BC_2, *, controlr, 1)," &
+       "2137 (BC_2, IO_PAD123, output3, X, 2136, 1, PULL0)," & --  PAD123
+       "2138 (BC_2, IO_PAD123, input, X)," & --  PAD123
+       "2139 (BC_2, *, controlr, 1)," &
+       "2140 (BC_2, IO_PAD122, output3, X, 2139, 1, PULL0)," & --  PAD122
+       "2141 (BC_2, IO_PAD122, input, X)," & --  PAD122
+       "2142 (BC_2, *, controlr, 1)," &
+       "2143 (BC_2, IO_PAD121, output3, X, 2142, 1, PULL0)," & --  PAD121
+       "2144 (BC_2, IO_PAD121, input, X)," & --  PAD121
+       "2145 (BC_2, *, controlr, 1)," &
+       "2146 (BC_2, IO_PAD120, output3, X, 2145, 1, PULL0)," & --  PAD120
+       "2147 (BC_2, IO_PAD120, input, X)," & --  PAD120
+       "2148 (BC_2, *, controlr, 1)," &
+       "2149 (BC_2, IO_PAD119, output3, X, 2148, 1, PULL0)," & --  PAD119
+       "2150 (BC_2, IO_PAD119, input, X)," & --  PAD119
+       "2151 (BC_2, *, controlr, 1)," &
+       "2152 (BC_2, IO_PAD118, output3, X, 2151, 1, PULL0)," & --  PAD118
+       "2153 (BC_2, IO_PAD118, input, X)," & --  PAD118
+       "2154 (BC_2, *, controlr, 1)," &
+       "2155 (BC_2, IO_PAD117, output3, X, 2154, 1, PULL0)," & --  PAD117
+       "2156 (BC_2, IO_PAD117, input, X)," & --  PAD117
+       "2157 (BC_2, *, controlr, 1)," &
+       "2158 (BC_2, IO_PAD116, output3, X, 2157, 1, PULL0)," & --  PAD116
+       "2159 (BC_2, IO_PAD116, input, X)," & --  PAD116
+       "2160 (BC_2, *, controlr, 1)," &
+       "2161 (BC_2, IO_PAD115, output3, X, 2160, 1, PULL0)," & --  PAD115
+       "2162 (BC_2, IO_PAD115, input, X)," & --  PAD115
+       "2163 (BC_2, *, controlr, 1)," &
+       "2164 (BC_2, IO_PAD114, output3, X, 2163, 1, PULL0)," & --  PAD114
+       "2165 (BC_2, IO_PAD114, input, X)," & --  PAD114
+       "2166 (BC_2, *, controlr, 1)," &
+       "2167 (BC_2, IO_PAD113, output3, X, 2166, 1, PULL0)," & --  PAD113
+       "2168 (BC_2, IO_PAD113, input, X)," & --  PAD113
+       "2169 (BC_2, *, controlr, 1)," &
+       "2170 (BC_2, IO_PAD112, output3, X, 2169, 1, PULL0)," & --  PAD112
+       "2171 (BC_2, IO_PAD112, input, X)," & --  PAD112
+       "2172 (BC_2, *, controlr, 1)," &
+       "2173 (BC_2, IO_PAD111, output3, X, 2172, 1, PULL0)," & --  PAD111
+       "2174 (BC_2, IO_PAD111, input, X)," & --  PAD111
+       "2175 (BC_2, *, controlr, 1)," &
+       "2176 (BC_2, IO_PAD110, output3, X, 2175, 1, PULL0)," & --  PAD110
+       "2177 (BC_2, IO_PAD110, input, X)," & --  PAD110
+       "2178 (BC_2, *, controlr, 1)," &
+       "2179 (BC_2, IO_PAD109, output3, X, 2178, 1, PULL0)," & --  PAD109
+       "2180 (BC_2, IO_PAD109, input, X)," & --  PAD109
+       "2181 (BC_2, *, controlr, 1)," &
+       "2182 (BC_2, IO_PAD108, output3, X, 2181, 1, PULL0)," & --  PAD108
+       "2183 (BC_2, IO_PAD108, input, X)," & --  PAD108
+       "2184 (BC_2, *, controlr, 1)," &
+       "2185 (BC_2, IO_PAD107, output3, X, 2184, 1, PULL0)," & --  PAD107
+       "2186 (BC_2, IO_PAD107, input, X)," & --  PAD107
+       "2187 (BC_2, *, controlr, 1)," &
+       "2188 (BC_2, IO_PAD106, output3, X, 2187, 1, PULL0)," & --  PAD106
+       "2189 (BC_2, IO_PAD106, input, X)," & --  PAD106
+       "2190 (BC_2, *, controlr, 1)," &
+       "2191 (BC_2, IO_PAD105, output3, X, 2190, 1, PULL0)," & --  PAD105
+       "2192 (BC_2, IO_PAD105, input, X)," & --  PAD105
+       "2193 (BC_2, *, controlr, 1)," &
+       "2194 (BC_2, IO_PAD104, output3, X, 2193, 1, PULL0)," & --  PAD104
+       "2195 (BC_2, IO_PAD104, input, X)," & --  PAD104
+       "2196 (BC_2, *, controlr, 1)," &
+       "2197 (BC_2, IO_PAD103, output3, X, 2196, 1, PULL0)," & --  PAD103
+       "2198 (BC_2, IO_PAD103, input, X)," & --  PAD103
+       "2199 (BC_2, *, controlr, 1)," &
+       "2200 (BC_2, IO_PAD102, output3, X, 2199, 1, PULL0)," & --  PAD102
+       "2201 (BC_2, IO_PAD102, input, X)," & --  PAD102
+       "2202 (BC_2, *, controlr, 1)," &
+       "2203 (BC_2, IO_PAD101, output3, X, 2202, 1, PULL0)," & --  PAD101
+       "2204 (BC_2, IO_PAD101, input, X)," & --  PAD101
+       "2205 (BC_2, *, controlr, 1)," &
+       "2206 (BC_2, IO_PAD100, output3, X, 2205, 1, PULL0)," & --  PAD100
+       "2207 (BC_2, IO_PAD100, input, X)," & --  PAD100
+       "2208 (BC_2, *, controlr, 1)," &
+       "2209 (BC_2, IO_PAD99, output3, X, 2208, 1, PULL0)," & --  PAD99
+       "2210 (BC_2, IO_PAD99, input, X)," & --  PAD99
+       "2211 (BC_2, *, controlr, 1)," &
+       "2212 (BC_2, IO_PAD98, output3, X, 2211, 1, PULL0)," & --  PAD98
+       "2213 (BC_2, IO_PAD98, input, X)," & --  PAD98
+       "2214 (BC_2, *, controlr, 1)," &
+       "2215 (BC_2, IO_PAD97, output3, X, 2214, 1, PULL0)," & --  PAD97
+       "2216 (BC_2, IO_PAD97, input, X)," & --  PAD97
+       "2217 (BC_2, *, controlr, 1)," &
+       "2218 (BC_2, IO_PAD96, output3, X, 2217, 1, PULL0)," & --  PAD96
+       "2219 (BC_2, IO_PAD96, input, X)," & --  PAD96
+       "2220 (BC_2, *, controlr, 1)," &
+       "2221 (BC_2, IO_PAD95, output3, X, 2220, 1, PULL0)," & --  PAD95
+       "2222 (BC_2, IO_PAD95, input, X)," & --  PAD95
+       "2223 (BC_2, *, controlr, 1)," &
+       "2224 (BC_2, IO_PAD94, output3, X, 2223, 1, PULL0)," & --  PAD94
+       "2225 (BC_2, IO_PAD94, input, X)," & --  PAD94
+       "2226 (BC_2, *, controlr, 1)," &
+       "2227 (BC_2, IO_PAD93, output3, X, 2226, 1, PULL0)," & --  PAD93
+       "2228 (BC_2, IO_PAD93, input, X)," & --  PAD93
+       "2229 (BC_2, *, controlr, 1)," &
+       "2230 (BC_2, IO_PAD92, output3, X, 2229, 1, PULL0)," & --  PAD92
+       "2231 (BC_2, IO_PAD92, input, X)," & --  PAD92
+       "2232 (BC_2, *, controlr, 1)," &
+       "2233 (BC_2, IO_PAD91, output3, X, 2232, 1, PULL0)," & --  PAD91
+       "2234 (BC_2, IO_PAD91, input, X)," & --  PAD91
+       "2235 (BC_2, *, controlr, 1)," &
+       "2236 (BC_2, IO_PAD90, output3, X, 2235, 1, PULL0)," & --  PAD90
+       "2237 (BC_2, IO_PAD90, input, X)," & --  PAD90
+       "2238 (BC_2, *, controlr, 1)," &
+       "2239 (BC_2, IO_PAD89, output3, X, 2238, 1, PULL0)," & --  PAD89
+       "2240 (BC_2, IO_PAD89, input, X)," & --  PAD89
+       "2241 (BC_2, *, controlr, 1)," &
+       "2242 (BC_2, IO_PAD88, output3, X, 2241, 1, PULL0)," & --  PAD88
+       "2243 (BC_2, IO_PAD88, input, X)," & --  PAD88
+       "2244 (BC_2, *, controlr, 1)," &
+       "2245 (BC_2, IO_PAD87, output3, X, 2244, 1, PULL0)," & --  PAD87
+       "2246 (BC_2, IO_PAD87, input, X)," & --  PAD87
+       "2247 (BC_2, *, controlr, 1)," &
+       "2248 (BC_2, IO_PAD86, output3, X, 2247, 1, PULL0)," & --  PAD86
+       "2249 (BC_2, IO_PAD86, input, X)," & --  PAD86
+       "2250 (BC_2, *, controlr, 1)," &
+       "2251 (BC_2, IO_PAD85, output3, X, 2250, 1, PULL0)," & --  PAD85
+       "2252 (BC_2, IO_PAD85, input, X)," & --  PAD85
+       "2253 (BC_2, *, controlr, 1)," &
+       "2254 (BC_2, IO_PAD84, output3, X, 2253, 1, PULL0)," & --  PAD84
+       "2255 (BC_2, IO_PAD84, input, X)," & --  PAD84
+       "2256 (BC_2, *, controlr, 1)," &
+       "2257 (BC_2, IO_PAD83, output3, X, 2256, 1, PULL0)," & --  PAD83
+       "2258 (BC_2, IO_PAD83, input, X)," & --  PAD83
+       "2259 (BC_2, *, controlr, 1)," &
+       "2260 (BC_2, IO_PAD82, output3, X, 2259, 1, PULL0)," & --  PAD82
+       "2261 (BC_2, IO_PAD82, input, X)," & --  PAD82
+       "2262 (BC_2, *, controlr, 1)," &
+       "2263 (BC_2, IO_PAD81, output3, X, 2262, 1, PULL0)," & --  PAD81
+       "2264 (BC_2, IO_PAD81, input, X)," & --  PAD81
+       "2265 (BC_2, *, controlr, 1)," &
+       "2266 (BC_2, IO_PAD80, output3, X, 2265, 1, PULL0)," & --  PAD80
+       "2267 (BC_2, IO_PAD80, input, X)," & --  PAD80
+       "2268 (BC_2, *, controlr, 1)," &
+       "2269 (BC_2, IO_PAD79, output3, X, 2268, 1, PULL0)," & --  PAD79
+       "2270 (BC_2, IO_PAD79, input, X)," & --  PAD79
+       "2271 (BC_2, *, controlr, 1)," &
+       "2272 (BC_2, IO_PAD78, output3, X, 2271, 1, PULL0)," & --  PAD78
+       "2273 (BC_2, IO_PAD78, input, X)," & --  PAD78
+       "2274 (BC_2, *, controlr, 1)," &
+       "2275 (BC_2, IO_PAD77, output3, X, 2274, 1, PULL0)," & --  PAD77
+       "2276 (BC_2, IO_PAD77, input, X)," & --  PAD77
+       "2277 (BC_2, *, controlr, 1)," &
+       "2278 (BC_2, IO_PAD76, output3, X, 2277, 1, PULL0)," & --  PAD76
+       "2279 (BC_2, IO_PAD76, input, X)," & --  PAD76
+       "2280 (BC_2, *, controlr, 1)," &
+       "2281 (BC_2, IO_PAD75, output3, X, 2280, 1, PULL0)," & --  PAD75
+       "2282 (BC_2, IO_PAD75, input, X)," & --  PAD75
+       "2283 (BC_2, *, controlr, 1)," &
+       "2284 (BC_2, IO_PAD74, output3, X, 2283, 1, PULL0)," & --  PAD74
+       "2285 (BC_2, IO_PAD74, input, X)," & --  PAD74
+       "2286 (BC_2, *, controlr, 1)," &
+       "2287 (BC_2, IO_PAD73, output3, X, 2286, 1, PULL0)," & --  PAD73
+       "2288 (BC_2, IO_PAD73, input, X)," & --  PAD73
+       "2289 (BC_2, *, controlr, 1)," &
+       "2290 (BC_2, IO_PAD72, output3, X, 2289, 1, PULL0)," & --  PAD72
+       "2291 (BC_2, IO_PAD72, input, X)," & --  PAD72
+       "2292 (BC_2, *, controlr, 1)," &
+       "2293 (BC_2, IO_PAD71, output3, X, 2292, 1, PULL0)," & --  PAD71
+       "2294 (BC_2, IO_PAD71, input, X)," & --  PAD71
+       "2295 (BC_2, *, controlr, 1)," &
+       "2296 (BC_2, IO_PAD70, output3, X, 2295, 1, PULL0)," & --  PAD70
+       "2297 (BC_2, IO_PAD70, input, X)," & --  PAD70
+       "2298 (BC_2, *, controlr, 1)," &
+       "2299 (BC_2, IO_PAD69, output3, X, 2298, 1, PULL0)," & --  PAD69
+       "2300 (BC_2, IO_PAD69, input, X)," & --  PAD69
+       "2301 (BC_2, *, controlr, 1)," &
+       "2302 (BC_2, IO_PAD68, output3, X, 2301, 1, PULL0)," & --  PAD68
+       "2303 (BC_2, IO_PAD68, input, X)," & --  PAD68
+       "2304 (BC_2, *, controlr, 1)," &
+       "2305 (BC_2, IO_PAD67, output3, X, 2304, 1, PULL0)," & --  PAD67
+       "2306 (BC_2, IO_PAD67, input, X)," & --  PAD67
+       "2307 (BC_2, *, controlr, 1)," &
+       "2308 (BC_2, IO_PAD66, output3, X, 2307, 1, PULL0)," & --  PAD66
+       "2309 (BC_2, IO_PAD66, input, X)," & --  PAD66
+       "2310 (BC_2, *, controlr, 1)," &
+       "2311 (BC_2, IO_PAD65, output3, X, 2310, 1, PULL0)," & --  PAD65
+       "2312 (BC_2, IO_PAD65, input, X)," & --  PAD65
+       "2313 (BC_2, *, controlr, 1)," &
+       "2314 (BC_2, IO_PAD64, output3, X, 2313, 1, PULL0)," & --  PAD64
+       "2315 (BC_2, IO_PAD64, input, X)," & --  PAD64
+       "2316 (BC_2, *, controlr, 1)," &
+       "2317 (BC_2, IO_PAD63, output3, X, 2316, 1, PULL0)," & --  PAD63
+       "2318 (BC_2, IO_PAD63, input, X)," & --  PAD63
+       "2319 (BC_2, *, controlr, 1)," &
+       "2320 (BC_2, IO_PAD62, output3, X, 2319, 1, PULL0)," & --  PAD62
+       "2321 (BC_2, IO_PAD62, input, X)," & --  PAD62
+       "2322 (BC_2, *, controlr, 1)," &
+       "2323 (BC_2, IO_PAD61, output3, X, 2322, 1, PULL0)," & --  PAD61
+       "2324 (BC_2, IO_PAD61, input, X)," & --  PAD61
+       "2325 (BC_2, *, controlr, 1)," &
+       "2326 (BC_2, IO_PAD60, output3, X, 2325, 1, PULL0)," & --  PAD60
+       "2327 (BC_2, IO_PAD60, input, X)," & --  PAD60
+       "2328 (BC_2, *, controlr, 1)," &
+       "2329 (BC_2, IO_PAD59, output3, X, 2328, 1, PULL0)," & --  PAD59
+       "2330 (BC_2, IO_PAD59, input, X)," & --  PAD59
+       "2331 (BC_2, *, controlr, 1)," &
+       "2332 (BC_2, IO_PAD58, output3, X, 2331, 1, PULL0)," & --  PAD58
+       "2333 (BC_2, IO_PAD58, input, X)," & --  PAD58
+       "2334 (BC_2, *, controlr, 1)," &
+       "2335 (BC_2, IO_PAD57, output3, X, 2334, 1, PULL0)," & --  PAD57
+       "2336 (BC_2, IO_PAD57, input, X)," & --  PAD57
+       "2337 (BC_2, *, controlr, 1)," &
+       "2338 (BC_2, IO_PAD56, output3, X, 2337, 1, PULL0)," & --  PAD56
+       "2339 (BC_2, IO_PAD56, input, X)," & --  PAD56
+       "2340 (BC_2, *, controlr, 1)," &
+       "2341 (BC_2, IO_PAD55, output3, X, 2340, 1, PULL0)," & --  PAD55
+       "2342 (BC_2, IO_PAD55, input, X)," & --  PAD55
+       "2343 (BC_2, *, controlr, 1)," &
+       "2344 (BC_2, IO_PAD54, output3, X, 2343, 1, PULL0)," & --  PAD54
+       "2345 (BC_2, IO_PAD54, input, X)," & --  PAD54
+       "2346 (BC_2, *, controlr, 1)," &
+       "2347 (BC_2, IO_PAD53, output3, X, 2346, 1, PULL0)," & --  PAD53
+       "2348 (BC_2, IO_PAD53, input, X)," & --  PAD53
+       "2349 (BC_2, *, controlr, 1)," &
+       "2350 (BC_2, IO_PAD52, output3, X, 2349, 1, PULL0)," & --  PAD52
+       "2351 (BC_2, IO_PAD52, input, X)," & --  PAD52
+       "2352 (BC_2, *, controlr, 1)," &
+       "2353 (BC_2, IO_PAD51, output3, X, 2352, 1, PULL0)," & --  PAD51
+       "2354 (BC_2, IO_PAD51, input, X)," & --  PAD51
+       "2355 (BC_2, *, controlr, 1)," &
+       "2356 (BC_2, IO_PAD50, output3, X, 2355, 1, PULL0)," & --  PAD50
+       "2357 (BC_2, IO_PAD50, input, X)," & --  PAD50
+       "2358 (BC_2, *, controlr, 1)," &
+       "2359 (BC_2, IO_PAD49, output3, X, 2358, 1, PULL0)," & --  PAD49
+       "2360 (BC_2, IO_PAD49, input, X)," & --  PAD49
+       "2361 (BC_2, *, controlr, 1)," &
+       "2362 (BC_2, IO_PAD48, output3, X, 2361, 1, PULL0)," & --  PAD48
+       "2363 (BC_2, IO_PAD48, input, X)," & --  PAD48
+       "2364 (BC_2, *, controlr, 1)," &
+       "2365 (BC_2, IO_PAD47, output3, X, 2364, 1, PULL0)," & --  PAD47
+       "2366 (BC_2, IO_PAD47, input, X)," & --  PAD47
+       "2367 (BC_2, *, controlr, 1)," &
+       "2368 (BC_2, IO_PAD46, output3, X, 2367, 1, PULL0)," & --  PAD46
+       "2369 (BC_2, IO_PAD46, input, X)," & --  PAD46
+       "2370 (BC_2, *, controlr, 1)," &
+       "2371 (BC_2, IO_PAD45, output3, X, 2370, 1, PULL0)," & --  PAD45
+       "2372 (BC_2, IO_PAD45, input, X)," & --  PAD45
+       "2373 (BC_2, *, controlr, 1)," &
+       "2374 (BC_2, IO_PAD44, output3, X, 2373, 1, PULL0)," & --  PAD44
+       "2375 (BC_2, IO_PAD44, input, X)," & --  PAD44
+       "2376 (BC_2, *, controlr, 1)," &
+       "2377 (BC_2, IO_PAD43, output3, X, 2376, 1, PULL0)," & --  PAD43
+       "2378 (BC_2, IO_PAD43, input, X)," & --  PAD43
+       "2379 (BC_2, *, controlr, 1)," &
+       "2380 (BC_2, IO_PAD42, output3, X, 2379, 1, PULL0)," & --  PAD42
+       "2381 (BC_2, IO_PAD42, input, X)," & --  PAD42
+       "2382 (BC_2, *, controlr, 1)," &
+       "2383 (BC_2, IO_PAD41, output3, X, 2382, 1, PULL0)," & --  PAD41
+       "2384 (BC_2, IO_PAD41, input, X)," & --  PAD41
+       "2385 (BC_2, *, controlr, 1)," &
+       "2386 (BC_2, IO_PAD40, output3, X, 2385, 1, PULL0)," & --  PAD40
+       "2387 (BC_2, IO_PAD40, input, X)," & --  PAD40
+       "2388 (BC_2, *, controlr, 1)," &
+       "2389 (BC_2, IO_PAD39, output3, X, 2388, 1, PULL0)," & --  PAD39
+       "2390 (BC_2, IO_PAD39, input, X)," & --  PAD39
+       "2391 (BC_2, *, controlr, 1)," &
+       "2392 (BC_2, IO_PAD38, output3, X, 2391, 1, PULL0)," & --  PAD38
+       "2393 (BC_2, IO_PAD38, input, X)," & --  PAD38
+       "2394 (BC_2, *, controlr, 1)," &
+       "2395 (BC_2, IO_PAD37, output3, X, 2394, 1, PULL0)," & --  PAD37
+       "2396 (BC_2, IO_PAD37, input, X)," & --  PAD37
+       "2397 (BC_2, *, controlr, 1)," &
+       "2398 (BC_2, IO_PAD36, output3, X, 2397, 1, PULL0)," & --  PAD36
+       "2399 (BC_2, IO_PAD36, input, X)," & --  PAD36
+       "2400 (BC_2, *, controlr, 1)," &
+       "2401 (BC_2, IO_PAD35, output3, X, 2400, 1, PULL0)," & --  PAD35
+       "2402 (BC_2, IO_PAD35, input, X)," & --  PAD35
+       "2403 (BC_2, *, controlr, 1)," &
+       "2404 (BC_2, IO_PAD34, output3, X, 2403, 1, PULL0)," & --  PAD34
+       "2405 (BC_2, IO_PAD34, input, X)," & --  PAD34
+       "2406 (BC_2, *, controlr, 1)," &
+       "2407 (BC_2, IO_PAD33, output3, X, 2406, 1, PULL0)," & --  PAD33
+       "2408 (BC_2, IO_PAD33, input, X)," & --  PAD33
+       "2409 (BC_2, *, controlr, 1)," &
+       "2410 (BC_2, IO_PAD32, output3, X, 2409, 1, PULL0)," & --  PAD32
+       "2411 (BC_2, IO_PAD32, input, X)," & --  PAD32
+       "2412 (BC_2, *, controlr, 1)," &
+       "2413 (BC_2, IO_PAD31, output3, X, 2412, 1, PULL0)," & --  PAD31
+       "2414 (BC_2, IO_PAD31, input, X)," & --  PAD31
+       "2415 (BC_2, *, controlr, 1)," &
+       "2416 (BC_2, IO_PAD30, output3, X, 2415, 1, PULL0)," & --  PAD30
+       "2417 (BC_2, IO_PAD30, input, X)," & --  PAD30
+       "2418 (BC_2, *, controlr, 1)," &
+       "2419 (BC_2, IO_PAD29, output3, X, 2418, 1, PULL0)," & --  PAD29
+       "2420 (BC_2, IO_PAD29, input, X)," & --  PAD29
+       "2421 (BC_2, *, controlr, 1)," &
+       "2422 (BC_2, IO_PAD28, output3, X, 2421, 1, PULL0)," & --  PAD28
+       "2423 (BC_2, IO_PAD28, input, X)," & --  PAD28
+       "2424 (BC_2, *, controlr, 1)," &
+       "2425 (BC_2, IO_PAD27, output3, X, 2424, 1, PULL0)," & --  PAD27
+       "2426 (BC_2, IO_PAD27, input, X)," & --  PAD27
+       "2427 (BC_2, *, controlr, 1)," &
+       "2428 (BC_2, IO_PAD26, output3, X, 2427, 1, PULL0)," & --  PAD26
+       "2429 (BC_2, IO_PAD26, input, X)," & --  PAD26
+       "2430 (BC_2, *, controlr, 1)," &
+       "2431 (BC_2, IO_PAD25, output3, X, 2430, 1, PULL0)," & --  PAD25
+       "2432 (BC_2, IO_PAD25, input, X)," & --  PAD25
+       "2433 (BC_2, *, controlr, 1)," &
+       "2434 (BC_2, IO_PAD24, output3, X, 2433, 1, PULL0)," & --  PAD24
+       "2435 (BC_2, IO_PAD24, input, X)," & --  PAD24
+       "2436 (BC_2, *, controlr, 1)," &
+       "2437 (BC_2, IO_PAD23, output3, X, 2436, 1, PULL0)," & --  PAD23
+       "2438 (BC_2, IO_PAD23, input, X)," & --  PAD23
+       "2439 (BC_2, *, controlr, 1)," &
+       "2440 (BC_2, IO_PAD22, output3, X, 2439, 1, PULL0)," & --  PAD22
+       "2441 (BC_2, IO_PAD22, input, X)," & --  PAD22
+       "2442 (BC_2, *, controlr, 1)," &
+       "2443 (BC_2, IO_PAD21, output3, X, 2442, 1, PULL0)," & --  PAD21
+       "2444 (BC_2, IO_PAD21, input, X)," & --  PAD21
+       "2445 (BC_2, *, controlr, 1)," &
+       "2446 (BC_2, IO_PAD20, output3, X, 2445, 1, PULL0)," & --  PAD20
+       "2447 (BC_2, IO_PAD20, input, X)," & --  PAD20
+       "2448 (BC_2, *, controlr, 1)," &
+       "2449 (BC_2, IO_PAD19, output3, X, 2448, 1, PULL0)," & --  PAD19
+       "2450 (BC_2, IO_PAD19, input, X)," & --  PAD19
+       "2451 (BC_2, *, controlr, 1)," &
+       "2452 (BC_2, IO_PAD18, output3, X, 2451, 1, PULL0)," & --  PAD18
+       "2453 (BC_2, IO_PAD18, input, X)," & --  PAD18
+       "2454 (BC_2, *, controlr, 1)," &
+       "2455 (BC_2, IO_PAD17, output3, X, 2454, 1, PULL0)," & --  PAD17
+       "2456 (BC_2, IO_PAD17, input, X)," & --  PAD17
+       "2457 (BC_2, *, controlr, 1)," &
+       "2458 (BC_2, IO_PAD16, output3, X, 2457, 1, PULL0)," & --  PAD16
+       "2459 (BC_2, IO_PAD16, input, X)," & --  PAD16
+       "2460 (BC_2, *, controlr, 1)," &
+       "2461 (BC_2, IO_PAD15, output3, X, 2460, 1, PULL0)," & --  PAD15
+       "2462 (BC_2, IO_PAD15, input, X)," & --  PAD15
+       "2463 (BC_2, *, controlr, 1)," &
+       "2464 (BC_2, IO_PAD14, output3, X, 2463, 1, PULL0)," & --  PAD14
+       "2465 (BC_2, IO_PAD14, input, X)," & --  PAD14
+       "2466 (BC_2, *, controlr, 1)," &
+       "2467 (BC_2, IO_PAD13, output3, X, 2466, 1, PULL0)," & --  PAD13
+       "2468 (BC_2, IO_PAD13, input, X)," & --  PAD13
+       "2469 (BC_2, *, controlr, 1)," &
+       "2470 (BC_2, IO_PAD12, output3, X, 2469, 1, PULL0)," & --  PAD12
+       "2471 (BC_2, IO_PAD12, input, X)," & --  PAD12
+       "2472 (BC_2, *, controlr, 1)," &
+       "2473 (BC_2, IO_PAD11, output3, X, 2472, 1, PULL0)," & --  PAD11
+       "2474 (BC_2, IO_PAD11, input, X)," & --  PAD11
+       "2475 (BC_2, *, controlr, 1)," &
+       "2476 (BC_2, IO_PAD10, output3, X, 2475, 1, PULL0)," & --  PAD10
+       "2477 (BC_2, IO_PAD10, input, X)," & --  PAD10
+       "2478 (BC_2, *, controlr, 1)," &
+       "2479 (BC_2, IO_PAD9, output3, X, 2478, 1, PULL0)," & --  PAD9
+       "2480 (BC_2, IO_PAD9, input, X)," & --  PAD9
+       "2481 (BC_2, *, controlr, 1)," &
+       "2482 (BC_2, IO_PAD8, output3, X, 2481, 1, PULL0)," & --  PAD8
+       "2483 (BC_2, IO_PAD8, input, X)," & --  PAD8
+       "2484 (BC_2, *, controlr, 1)," &
+       "2485 (BC_2, IO_PAD7, output3, X, 2484, 1, PULL0)," & --  PAD7
+       "2486 (BC_2, IO_PAD7, input, X)," & --  PAD7
+       "2487 (BC_2, *, controlr, 1)," &
+       "2488 (BC_2, IO_PAD6, output3, X, 2487, 1, PULL0)," & --  PAD6
+       "2489 (BC_2, IO_PAD6, input, X)," & --  PAD6
+       "2490 (BC_2, *, controlr, 1)," &
+       "2491 (BC_2, IO_PAD5, output3, X, 2490, 1, PULL0)," & --  PAD5
+       "2492 (BC_2, IO_PAD5, input, X)," & --  PAD5
+       "2493 (BC_2, *, controlr, 1)," &
+       "2494 (BC_2, IO_PAD4, output3, X, 2493, 1, PULL0)," & --  PAD4
+       "2495 (BC_2, IO_PAD4, input, X)," & --  PAD4
+       "2496 (BC_2, *, controlr, 1)," &
+       "2497 (BC_2, IO_PAD3, output3, X, 2496, 1, PULL0)," & --  PAD3
+       "2498 (BC_2, IO_PAD3, input, X)," & --  PAD3
+       "2499 (BC_2, *, controlr, 1)," &
+       "2500 (BC_2, IO_PAD2, output3, X, 2499, 1, PULL0)," & --  PAD2
+       "2501 (BC_2, IO_PAD2, input, X)," & --  PAD2
+       "2502 (BC_2, *, controlr, 1)," &
+       "2503 (BC_2, IO_PAD1, output3, X, 2502, 1, PULL0)," & --  PAD1
+       "2504 (BC_2, IO_PAD1, input, X)"; --  PAD1
+
+
+-- Advanced I/O Description
+
+attribute AIO_COMPONENT_CONFORMANCE of XC5VLX110T : entity is
+       "STD_1149_6_2003";
+
+attribute AIO_Pin_Behavior of XC5VLX110T : entity is
+"MGTRXP0_112 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_114 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_116 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_118 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_120 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_122 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_124 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP0_126 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_112 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_114 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_116 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_118 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_120 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_122 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_124 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTRXP1_126 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_112 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_114 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_116 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_118 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_120 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_122 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_124 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP0_126 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_112 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_114 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_116 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_118 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_120 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_122 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_124 : LP_time=5.0e-9 HP_time=15.0e-9; " &
+"MGTTXP1_126 : LP_time=5.0e-9 HP_time=15.0e-9 ";
+
+-- Design Warning Section
+
+attribute DESIGN_WARNING of XC5VLX110T : entity is
+        "This is a preliminary BSDL file which has not been verified." &
+       "When no bitstream is loaded and GTPs are not instantiated," &
+               "the boundary-scan cells associated with GTPs will not" &
+               "capture correct state information.  To model the boundary-" &
+               "scan cell behavior correctly post-configuration, use" &
+               "BSDLanno to modify the BSDL file." &
+        "This BSDL file must be modified by the FPGA designer in order to" &
+                "reflect post-configuration behavior (if any)." &
+        "To avoid losing the current configuration, the boundary scan" &
+                "test vectors should keep the PROG_B pin" &
+                "high.  If the PROG_B pin goes low by any means," &
+                "the configuration will be cleared." &
+        "PROG_B can only be captured, not updated." &
+                "The value at the pin is always used by the device." &
+        "The disable result of a 3-stated I/O in this file" &
+                "correspond to HSWAP_EN being high.  When HSWAP_EN" &
+                "is low, change all PULL0s to PULL1." &
+        "In EXTEST, output and tristate values are not captured in the" &
+                "Capture-DR state - those register cells are unchanged." &
+       "Differential Serial IO pins do not support INTEST." &
+        "In INTEST, the pin input values are not captured in the" &
+                "Capture-DR state - those register cells are unchanged." &
+        "The output and tristate capture values are not valid until after" &
+                "the device is configured." &
+        "The tristate control value is not captured properly when" &
+                "GTS is activated." &
+       "NOCONNECT pins should not be connected to any supply" &
+               "or GND.  They should be left floating.";
+
+end XC5VLX110T;
+