lots of changes to Marina test code, mostly for scan chain counters
[fleet.git] / Makefile
1
2 ## Fleeterpreter ##############################################################################
3
4 ifeq ($(impl),ml509.large)
5 java              = java -Xmx500m -Dfleet.impl='edu.berkeley.fleet.fpga.ML509$$Large'
6 effort = high
7 opt_for = area
8 xil_ver=10.1
9 remote_ise = /tools/xilinx/${xil_ver}/ISE
10 remote_edk = /tools/xilinx/${xil_ver}/EDK
11 speed_grade = 1
12 part = xc5vlx110t
13 package = ff1136
14 board = ml505   # ??
15 runhost=goliath
16 mergesort_mem=DDR2
17 else
18 ifeq ($(impl),bee2)
19 java              = java -Xmx500m -Dfleet.impl=edu.berkeley.fleet.fpga.Bee2
20 # BEE2 does not work with ISE 10.1
21 xil_ver=9.1i
22 remote_ise = /tools/xilinx/ISE${xil_ver}_lin/
23 remote_edk = /tools/xilinx/EDK${xil_ver}/
24 effort = std
25 opt_for = area
26 part = xc2vp70
27 package = ff1704
28 speed_grade=6
29 runhost=bee2
30 mergesort_mem=Memory
31 else
32 ifeq ($(impl),java)
33 java              = java -Xmx500m -Dfleet.impl=edu.berkeley.fleet.interpreter.Interpreter
34 mergesort_mem=Memory
35 else
36 ifeq ($(impl),ml509.small)
37 java              = java -Xmx500m -Dfleet.impl='edu.berkeley.fleet.fpga.ML509$$Small'
38 effort = std
39 opt_for = area
40 xil_ver=10.1
41 remote_ise = /tools/xilinx/${xil_ver}/ISE
42 remote_edk = /tools/xilinx/${xil_ver}/EDK
43 speed_grade = 1
44 part = xc5vlx110t
45 package = ff1136
46 board = ml505   # ??
47 runhost=goliath
48 mergesort_mem=Memory
49 else
50 java              = java -Xmx500m
51 endif
52 endif
53 endif
54 endif
55
56 cp                = -cp fleet.jar:lib/RXTXcomm.jar
57
58 run: fleet.jar; $(java) $(cp) $(interpreter_class)
59
60 ifeq ($(shell uname -o 2>/dev/null),Cygwin)
61 ps=\;
62 else
63 ps=:
64 endif
65
66 java_files = $(shell find src -name \*.java)
67 ship_files = $(shell find ships -name \*.ship)
68 fleet.jar: $(java_files) $(ship_files) src/edu/berkeley/fleet/assembler/fleet.g
69         mkdir -p build/class/edu/berkeley/fleet/assembler/
70         cp src/edu/berkeley/fleet/assembler/fleet.g build/class/edu/berkeley/fleet/assembler/
71         javac -classpath lib/com.sun.async.test.jar:lib/ibex.jar:lib/RXTXcomm.jar:lib/edu.berkeley.sbp.jar -d build/class/ $(shell find src -name \*.java)
72         cd build/class/; jar xf ../../lib/edu.berkeley.sbp.jar
73         cd build/class/; jar xf ../../lib/ibex.jar
74         for A in `find ships -name \*.ship`;\
75           do java \
76                  -cp fleet.jar:build/class \
77                  -Dfleet.impl=edu.berkeley.fleet.interpreter.Interpreter \
78                  edu.berkeley.fleet.Main \
79                  expand $$A;\
80           done
81         javac -classpath lib/com.sun.async.test.jar:lib/ibex.jar:lib/RXTXcomm.jar:build/class${ps}lib/edu.berkeley.sbp.jar -d build/class/ `find build/java -name \*.java`
82         echo 'Main-Class: edu.berkeley.fleet.Main' > build/class/manifest
83         jar cmf build/class/manifest $@ ships -C build/class .
84
85
86 ## Fpga ####################################################################################
87
88 # 32Gb ram, 4-core.     small-config:1019s  large-config:2530s
89 host = intel2950-5.eecs.berkeley.edu
90
91 # 128Gb ram, 2x4-core.  small-config:1189s  large-config:3065s
92 #host = amdr905-1.eecs.berkeley.edu
93
94 remote_dir = /scratch/megacz/fleet/
95
96 #host = mm2.millennium.berkeley.edu
97 #remote_ise = /scratch/megacz/xilinx/ise/
98 #remote_edk = /scratch/megacz/xilinx/edk/
99 #remote_dir = /scratch/megacz/fleet/
100
101
102 xilinx =  cd build/${impl};
103 xilinx += LD_LIBRARY_PATH=$$LD_LIBRARY_PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
104 xilinx += PATH=$$PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
105 #xilinx += XST_VERSION=9.2i
106 xilinx += XILINX=$(remote_ise)
107 xilinx += XIL_XST_HIDEMESSAGES=hdl_and_low_levels
108 xilinx += XIL_PAR_DESIGN_CHECK_VERBOSE=1
109 xilinx += XILINX_EDK=$(remote_edk)
110
111 xilinx_ise = $(xilinx) $(remote_ise)/bin/lin/
112 xilinx_edk = $(xilinx) $(remote_edk)/bin/lin/
113
114 # ML410
115 #part = xc4vfx60
116 #package = ff1152
117 #speed_grade = 11
118 #board = ml410
119 #runhost=goliath
120
121 device = ${part}-${package}-${speed_grade}
122 rsync = rsync --exclude=.git --exclude=chips/marina/images -zare ssh --progress --verbose
123
124 upload: fleet.jar build/${impl}/main.bit
125         mkdir -p build
126         chmod +x misc/program.sh
127 ifneq ($(impl),bee2)
128         ${rsync} fleet.jar build/${impl}/main.bit misc root@${runhost}:fleet/
129 endif
130
131 build/${impl}/main.bit: $(java_files) $(ship_files)
132         make fleet.jar impl=${impl}
133         mkdir -p build/${impl}
134         $(java) $(cp) edu.berkeley.fleet.fpga.Fpga build/${impl}/
135         cp src/edu/berkeley/fleet/fpga/* build/${impl} || true
136         for A in `find ships -name \*.ship`;\
137           do $(java) -cp build/class edu.berkeley.fleet.Main target=fpga expand $$A;\
138           done
139         ssh ${host} 'mkdir -p ${remote_dir}'
140         ${rsync} --delete ./ ${host}:${remote_dir}
141         time ssh ${host} 'make -C ${remote_dir} synth XILINX=${remote_ise} remote_ise=${remote_ise} remote_edk=${remote_edk} impl=${impl}'
142         scp ${host}:${remote_dir}/build/${impl}/main.bit build/${impl}/
143         scp ${host}:${remote_dir}/build/${impl}/main.ace build/${impl}/ || true
144
145 pcore = ${remote_edk}/hw/XilinxProcessorIPLib/pcores
146 intstyle = -intstyle xflow
147 synth:
148         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/* .
149         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/mem/* .
150         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/ddr2/* .
151         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/dvi/* .
152         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/zbt/* .
153         cd build/${impl}; ln -sf ../../src/edu/berkeley/fleet/fpga/bee2/* .
154         rm -f build/${impl}/main.lso
155         echo work                        >> build/${impl}/main.lso
156         rm -f build/${impl}/main.prj
157         cd build/${impl}; for A in *.v;   do echo verilog work \""$$A"\"; done >> main.prj
158         cd build/${impl}; for A in *.vhd; do echo vhdl    work \""$$A"\"; done >> main.prj
159         cd build/${impl}; touch main.ini
160         cd build/${impl}; mkdir -p tmp
161         cd build/${impl}; mkdir -p xst
162         rm -rf build/${impl}/_ngo
163         skill xst_original
164
165         rm -f build/${impl}/main.xst
166         echo "set -tmpdir ./tmp" >> build/${impl}/main.xst
167         echo "set -xsthdpdir ./xst" >> build/${impl}/main.xst
168         echo "set -xsthdpini main.ini" >> build/${impl}/main.xst
169         echo -n "run" >> build/${impl}/main.xst
170         echo -n " -ifn main.prj" >> build/${impl}/main.xst
171         echo -n " -ifmt mixed" >> build/${impl}/main.xst
172         echo -n " -ofn main" >> build/${impl}/main.xst
173         echo -n " -ofmt NGC" >> build/${impl}/main.xst
174         echo -n " -p ${device}" >> build/${impl}/main.xst
175         echo -n " -top main" >> build/${impl}/main.xst
176         echo -n " -opt_mode ${opt_for}" >> build/${impl}/main.xst
177         echo -n " -opt_level 2" >> build/${impl}/main.xst
178         echo -n " -iuc NO" >> build/${impl}/main.xst
179         echo -n " -lso main.lso" >> build/${impl}/main.xst
180         echo -n " -keep_hierarchy NO" >> build/${impl}/main.xst
181         echo -n " -rtlview Yes" >> build/${impl}/main.xst
182         echo -n " -glob_opt AllClockNets" >> build/${impl}/main.xst
183         echo -n " -read_cores YES" >> build/${impl}/main.xst
184         echo -n " -write_timing_constraints NO" >> build/${impl}/main.xst
185         echo -n " -cross_clock_analysis YES" >> build/${impl}/main.xst
186         echo -n " -hierarchy_separator /" >> build/${impl}/main.xst
187         echo -n " -bus_delimiter <>" >> build/${impl}/main.xst
188         echo -n " -case maintain" >> build/${impl}/main.xst
189         echo -n " -slice_utilization_ratio 100" >> build/${impl}/main.xst
190         echo -n " -verilog2001 YES" >> build/${impl}/main.xst
191         echo -n " -fsm_extract Yes" >> build/${impl}/main.xst
192         echo -n " -fsm_encoding Auto" >> build/${impl}/main.xst
193         echo -n " -safe_implementation No" >> build/${impl}/main.xst
194         echo -n " -fsm_style lut" >> build/${impl}/main.xst
195         echo -n " -ram_extract Yes" >> build/${impl}/main.xst
196         echo -n " -ram_style Auto" >> build/${impl}/main.xst
197         echo -n " -rom_extract Yes" >> build/${impl}/main.xst
198         echo -n " -mux_style Auto" >> build/${impl}/main.xst
199         echo -n " -decoder_extract YES" >> build/${impl}/main.xst
200         echo -n " -priority_extract YES" >> build/${impl}/main.xst
201         echo -n " -shreg_extract YES" >> build/${impl}/main.xst
202         echo -n " -shift_extract YES" >> build/${impl}/main.xst
203         echo -n " -xor_collapse YES" >> build/${impl}/main.xst
204         echo -n " -rom_style Auto" >> build/${impl}/main.xst
205         echo -n " -mux_extract YES" >> build/${impl}/main.xst
206         echo -n " -resource_sharing YES" >> build/${impl}/main.xst
207         echo -n " -mult_style auto" >> build/${impl}/main.xst
208         echo -n " -iobuf YES" >> build/${impl}/main.xst
209         echo -n " -max_fanout 10000" >> build/${impl}/main.xst
210         echo -n " -bufg 1" >> build/${impl}/main.xst
211         echo -n " -register_duplication YES" >> build/${impl}/main.xst
212         echo -n " -register_balancing Yes" >> build/${impl}/main.xst
213         echo -n " -slice_packing Yes" >> build/${impl}/main.xst
214         echo -n " -optimize_primitives Yes" >> build/${impl}/main.xst
215         echo -n " -tristate2logic Yes" >> build/${impl}/main.xst
216         echo -n " -use_clock_enable Yes" >> build/${impl}/main.xst
217         echo -n " -use_sync_set Yes" >> build/${impl}/main.xst
218         echo -n " -use_sync_reset Yes" >> build/${impl}/main.xst
219         echo -n " -iob auto" >> build/${impl}/main.xst
220         echo -n " -equivalent_register_removal YES" >> build/${impl}/main.xst
221         echo -n " -slice_utilization_ratio_maxmargin 5" >> build/${impl}/main.xst
222         echo >> build/${impl}/main.xst
223
224         rm -f build/${impl}/main.ut
225 ifneq ($(impl),bee2)
226         echo '-w' >> build/${impl}/main.ut
227         echo '-g CclkPin:PULLUP' >> build/${impl}/main.ut
228         echo '-g TdoPin:PULLNONE' >> build/${impl}/main.ut
229         echo '-g M1Pin:PULLDOWN' >> build/${impl}/main.ut
230         echo '-g DonePin:PULLUP' >> build/${impl}/main.ut
231         echo '-g DriveDone:No' >> build/${impl}/main.ut
232         echo '-g StartUpClk:JTAGCLK' >> build/${impl}/main.ut
233         echo '-g DONE_cycle:4' >> build/${impl}/main.ut
234         echo '-g GTS_cycle:5' >> build/${impl}/main.ut
235         echo '-g M0Pin:PULLUP' >> build/${impl}/main.ut
236         echo '-g M2Pin:PULLUP' >> build/${impl}/main.ut
237         echo '-g ProgPin:PULLUP' >> build/${impl}/main.ut
238         echo '-g TckPin:PULLUP' >> build/${impl}/main.ut
239         echo '-g TdiPin:PULLUP' >> build/${impl}/main.ut
240         echo '-g TmsPin:PULLUP' >> build/${impl}/main.ut
241         echo '-g DonePipe:No' >> build/${impl}/main.ut
242         echo '-g GWE_cycle:6' >> build/${impl}/main.ut
243         echo '-g LCK_cycle:NoWait' >> build/${impl}/main.ut
244         echo '-g Security:NONE' >> build/${impl}/main.ut
245         echo '-g Persist:No' >> build/${impl}/main.ut
246 endif
247 ifeq ($(impl),bee2)
248         echo '-w' >> build/${impl}/main.ut
249         echo '-g DebugBitstream:No' >> build/${impl}/main.ut
250         echo '-g Binary:no' >> build/${impl}/main.ut
251         echo '-g CRC:Enable' >> build/${impl}/main.ut
252         echo '-g ConfigRate:4' >> build/${impl}/main.ut
253         echo '-g CclkPin:PullUp' >> build/${impl}/main.ut
254         echo '-g M0Pin:PullUp' >> build/${impl}/main.ut
255         echo '-g M1Pin:PullUp' >> build/${impl}/main.ut
256         echo '-g M2Pin:PullUp' >> build/${impl}/main.ut
257         echo '-g ProgPin:PullUp' >> build/${impl}/main.ut
258         echo '-g DonePin:PullUp' >> build/${impl}/main.ut
259         echo '-g PowerdownPin:PullUp' >> build/${impl}/main.ut
260         echo '-g TckPin:PullUp' >> build/${impl}/main.ut
261         echo '-g TdiPin:PullUp' >> build/${impl}/main.ut
262         echo '-g TdoPin:PullNone' >> build/${impl}/main.ut
263         echo '-g TmsPin:PullUp' >> build/${impl}/main.ut
264         echo '-g UnusedPin:PullDown' >> build/${impl}/main.ut
265         echo '-g UserID:0xFFFFFFFF' >> build/${impl}/main.ut
266         echo '-g DCMShutdown:Disable' >> build/${impl}/main.ut
267         echo '-g DisableBandgap:No' >> build/${impl}/main.ut
268         echo '-g DCIUpdateMode:AsRequired' >> build/${impl}/main.ut
269         echo '-g StartUpClk:CClk' >> build/${impl}/main.ut
270         echo '-g DONE_cycle:4' >> build/${impl}/main.ut
271         echo '-g GTS_cycle:5' >> build/${impl}/main.ut
272         echo '-g GWE_cycle:6' >> build/${impl}/main.ut
273         echo '-g LCK_cycle:NoWait' >> build/${impl}/main.ut
274         echo '-g Security:None' >> build/${impl}/main.ut
275         echo '-g DonePipe:No' >> build/${impl}/main.ut
276         echo '-g DriveDone:No' >> build/${impl}/main.ut
277         echo '-g Encrypt:No' >> build/${impl}/main.ut
278 endif
279         $(xilinx_ise)xst      ${intstyle} -ifn main.xst -ofn main.syr < main.xst \
280           | grep --line-buffered -v 'been backward balanced into' \
281           | grep --line-buffered -v 'IDDR has been replaced by IDDR_2CLK' \
282           | grep --line-buffered -v 'WARNING:Xst:616 - Invalid property'
283         cat build/${impl}/*.ucf > build/${impl}/main.ucf
284         $(xilinx_ise)ngdbuild ${intstyle} -aul -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
285         $(xilinx_ise)map      ${intstyle} -ol ${effort} -p $(device) -pr b -cm ${opt_for} -o main_map.ncd main.ngd main.pcf
286         $(xilinx_ise)par      ${intstyle} -pl ${effort} -ol ${effort} -w main_map.ncd main.ncd main.pcf
287         $(xilinx_ise)trce     ${intstyle} -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
288         $(xilinx_ise)bitgen   ${intstyle} -f main.ut main.ncd
289 ifneq ($(impl),bee2)
290         $(xilinx) tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ${board} -ace mainx.ace
291         mv build/${impl}/mainx.ace build/${impl}/main.ace   # genace throws a fit if the filename prefix is the same?
292 endif
293
294 runserver: fleet.jar
295         $(java) -Djava.library.path=lib -cp fleet.jar:lib/RXTXcomm.jar edu.berkeley.fleet.fpga.Server
296
297 test:     fleet.jar
298         $(java) -jar fleet.jar             test ships/*.ship tests
299         $(java) -cp fleet.jar edu.berkeley.fleet.dataflow.MergeSort ${mergesort_mem} 0 256
300
301 demo: fleet.jar
302         $(java) -cp fleet.jar edu.berkeley.fleet.dataflow.SortingDemo
303
304 ## Manual ####################################################################################
305
306 svgs    = $(shell find doc -name \*.svg)
307 %.eps: %.svg
308         DISPLAY= /Applications/Inkscape.app/Contents//Resources/bin/inkscape  -z --export-area-drawing $^ --export-eps=$@
309
310 %.pdf: %.eps
311         epstopdf $^ --outfile=$@
312
313 manual: archmanual toolmanual
314
315 archmanual: fleet.jar $(svgs:%.svg=%.pdf)
316         $(java) -jar fleet.jar doc
317         cd .tmp; ln -sf ../doc/*.bib .
318         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
319         cd .tmp; for A in *.mp; do mpost --tex=latex $$A; done
320         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
321         open .tmp/FleetTwo.Manual.pdf
322 toolmanual: fleet.jar $(svgs:%.svg=%.pdf)
323         $(java) -jar fleet.jar doc
324         cd .tmp; ln -sf ../doc/*.bib .
325         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex toolchain.tex
326         open .tmp/toolchain.pdf
327
328 ## API docs ####################################################################################
329
330 javadoc:
331         javadoc \
332                 -linksource \
333                 -windowtitle "FleetCode API Documentation" \
334                 -sourcepath src \
335                 -header "<b>FleetCode API Documentation</b><br>" \
336                 -public \
337                 -notree \
338                 -nonavbar \
339                 -noqualifier all \
340                 -stylesheetfile doc/javadoc.css \
341                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc/ \
342                 edu.berkeley.fleet.api
343         javadoc \
344                 -linksource \
345                 -windowtitle "FleetCode API Documentation" \
346                 -sourcepath src \
347                 -header "<b>FleetCode API Documentation</b><br>" \
348                 -public \
349                 -notree \
350                 -nonavbar \
351                 -noqualifier all \
352                 -stylesheetfile doc/javadoc.css \
353                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc-private/ \
354                 `find src/edu/berkeley/fleet -name \*.java`
355         open http://fleet.cs.berkeley.edu/code/javadoc/
356         open http://fleet.cs.berkeley.edu/code/javadoc-private/
357
358 ## Misc ####################################################################################
359
360 clean:
361         rm -rf fleet.jar build
362         rm -f \
363           chips/marina/testCode/marina.xml \
364           chips/marina/testCode/marina.v \
365           chips/marina/testCode/marina.schematic-parasitics.spi
366
367 ## Dist ####################################################################################
368
369 #dist:
370 #       darcs record
371 #       darcs push /afs/research.cs.berkeley.edu/class/fleet/website/repos/fleet/
372 #       darcs get . --repo-name=fleet-`date +%d.%h.%y`
373 #       make -C fleet-`date +%d.%h.%y` fleet.jar
374 #       rm -rf fleet-`date +%d.%h.%y`/build
375 #       echo 'http://research.cs.berkeley.edu/class/fleet/repos/fleet/' > \
376 #         fleet-`date +%d.%h.%y`/_darcs/prefs/defaultrepo
377 #       tar cvzf fleet-`date +%d.%h.%y`.tgz fleet-`date +%d.%h.%y`
378 #       rm -rf fleet-`date +%d.%h.%y`
379 #       mv fleet-`date +%d.%h.%y`.tgz /afs/research.cs.berkeley.edu/class/fleet/website/files/
380 #       @echo
381 #       @echo
382 #       @echo   http://research.cs.berkeley.edu/class/fleet/files/fleet-`date +%d.%h.%y`.tgz
383 #       @echo
384 #       @echo
385 #
386
387 dist: fleet.jar
388         darcs dist -d fleet
389         mv fleet.tar.gz /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.tgz
390         mkdir -p .build
391         cd .build; for A in ../fleet.jar ../lib/*.jar; do jar xvf $$A; done
392         cd .build; jar cvf /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.jar .
393         rm -rf .build
394         echo
395         echo http://fleet.cs.berkeley.edu/code/snapshots/fleet-`date +%Y.%m.%d`.jar 
396         echo
397
398 # you'll probably want to change this line
399 ghc  = /usr/local/brian/ghc/compiler/ghc-inplace
400
401 ghc += -fglasgow-exts -fallow-undecidable-instances -fallow-overlapping-instances -cpp 
402 ghc += -i$(shell pwd)/build/hi/ -hidir $(shell pwd)/build/hi/ -odir $(shell pwd)/build/class/
403
404 f0: fleet.jar
405         mkdir -p build/hi build/class
406         cd lib;                        $(ghc) -c -java SBP.lhs
407         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Util.lhs
408         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Fleet.lhs
409         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Types.lhs
410         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Compile.lhs
411         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Main.lhs
412         $(java) -cp build/class:lib/HSbase.jar:lib/HSrts.jar:lib/HSstm.jar:fleet.jar Main
413
414
415 ## Targets below are for integration with Sun-Proprietary Marina Test Chip ##############################
416
417 electric_jar = ~/proj/electric/srcj/electric.jar
418 electric = java -Xss2m -XX:MaxPermSize=128m -Xmx2000m -jar ${electric_jar}
419 electric_headless = java -Xmx1500m -jar -Djava.awt.headless=true ${electric_jar} -batch
420 all_electric_files = $(shell find chips/marina/electric/ -name \*.jelib -or -path \*.delib\*)
421 modname = fakeMarinaPadframe
422
423 sun_dir = /home/am77536/fleet
424 sun_fs_server = ivans
425 sun_server = simmons
426
427 #sun_server = frehley  # electric team uses frehley
428 #sun_server = simmons
429 #sun_server = criss    # criss is used for nohupped nanosim
430 #sun_server = stanley  # stanley is used for nohupped hsim
431
432 #testnum = 3025
433 #testnum = 3040
434 #testnum = 0
435 #testnum = 999
436 testnum = 8888
437
438 runtest: fleet.jar chips/marina/testCode/marina.xml chips/marina/testCode/marina.v chips/marina/testCode/marina.schematic-parasitics.spi
439         ${rsync} --delete ./ ${sun_fs_server}:${sun_dir}/
440         time ssh -t -Y ${sun_fs_server} 'ssh -t am77536@${sun_server} "cd ${sun_dir}/; make testlocal impl=${impl}"'
441
442 spice: fleet.jar
443         cp ~/omegaCounter.spi chips/marina/testCode/omegaCounter.spi
444         cp ~/omegaCounter-extracted.spi chips/marina/testCode/omegaCounter-extracted.spi
445         rsync -azre ssh --delete --progress --verbose ./ ${sun_fs_server}:${sun_dir}/
446         ssh -t -Y ${sun_fs_server} 'cd ${sun_dir}/chips/marina/testCode/; export PATH=/proj/async/cad/linux/bin/:$$PATH; echo -e "rcf commands\n" | hsim64 -time 90000 go.spi -o go.spi'
447
448
449 ifeq ($(impl),silicon)
450 testarg=-silicon
451 else
452 testarg=-hsim
453 endif
454
455 testlocal: fleet.jar
456         cd chips/marina/testCode; \
457           export PATH=/proj/async/cad/linux/bin/:$$PATH; \
458           export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/proj/async/cad/test/; \
459           /proj/async/cad/linux/lib/jdk1.6/bin/java \
460           -cp `pwd`/../../../lib/com.sun.async.test.jar:`pwd`/../../../fleet.jar \
461           -Djava.library.path=/proj/async/cad/test/ \
462           -Dfleet.impl=com.sun.vlsi.chips.marina.test.Marina \
463           com.sun.vlsi.chips.marina.test.MarinaTest \
464           ${testarg} \
465           -testNum ${testnum} || tail -n 20 marina.spi.run
466
467 chips/marina/testCode/marina.xml \
468 chips/marina/testCode/marina.v \
469 chips/marina/testCode/marina.schematic-parasitics.spi: ${all_electric_files}
470         @echo
471         @echo == Rebuilding Netlists and XML ===========================================================
472         rm -f chips/marina/testCode/marina.v
473         rm -f chips/marina/testCode/marina.schematic-parasitics.spi
474         rm -f chips/marina/testCode/marina.xml
475         cd chips/marina/testCode; \
476           nice -n 19 ${electric_headless} -s regen.bsh ../electric/aMarinaM.jelib
477
478 electric:
479         ${electric} chips/marina/electric/aMarinaM.jelib
480
481 sync:
482         ${rsync} ${sun_fs_server}:${sun_dir}/chips/marina/testCode/marina\*.dump ~/ || true
483         ${rsync} ${sun_fs_server}:${sun_dir}/chips/marina/testCode/marina.spi.out ~/${modname}.out || true
484 copyin:
485         cp ~/${modname}.spi chips/marina/testCode/marina.schematic-parasitics.spi || true
486         cp ~/${modname}.v   chips/marina/testCode/marina.v || true
487
488 chips/marina/testCode/omegaCounter-extracted.spi: ${all_electric_files} chips/marina/testCode/rcx.bsh
489         @echo
490         @echo == Extracting Layout ===========================================================
491         ssh ${sun_fs_server} 'rm -rf /tmp/am77536; mkdir /tmp/am77536'
492         cd chips/marina/testCode; \
493           nice -n 19 ${electric_headless} -s rcx.bsh ../electric/omegaCounter.jelib
494         mv chips/marina/electric/starrcxt/omegaCounter.sp $@
495