run omegaCounter inverter study with Electric LE code fixed
[fleet.git] / Makefile
1
2 ## Fleeterpreter ##############################################################################
3
4 ifeq ($(impl),ml509)
5 java              = java -Xmx500m -Dfleet.impl='edu.berkeley.fleet.fpga.ML509$$Large'
6 effort = high
7 opt_for = area
8 xil_ver=10.1
9 remote_ise = /tools/xilinx/${xil_ver}/ISE
10 remote_edk = /tools/xilinx/${xil_ver}/EDK
11 speed_grade = 1
12 part = xc5vlx110t
13 package = ff1136
14 board = ml505   # ??
15 runhost=goliath
16 else
17 ifeq ($(impl),bee2)
18 java              = java -Xmx500m -Dfleet.impl=edu.berkeley.fleet.fpga.Bee2
19 # BEE2 does not work with ISE 10.1
20 xil_ver=9.1i
21 remote_ise = /tools/xilinx/ISE${xil_ver}_lin/
22 remote_edk = /tools/xilinx/EDK${xil_ver}/
23 effort = high
24 opt_for = area
25 part = xc2vp70
26 package = ff1704
27 speed_grade=6
28 runhost=bee2-tunnel
29 else
30 ifeq ($(impl),java)
31 java              = java -Xmx500m -Dfleet.impl=edu.berkeley.fleet.interpreter.Interpreter
32 else
33 ifeq ($(impl),small)
34 java              = java -Xmx500m -Dfleet.impl='edu.berkeley.fleet.fpga.ML509$$Small'
35 effort = std
36 opt_for = area
37 xil_ver=10.1
38 remote_ise = /tools/xilinx/${xil_ver}/ISE
39 remote_edk = /tools/xilinx/${xil_ver}/EDK
40 speed_grade = 1
41 part = xc5vlx110t
42 package = ff1136
43 board = ml505   # ??
44 runhost=goliath
45 else
46 java              = java -Xmx500m
47 endif
48 endif
49 endif
50 endif
51
52 cp                = -cp fleet.jar:lib/RXTXcomm.jar
53
54 run: fleet.jar; $(java) $(cp) $(interpreter_class)
55
56 ifeq ($(shell uname -o 2>/dev/null),Cygwin)
57 ps=\;
58 else
59 ps=:
60 endif
61
62 java_files = $(shell find src -name \*.java)
63 ship_files = $(shell find ships -name \*.ship)
64 fleet.jar: $(java_files) $(ship_files) src/edu/berkeley/fleet/assembler/fleet.g
65         mkdir -p build/class/edu/berkeley/fleet/assembler/
66         cp src/edu/berkeley/fleet/assembler/fleet.g build/class/edu/berkeley/fleet/assembler/
67         javac -classpath lib/com.sun.async.test.jar:lib/ibex.jar:lib/RXTXcomm.jar:lib/edu.berkeley.sbp.jar -d build/class/ $(shell find src -name \*.java)
68         cd build/class/; jar xf ../../lib/edu.berkeley.sbp.jar
69         cd build/class/; jar xf ../../lib/ibex.jar
70         for A in `find ships -name \*.ship`;\
71           do java \
72                  -cp fleet.jar:build/class \
73                  -Dfleet.impl=edu.berkeley.fleet.interpreter.Interpreter \
74                  edu.berkeley.fleet.Main \
75                  expand $$A;\
76           done
77         javac -classpath lib/com.sun.async.test.jar:lib/ibex.jar:lib/RXTXcomm.jar:build/class${ps}lib/edu.berkeley.sbp.jar -d build/class/ `find build/java -name \*.java`
78         echo 'Main-Class: edu.berkeley.fleet.Main' > build/class/manifest
79         jar cmf build/class/manifest $@ ships -C build/class .
80
81
82 ## Fpga ####################################################################################
83
84 # 32Gb ram, 4-core.     small-config:1019s  large-config:2530s
85 host = intel2950-5.eecs.berkeley.edu
86
87 # 128Gb ram, 2x4-core.  small-config:1189s  large-config:3065s
88 #host = amdr905-1.eecs.berkeley.edu
89
90 remote_dir = /scratch/megacz/fleet/
91
92
93 #host = mm2.millennium.berkeley.edu
94 #remote_ise = /scratch/megacz/xilinx/ise/
95 #remote_edk = /scratch/megacz/xilinx/edk/
96 #remote_dir = /scratch/megacz/fleet/
97
98
99 xilinx =  cd build/fpga;
100 xilinx += LD_LIBRARY_PATH=$$LD_LIBRARY_PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
101 xilinx += PATH=$$PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
102 #xilinx += XST_VERSION=9.2i
103 xilinx += XILINX=$(remote_ise)
104 xilinx += XIL_XST_HIDEMESSAGES=hdl_and_low_levels
105 xilinx += XIL_PAR_DESIGN_CHECK_VERBOSE=1
106 xilinx += XILINX_EDK=$(remote_edk)
107
108 xilinx_ise = $(xilinx) $(remote_ise)/bin/lin/
109 xilinx_edk = $(xilinx) $(remote_edk)/bin/lin/
110
111 # ML410
112 #part = xc4vfx60
113 #package = ff1152
114 #speed_grade = 11
115 #board = ml410
116 #runhost=goliath
117
118 device = ${part}-${package}-${speed_grade}
119 rsync = rsync --exclude=.git --exclude=chips/marina/images -zare ssh --progress --verbose
120
121 upload: fleet.jar build/fpga/main.bit
122         mkdir -p build
123         chmod +x misc/program.sh
124         ${rsync} fleet.jar build/fpga/main.bit misc root@${runhost}:fleet/
125
126 build/fpga/main.bit: $(java_files) $(ship_files)
127         make fleet.jar impl=${impl}
128         mkdir -p build/fpga
129         $(java) $(cp) edu.berkeley.fleet.fpga.Fpga build/fpga/
130         cp src/edu/berkeley/fleet/fpga/* build/fpga || true
131         for A in `find ships -name \*.ship`;\
132           do $(java) -cp build/class edu.berkeley.fleet.Main target=fpga expand $$A;\
133           done
134         ssh ${host} 'mkdir -p ${remote_dir}'
135         ${rsync} --delete ./ ${host}:${remote_dir}
136         time ssh ${host} 'make -C ${remote_dir} synth XILINX=${remote_ise} remote_ise=${remote_ise} remote_edk=${remote_edk} impl=${impl}'
137         scp ${host}:${remote_dir}/build/fpga/main.bit build/fpga/
138         scp ${host}:${remote_dir}/build/fpga/main.ace build/fpga/ || true
139
140 pcore = ${remote_edk}/hw/XilinxProcessorIPLib/pcores
141 intstyle = -intstyle xflow
142 synth:
143         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/* .
144         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/mem/* .
145         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/ddr2/* .
146         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/dvi/* .
147         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/zbt/* .
148         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/bee2/* .
149         rm -f build/fpga/main.lso
150         echo work                        >> build/fpga/main.lso
151         rm -f build/fpga/main.prj
152         cd build/fpga; for A in *.v;   do echo verilog work \""$$A"\"; done >> main.prj
153         cd build/fpga; for A in *.vhd; do echo vhdl    work \""$$A"\"; done >> main.prj
154         cd build/fpga; touch main.ini
155         cd build/fpga; mkdir -p tmp
156         cd build/fpga; mkdir -p xst
157         rm -rf build/fpga/_ngo
158         skill xst_original
159
160         rm -f build/fpga/main.xst
161         echo "set -tmpdir ./tmp" >> build/fpga/main.xst
162         echo "set -xsthdpdir ./xst" >> build/fpga/main.xst
163         echo "set -xsthdpini main.ini" >> build/fpga/main.xst
164         echo -n "run" >> build/fpga/main.xst
165         echo -n " -ifn main.prj" >> build/fpga/main.xst
166         echo -n " -ifmt mixed" >> build/fpga/main.xst
167         echo -n " -ofn main" >> build/fpga/main.xst
168         echo -n " -ofmt NGC" >> build/fpga/main.xst
169         echo -n " -p ${device}" >> build/fpga/main.xst
170         echo -n " -top main" >> build/fpga/main.xst
171         echo -n " -opt_mode ${opt_for}" >> build/fpga/main.xst
172         echo -n " -opt_level 2" >> build/fpga/main.xst
173         echo -n " -iuc NO" >> build/fpga/main.xst
174         echo -n " -lso main.lso" >> build/fpga/main.xst
175         echo -n " -keep_hierarchy NO" >> build/fpga/main.xst
176         echo -n " -rtlview Yes" >> build/fpga/main.xst
177         echo -n " -glob_opt AllClockNets" >> build/fpga/main.xst
178         echo -n " -read_cores YES" >> build/fpga/main.xst
179         echo -n " -write_timing_constraints NO" >> build/fpga/main.xst
180         echo -n " -cross_clock_analysis YES" >> build/fpga/main.xst
181         echo -n " -hierarchy_separator /" >> build/fpga/main.xst
182         echo -n " -bus_delimiter <>" >> build/fpga/main.xst
183         echo -n " -case maintain" >> build/fpga/main.xst
184         echo -n " -slice_utilization_ratio 100" >> build/fpga/main.xst
185         echo -n " -verilog2001 YES" >> build/fpga/main.xst
186         echo -n " -fsm_extract Yes" >> build/fpga/main.xst
187         echo -n " -fsm_encoding Auto" >> build/fpga/main.xst
188         echo -n " -safe_implementation No" >> build/fpga/main.xst
189         echo -n " -fsm_style lut" >> build/fpga/main.xst
190         echo -n " -ram_extract Yes" >> build/fpga/main.xst
191         echo -n " -ram_style Auto" >> build/fpga/main.xst
192         echo -n " -rom_extract Yes" >> build/fpga/main.xst
193         echo -n " -mux_style Auto" >> build/fpga/main.xst
194         echo -n " -decoder_extract YES" >> build/fpga/main.xst
195         echo -n " -priority_extract YES" >> build/fpga/main.xst
196         echo -n " -shreg_extract YES" >> build/fpga/main.xst
197         echo -n " -shift_extract YES" >> build/fpga/main.xst
198         echo -n " -xor_collapse YES" >> build/fpga/main.xst
199         echo -n " -rom_style Auto" >> build/fpga/main.xst
200         echo -n " -mux_extract YES" >> build/fpga/main.xst
201         echo -n " -resource_sharing YES" >> build/fpga/main.xst
202         echo -n " -mult_style auto" >> build/fpga/main.xst
203         echo -n " -iobuf YES" >> build/fpga/main.xst
204         echo -n " -max_fanout 10000" >> build/fpga/main.xst
205         echo -n " -bufg 1" >> build/fpga/main.xst
206         echo -n " -register_duplication YES" >> build/fpga/main.xst
207         echo -n " -register_balancing Yes" >> build/fpga/main.xst
208         echo -n " -slice_packing Yes" >> build/fpga/main.xst
209         echo -n " -optimize_primitives Yes" >> build/fpga/main.xst
210         echo -n " -tristate2logic Yes" >> build/fpga/main.xst
211         echo -n " -use_clock_enable Yes" >> build/fpga/main.xst
212         echo -n " -use_sync_set Yes" >> build/fpga/main.xst
213         echo -n " -use_sync_reset Yes" >> build/fpga/main.xst
214         echo -n " -iob auto" >> build/fpga/main.xst
215         echo -n " -equivalent_register_removal YES" >> build/fpga/main.xst
216         echo -n " -slice_utilization_ratio_maxmargin 5" >> build/fpga/main.xst
217         echo >> build/fpga/main.xst
218
219         rm -f build/fpga/main.ut
220 ifneq ($(impl),bee2)
221         echo '-w' >> build/fpga/main.ut
222         echo '-g CclkPin:PULLUP' >> build/fpga/main.ut
223         echo '-g TdoPin:PULLNONE' >> build/fpga/main.ut
224         echo '-g M1Pin:PULLDOWN' >> build/fpga/main.ut
225         echo '-g DonePin:PULLUP' >> build/fpga/main.ut
226         echo '-g DriveDone:No' >> build/fpga/main.ut
227         echo '-g StartUpClk:JTAGCLK' >> build/fpga/main.ut
228         echo '-g DONE_cycle:4' >> build/fpga/main.ut
229         echo '-g GTS_cycle:5' >> build/fpga/main.ut
230         echo '-g M0Pin:PULLUP' >> build/fpga/main.ut
231         echo '-g M2Pin:PULLUP' >> build/fpga/main.ut
232         echo '-g ProgPin:PULLUP' >> build/fpga/main.ut
233         echo '-g TckPin:PULLUP' >> build/fpga/main.ut
234         echo '-g TdiPin:PULLUP' >> build/fpga/main.ut
235         echo '-g TmsPin:PULLUP' >> build/fpga/main.ut
236         echo '-g DonePipe:No' >> build/fpga/main.ut
237         echo '-g GWE_cycle:6' >> build/fpga/main.ut
238         echo '-g LCK_cycle:NoWait' >> build/fpga/main.ut
239         echo '-g Security:NONE' >> build/fpga/main.ut
240         echo '-g Persist:No' >> build/fpga/main.ut
241 endif
242 ifeq ($(impl),bee2)
243         echo '-w' >> build/fpga/main.ut
244         echo '-g DebugBitstream:No' >> build/fpga/main.ut
245         echo '-g Binary:no' >> build/fpga/main.ut
246         echo '-g CRC:Enable' >> build/fpga/main.ut
247         echo '-g ConfigRate:4' >> build/fpga/main.ut
248         echo '-g CclkPin:PullUp' >> build/fpga/main.ut
249         echo '-g M0Pin:PullUp' >> build/fpga/main.ut
250         echo '-g M1Pin:PullUp' >> build/fpga/main.ut
251         echo '-g M2Pin:PullUp' >> build/fpga/main.ut
252         echo '-g ProgPin:PullUp' >> build/fpga/main.ut
253         echo '-g DonePin:PullUp' >> build/fpga/main.ut
254         echo '-g PowerdownPin:PullUp' >> build/fpga/main.ut
255         echo '-g TckPin:PullUp' >> build/fpga/main.ut
256         echo '-g TdiPin:PullUp' >> build/fpga/main.ut
257         echo '-g TdoPin:PullNone' >> build/fpga/main.ut
258         echo '-g TmsPin:PullUp' >> build/fpga/main.ut
259         echo '-g UnusedPin:PullDown' >> build/fpga/main.ut
260         echo '-g UserID:0xFFFFFFFF' >> build/fpga/main.ut
261         echo '-g DCMShutdown:Disable' >> build/fpga/main.ut
262         echo '-g DisableBandgap:No' >> build/fpga/main.ut
263         echo '-g DCIUpdateMode:AsRequired' >> build/fpga/main.ut
264         echo '-g StartUpClk:CClk' >> build/fpga/main.ut
265         echo '-g DONE_cycle:4' >> build/fpga/main.ut
266         echo '-g GTS_cycle:5' >> build/fpga/main.ut
267         echo '-g GWE_cycle:6' >> build/fpga/main.ut
268         echo '-g LCK_cycle:NoWait' >> build/fpga/main.ut
269         echo '-g Security:None' >> build/fpga/main.ut
270         echo '-g DonePipe:No' >> build/fpga/main.ut
271         echo '-g DriveDone:No' >> build/fpga/main.ut
272         echo '-g Encrypt:No' >> build/fpga/main.ut
273 endif
274         $(xilinx_ise)xst      ${intstyle} -ifn main.xst -ofn main.syr < main.xst \
275           | grep --line-buffered -v 'been backward balanced into' \
276           | grep --line-buffered -v 'IDDR has been replaced by IDDR_2CLK' \
277           | grep --line-buffered -v 'WARNING:Xst:616 - Invalid property'
278         cat build/fpga/*.ucf > build/fpga/main.ucf
279         $(xilinx_ise)ngdbuild ${intstyle} -aul -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
280         $(xilinx_ise)map      ${intstyle} -ol ${effort} -p $(device) -pr b -cm ${opt_for} -o main_map.ncd main.ngd main.pcf
281         $(xilinx_ise)par      ${intstyle} -pl ${effort} -ol ${effort} -w main_map.ncd main.ncd main.pcf
282         $(xilinx_ise)trce     ${intstyle} -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
283         $(xilinx_ise)bitgen   ${intstyle} -f main.ut main.ncd
284 ifneq ($(impl),bee2)
285         $(xilinx) tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ${board} -ace mainx.ace
286         mv build/fpga/mainx.ace build/fpga/main.ace   # genace throws a fit if the filename prefix is the same?
287 endif
288
289 runserver: fleet.jar
290         $(java) -Djava.library.path=lib -cp fleet.jar:lib/RXTXcomm.jar edu.berkeley.fleet.fpga.Server
291
292 test:     fleet.jar
293         $(java) -jar fleet.jar             test ships/*.ship tests
294         $(java) -cp fleet.jar edu.berkeley.fleet.dataflow.MergeSort Memory 0 256
295
296 demo: fleet.jar
297         $(java) -cp fleet.jar edu.berkeley.fleet.dataflow.SortingDemo
298
299 ## Manual ####################################################################################
300
301 svgs    = $(shell find doc -name \*.svg)
302 %.eps: %.svg
303         DISPLAY= /Applications/Inkscape.app/Contents//Resources/bin/inkscape  -z --export-area-drawing $^ --export-eps=$@
304
305 %.pdf: %.eps
306         epstopdf $^ --outfile=$@
307
308 manual: archmanual toolmanual
309
310 archmanual: fleet.jar $(svgs:%.svg=%.pdf)
311         $(java) -jar fleet.jar doc
312         cd .tmp; ln -sf ../doc/*.bib .
313         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
314         cd .tmp; for A in *.mp; do mpost --tex=latex $$A; done
315         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
316         open .tmp/FleetTwo.Manual.pdf
317 toolmanual: fleet.jar $(svgs:%.svg=%.pdf)
318         $(java) -jar fleet.jar doc
319         cd .tmp; ln -sf ../doc/*.bib .
320         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex toolchain.tex
321         open .tmp/toolchain.pdf
322
323 ## API docs ####################################################################################
324
325 javadoc:
326         javadoc \
327                 -linksource \
328                 -windowtitle "FleetCode API Documentation" \
329                 -sourcepath src \
330                 -header "<b>FleetCode API Documentation</b><br>" \
331                 -public \
332                 -notree \
333                 -nonavbar \
334                 -noqualifier all \
335                 -stylesheetfile doc/javadoc.css \
336                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc/ \
337                 edu.berkeley.fleet.api
338         javadoc \
339                 -linksource \
340                 -windowtitle "FleetCode API Documentation" \
341                 -sourcepath src \
342                 -header "<b>FleetCode API Documentation</b><br>" \
343                 -public \
344                 -notree \
345                 -nonavbar \
346                 -noqualifier all \
347                 -stylesheetfile doc/javadoc.css \
348                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc-private/ \
349                 `find src/edu/berkeley/fleet -name \*.java`
350         open http://fleet.cs.berkeley.edu/code/javadoc/
351         open http://fleet.cs.berkeley.edu/code/javadoc-private/
352
353 ## Misc ####################################################################################
354
355 clean:
356         rm -rf fleet.jar build
357         rm -f \
358           chips/marina/testCode/marina.xml \
359           chips/marina/testCode/marina.v \
360           chips/marina/testCode/marina.schematic-parasitics.spi
361
362 ## Dist ####################################################################################
363
364 #dist:
365 #       darcs record
366 #       darcs push /afs/research.cs.berkeley.edu/class/fleet/website/repos/fleet/
367 #       darcs get . --repo-name=fleet-`date +%d.%h.%y`
368 #       make -C fleet-`date +%d.%h.%y` fleet.jar
369 #       rm -rf fleet-`date +%d.%h.%y`/build
370 #       echo 'http://research.cs.berkeley.edu/class/fleet/repos/fleet/' > \
371 #         fleet-`date +%d.%h.%y`/_darcs/prefs/defaultrepo
372 #       tar cvzf fleet-`date +%d.%h.%y`.tgz fleet-`date +%d.%h.%y`
373 #       rm -rf fleet-`date +%d.%h.%y`
374 #       mv fleet-`date +%d.%h.%y`.tgz /afs/research.cs.berkeley.edu/class/fleet/website/files/
375 #       @echo
376 #       @echo
377 #       @echo   http://research.cs.berkeley.edu/class/fleet/files/fleet-`date +%d.%h.%y`.tgz
378 #       @echo
379 #       @echo
380 #
381
382 dist: fleet.jar
383         darcs dist -d fleet
384         mv fleet.tar.gz /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.tgz
385         mkdir -p .build
386         cd .build; for A in ../fleet.jar ../lib/*.jar; do jar xvf $$A; done
387         cd .build; jar cvf /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.jar .
388         rm -rf .build
389         echo
390         echo http://fleet.cs.berkeley.edu/code/snapshots/fleet-`date +%Y.%m.%d`.jar 
391         echo
392
393 # you'll probably want to change this line
394 ghc  = /usr/local/brian/ghc/compiler/ghc-inplace
395
396 ghc += -fglasgow-exts -fallow-undecidable-instances -fallow-overlapping-instances -cpp 
397 ghc += -i$(shell pwd)/build/hi/ -hidir $(shell pwd)/build/hi/ -odir $(shell pwd)/build/class/
398
399 f0: fleet.jar
400         mkdir -p build/hi build/class
401         cd lib;                        $(ghc) -c -java SBP.lhs
402         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Util.lhs
403         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Fleet.lhs
404         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Types.lhs
405         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Compile.lhs
406         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Main.lhs
407         $(java) -cp build/class:lib/HSbase.jar:lib/HSrts.jar:lib/HSstm.jar:fleet.jar Main
408
409
410 ## Targets below are for integration with Sun-Proprietary Marina Test Chip ##############################
411
412 electric_jar = ~/proj/electric/srcj/electric.jar
413 electric = java -Xss2m -XX:MaxPermSize=128m -Xmx2000m -jar ${electric_jar}
414 electric_headless = java -Xmx1500m -jar -Djava.awt.headless=true ${electric_jar} -batch
415 all_electric_files = $(shell find chips/marina/electric/ -name \*.jelib -or -path \*.delib\*)
416 modname = fakeMarinaPadframe
417
418 sun_server = simmons-tunnel
419
420 #sun_server = frehley  # electric team uses frehley
421 #sun_server = simmons
422 #sun_server = criss    # criss is used for nohupped nanosim
423 #sun_server = stanley  # stanley is used for nohupped hsim
424
425 testnum = 0
426
427 runtest: fleet.jar chips/marina/testCode/marina.xml chips/marina/testCode/marina.v chips/marina/testCode/marina.schematic-parasitics.spi
428         ${rsync} --delete ./ ${sun_server}:~/fleet/
429         time ssh -t -Y ${sun_server} 'cd ~/fleet/; make testlocal impl=${impl}'
430
431 silicon: fleet.jar chips/marina/testCode/marina.xml
432         ${rsync} --delete ./ ${sun_server}:~/fleet/
433         time ssh -t -Y ${sun_server} 'cd ~/fleet/; make siliconlocal impl=${impl}'
434
435 spice: fleet.jar
436         cp ~/omegaCounter.spi chips/marina/testCode/omegaCounter.spi
437         cp ~/omegaCounter-extracted.spi chips/marina/testCode/omegaCounter-extracted.spi
438         rsync -azre ssh --delete --progress --verbose ./ ${sun_server}:~/fleet/
439         ssh -t -Y ${sun_server} 'cd ~/fleet/chips/marina/testCode/; export PATH=/proj/async/cad/linux/bin/:$$PATH; echo -e "rcf commands\n" | hsim64 -time 90000 go.spi -o go.spi'
440
441
442 testlocal: fleet.jar
443         cd chips/marina/testCode; \
444           export PATH=/proj/async/cad/linux/bin/:$$PATH; \
445           /proj/async/cad/linux/lib/jdk1.5.0_05-linux-i586/bin/java \
446           -cp `pwd`/../../../lib/com.sun.async.test.jar:`pwd`/../../../fleet.jar \
447           -Dfleet.impl=com.sun.vlsi.chips.marina.test.Marina \
448           com.sun.vlsi.chips.marina.test.MarinaTest \
449           -hsim \
450           -testNum ${testnum} || tail -n 20 marina.spi.run
451
452 siliconlocal: fleet.jar
453         cd chips/marina/testCode; \
454           export PATH=/proj/async/cad/linux/bin/:$$PATH; \
455           /proj/async/cad/linux/lib/jdk1.5.0_05-linux-i586/bin/java \
456           -cp `pwd`/../../../lib/com.sun.async.test.jar:`pwd`/../../../fleet.jar \
457           -Djava.library.path=/proj/async/cad/test/ \
458           -Dfleet.impl=com.sun.vlsi.chips.marina.test.Marina \
459           com.sun.vlsi.chips.marina.test.MarinaTest \
460           -silicon \
461           -testNum ${testnum}
462
463 chips/marina/testCode/marina.xml \
464 chips/marina/testCode/marina.v \
465 chips/marina/testCode/marina.schematic-parasitics.spi: ${all_electric_files}
466         @echo
467         @echo == Rebuilding Netlists and XML ===========================================================
468         rm -f chips/marina/testCode/marina.v
469         rm -f chips/marina/testCode/marina.schematic-parasitics.spi
470         rm -f chips/marina/testCode/marina.xml
471         cd chips/marina/testCode; \
472           nice -n 19 ${electric_headless} -s regen.bsh ../electric/aMarinaM.jelib
473
474 electric:
475         ${electric} chips/marina/electric/aMarinaM.jelib
476
477 sync:
478         ${rsync} ${sun_server}:fleet/chips/marina/testCode/marina\*.dump ~/ || true
479         ${rsync} ${sun_server}:fleet/chips/marina/testCode/marina.spi.out ~/${modname}.out || true
480 copyin:
481         cp ~/${modname}.spi chips/marina/testCode/marina.schematic-parasitics.spi || true
482         cp ~/${modname}.v   chips/marina/testCode/marina.v || true
483
484 chips/marina/testCode/omegaCounter-extracted.spi: ${all_electric_files} chips/marina/testCode/rcx.bsh
485         @echo
486         @echo == Extracting Layout ===========================================================
487         ssh ${sun_server} 'rm -rf /tmp/am77536; mkdir /tmp/am77536'
488         cd chips/marina/testCode; \
489           nice -n 19 ${electric_headless} -s rcx.bsh ../electric/omegaCounter.jelib
490         mv chips/marina/electric/starrcxt/omegaCounter.sp $@
491