move to ml505, import Greg\'s memory controller
[fleet.git] / Makefile
1
2 ## Fleeterpreter ##############################################################################
3
4 cp                = -cp fleet.jar:lib/RXTXcomm.jar
5 java              = java -Xmx500m
6
7 run: fleet.jar; $(java) $(cp) $(interpreter_class)
8
9 ifeq ($(shell uname -o 2>/dev/null),Cygwin)
10 ps=\;
11 else
12 ps=:
13 endif
14
15 java_files = $(shell find src -name \*.java)
16 ship_files = $(shell find ships -name \*.ship)
17 fleet.jar: $(java_files) $(ship_files) src/edu/berkeley/fleet/assembler/fleet.g
18         mkdir -p build/class/edu/berkeley/fleet/assembler/
19         cp src/edu/berkeley/fleet/assembler/fleet.g build/class/edu/berkeley/fleet/assembler/
20         javac -classpath lib/RXTXcomm.jar:lib/edu.berkeley.sbp.jar -d build/class/ $(shell find src -name \*.java)
21         cd build/class/; jar xf ../../lib/edu.berkeley.sbp.jar
22         for A in `find ships -name \*.ship`;\
23           do java -cp build/class edu.berkeley.fleet.Main expand $$A;\
24           done
25         javac -classpath lib/RXTXcomm.jar:build/class${ps}lib/edu.berkeley.sbp.jar -d build/class/ `find build/java -name \*.java`
26         echo 'Main-Class: edu.berkeley.fleet.Main' > build/class/manifest
27         cd build/class/; jar cmf manifest ../../$@ .
28
29
30 ## Fpga ####################################################################################
31
32 # 32Gb ram, 4-core.     small-config:1019s  large-config:2530s
33 host = intel2950-5.eecs.berkeley.edu
34
35 # 128Gb ram, 2x4-core.  small-config:1189s  large-config:3065s
36 #host = amdr905-1.eecs.berkeley.edu
37
38 remote_ise = /tools/xilinx/10.1/ISE
39 remote_edk = /tools/xilinx/10.1/EDK
40
41 #remote_ise = /tools/xilinx/ISE9.1i_lin
42 #remote_edk = /tools/xilinx/EDK9.1i
43
44 #remote_dir = /scratch/megacz/fleet/
45 #remote_dir = /vol/hitz/home/megacz/fleet/
46 remote_dir = /tmp/megacz/fleet/
47
48 #host = mm2.millennium.berkeley.edu
49 #remote_ise = /scratch/megacz/xilinx/ise/
50 #remote_edk = /scratch/megacz/xilinx/edk/
51 #remote_dir = /scratch/megacz/fleet/
52
53
54 xilinx =  cd build/fpga;
55 xilinx += LD_LIBRARY_PATH=$$LD_LIBRARY_PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
56 xilinx += PATH=$$PATH:$(remote_ise)/bin/lin:$(remote_edk)/bin/lin
57 #xilinx += XST_VERSION=9.2i
58 xilinx += XILINX=$(remote_ise)
59 xilinx += XIL_XST_HIDEMESSAGES=hdl_and_low_levels
60 xilinx += XILINX_EDK=$(remote_edk)
61
62 xilinx_ise = $(xilinx) $(remote_ise)/bin/lin/
63 xilinx_edk = $(xilinx) $(remote_edk)/bin/lin/
64
65 # ML50X
66 speed_grade = 1
67 part = xc5vlx110t
68 package = ff1136
69 board = ml505   # ??
70
71 # ML410
72 #part = xc4vfx60
73 #package = ff1152
74 #speed_grade = 11
75 #board = ml410
76
77 # BEE2
78 #part = xc2vp70
79 #package = 7ff1704
80
81 device = ${part}${package}-${speed_grade}
82
83 upload: fleet.jar build/fpga/main.bit
84         mkdir -p build
85         chmod +x misc/program.sh
86         rsync -are ssh --progress --verbose ./ root@goliath:fleet/
87
88 build/fpga/main.bit: $(java_files) $(ship_files)
89         make fleet.jar
90         mkdir -p build/fpga
91         $(java) $(cp) edu.berkeley.fleet.fpga.Fpga build/fpga/
92         cp src/edu/berkeley/fleet/fpga/* build/fpga || true
93         for A in `find ships -name \*.ship`;\
94           do java -cp build/class edu.berkeley.fleet.Main target=fpga expand $$A;\
95           done
96         rsync -zare ssh --progress --delete --verbose ./ ${host}:${remote_dir}
97         time ssh ${host} 'make -C ${remote_dir} synth XILINX=${remote_ise} remote_ise=${remote_ise} remote_edk=${remote_edk}'
98         scp ${host}:${remote_dir}/build/fpga/main.bit build/fpga/
99
100 pcore = ${remote_edk}/hw/XilinxProcessorIPLib/pcores
101 #effort = std
102 effort = high
103 synth:
104         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/* .
105         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/mem/* .
106         cd build/fpga; ln -sf ../../src/edu/berkeley/fleet/fpga/greg/* .
107         rm -f build/fpga/main.lso
108         echo work                        >> build/fpga/main.lso
109         rm -f build/fpga/main.prj
110         cd build/fpga; for A in *.v;   do echo verilog work \""$$A"\"; done >> main.prj
111         cd build/fpga; touch main.ini
112         cd build/fpga; mkdir -p tmp
113         cd build/fpga; mkdir -p xst
114         rm -rf build/fpga/_ngo
115         skill xst_original
116
117         rm -f build/fpga/main.xst
118         echo "set -tmpdir ./tmp" >> build/fpga/main.xst
119         echo "set -xsthdpdir ./xst" >> build/fpga/main.xst
120         echo "set -xsthdpini main.ini" >> build/fpga/main.xst
121         echo -n "run" >> build/fpga/main.xst
122         echo -n " -ifn main.prj" >> build/fpga/main.xst
123         echo -n " -ifmt mixed" >> build/fpga/main.xst
124         echo -n " -ofn main" >> build/fpga/main.xst
125         echo -n " -ofmt NGC" >> build/fpga/main.xst
126         echo -n " -p ${device}" >> build/fpga/main.xst
127         echo -n " -top main" >> build/fpga/main.xst
128         echo -n " -opt_mode area" >> build/fpga/main.xst
129         echo -n " -opt_level 2" >> build/fpga/main.xst
130         echo -n " -iuc NO" >> build/fpga/main.xst
131         echo -n " -lso main.lso" >> build/fpga/main.xst
132         echo -n " -keep_hierarchy NO" >> build/fpga/main.xst
133         echo -n " -rtlview Yes" >> build/fpga/main.xst
134         echo -n " -glob_opt AllClockNets" >> build/fpga/main.xst
135         echo -n " -read_cores YES" >> build/fpga/main.xst
136         echo -n " -write_timing_constraints NO" >> build/fpga/main.xst
137         echo -n " -cross_clock_analysis YES" >> build/fpga/main.xst
138         echo -n " -hierarchy_separator /" >> build/fpga/main.xst
139         echo -n " -bus_delimiter <>" >> build/fpga/main.xst
140         echo -n " -case maintain" >> build/fpga/main.xst
141         echo -n " -slice_utilization_ratio 100" >> build/fpga/main.xst
142         echo -n " -verilog2001 YES" >> build/fpga/main.xst
143         echo -n " -fsm_extract Yes" >> build/fpga/main.xst
144         echo -n " -fsm_encoding Auto" >> build/fpga/main.xst
145         echo -n " -safe_implementation No" >> build/fpga/main.xst
146         echo -n " -fsm_style lut" >> build/fpga/main.xst
147         echo -n " -ram_extract Yes" >> build/fpga/main.xst
148         echo -n " -ram_style Auto" >> build/fpga/main.xst
149         echo -n " -rom_extract Yes" >> build/fpga/main.xst
150         echo -n " -mux_style Auto" >> build/fpga/main.xst
151         echo -n " -decoder_extract YES" >> build/fpga/main.xst
152         echo -n " -priority_extract YES" >> build/fpga/main.xst
153         echo -n " -shreg_extract YES" >> build/fpga/main.xst
154         echo -n " -shift_extract YES" >> build/fpga/main.xst
155         echo -n " -xor_collapse YES" >> build/fpga/main.xst
156         echo -n " -rom_style Auto" >> build/fpga/main.xst
157         echo -n " -mux_extract YES" >> build/fpga/main.xst
158         echo -n " -resource_sharing YES" >> build/fpga/main.xst
159         echo -n " -mult_style auto" >> build/fpga/main.xst
160         echo -n " -iobuf YES" >> build/fpga/main.xst
161         echo -n " -max_fanout 10000" >> build/fpga/main.xst
162         echo -n " -bufg 1" >> build/fpga/main.xst
163         echo -n " -register_duplication YES" >> build/fpga/main.xst
164         echo -n " -register_balancing Yes" >> build/fpga/main.xst
165         echo -n " -slice_packing Yes" >> build/fpga/main.xst
166         echo -n " -optimize_primitives Yes" >> build/fpga/main.xst
167         echo -n " -tristate2logic Yes" >> build/fpga/main.xst
168         echo -n " -use_clock_enable Yes" >> build/fpga/main.xst
169         echo -n " -use_sync_set Yes" >> build/fpga/main.xst
170         echo -n " -use_sync_reset Yes" >> build/fpga/main.xst
171         echo -n " -iob auto" >> build/fpga/main.xst
172         echo -n " -equivalent_register_removal YES" >> build/fpga/main.xst
173         echo -n " -slice_utilization_ratio_maxmargin 5" >> build/fpga/main.xst
174         echo >> build/fpga/main.xst
175
176         rm -f build/fpga/main.ut
177         echo '-w' >> build/fpga/main.ut
178         echo '-g CclkPin:PULLUP' >> build/fpga/main.ut
179         echo '-g TdoPin:PULLNONE' >> build/fpga/main.ut
180         echo '-g M1Pin:PULLDOWN' >> build/fpga/main.ut
181         echo '-g DonePin:PULLUP' >> build/fpga/main.ut
182         echo '-g DriveDone:No' >> build/fpga/main.ut
183         echo '-g StartUpClk:JTAGCLK' >> build/fpga/main.ut
184         echo '-g DONE_cycle:4' >> build/fpga/main.ut
185         echo '-g GTS_cycle:5' >> build/fpga/main.ut
186         echo '-g M0Pin:PULLUP' >> build/fpga/main.ut
187         echo '-g M2Pin:PULLUP' >> build/fpga/main.ut
188         echo '-g ProgPin:PULLUP' >> build/fpga/main.ut
189         echo '-g TckPin:PULLUP' >> build/fpga/main.ut
190         echo '-g TdiPin:PULLUP' >> build/fpga/main.ut
191         echo '-g TmsPin:PULLUP' >> build/fpga/main.ut
192         echo '-g DonePipe:No' >> build/fpga/main.ut
193         echo '-g GWE_cycle:6' >> build/fpga/main.ut
194         echo '-g LCK_cycle:NoWait' >> build/fpga/main.ut
195         echo '-g Security:NONE' >> build/fpga/main.ut
196         echo '-g Persist:No' >> build/fpga/main.ut
197
198         $(xilinx_ise)xst      -intstyle xflow -ifn main.xst -ofn main.syr < main.xst
199         $(xilinx_ise)ngdbuild -intstyle xflow -aul -dd _ngo -nt timestamp -uc main.ucf -p $(device) main.ngc main.ngd
200         $(xilinx_ise)map      -intstyle xflow -ol ${effort} -p $(device) -pr b -cm area -o main_map.ncd main.ngd main.pcf
201         $(xilinx_ise)par      -intstyle xflow -ol ${effort} -w main_map.ncd main.ncd main.pcf
202         $(xilinx_ise)bitgen   -intstyle xflow -f main.ut main.ncd
203 #       $(xilinx_ise)trce     -intstyle xflow -e 3 -l 3 -s ${speed_grade} -xml main main.ncd -o main.twr main.pcf
204 #       $(xilinx_edk)xmd -tcl $(remote_edk)/data/xmd/genace.tcl -jprog -hw main.bit -board ${board} -ace mainx.ace
205 #       mv build/fpga/mainx.ace build/fpga/main.ace   # genace throws a fit if the filename prefix is the same?
206
207
208 runserver: fleet.jar
209         java -Djava.library.path=lib -cp fleet.jar:lib/RXTXcomm.jar edu.berkeley.fleet.fpga.Server
210
211 test:     fleet.jar; $(java) -jar fleet.jar             test ships/*.ship tests
212 testfpga: fleet.jar; $(java) -jar fleet.jar target=fpga test ships/*.ship tests
213
214 ## Manual ####################################################################################
215
216 svgs    = $(shell find doc -name \*.svg)
217 %.eps: %.svg
218         DISPLAY= /Applications/Inkscape.app/Contents//Resources/bin/inkscape  -z --export-area-drawing $^ --export-eps=$@
219
220 %.pdf: %.eps
221         epstopdf $^ --outfile=$@
222
223 manual: archmanual toolmanual
224
225 archmanual: fleet.jar $(svgs:%.svg=%.pdf)
226         $(java) -jar fleet.jar doc
227         cd .tmp; ln -sf ../doc/*.bib .
228         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
229         cd .tmp; for A in *.mp; do mpost --tex=latex $$A; done
230         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex FleetTwo.Manual.tex
231         open .tmp/FleetTwo.Manual.pdf
232 toolmanual: fleet.jar $(svgs:%.svg=%.pdf)
233         $(java) -jar fleet.jar doc
234         cd .tmp; ln -sf ../doc/*.bib .
235         cd .tmp; TEXINPUTS=$$TEXINPUTS:../src/edu/berkeley/fleet/assembler/:../doc/ pdflatex toolchain.tex
236         open .tmp/toolchain.pdf
237
238 ## API docs ####################################################################################
239
240 javadoc:
241         javadoc \
242                 -linksource \
243                 -windowtitle "FleetCode API Documentation" \
244                 -sourcepath src \
245                 -header "<b>FleetCode API Documentation</b><br>" \
246                 -public \
247                 -notree \
248                 -nonavbar \
249                 -noqualifier all \
250                 -stylesheetfile doc/javadoc.css \
251                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc/ \
252                 edu.berkeley.fleet.api
253         javadoc \
254                 -linksource \
255                 -windowtitle "FleetCode API Documentation" \
256                 -sourcepath src \
257                 -header "<b>FleetCode API Documentation</b><br>" \
258                 -public \
259                 -notree \
260                 -nonavbar \
261                 -noqualifier all \
262                 -stylesheetfile doc/javadoc.css \
263                 -d /afs/research.cs.berkeley.edu/class/fleet/website/code/javadoc-private/ \
264                 `find src/edu/berkeley/fleet -name \*.java`
265         open http://fleet.cs.berkeley.edu/code/javadoc/
266         open http://fleet.cs.berkeley.edu/code/javadoc-private/
267
268 ## Misc ####################################################################################
269
270 clean:
271         rm -rf fleet.jar build
272
273 ## Dist ####################################################################################
274
275 #dist:
276 #       darcs record
277 #       darcs push /afs/research.cs.berkeley.edu/class/fleet/website/repos/fleet/
278 #       darcs get . --repo-name=fleet-`date +%d.%h.%y`
279 #       make -C fleet-`date +%d.%h.%y` fleet.jar
280 #       rm -rf fleet-`date +%d.%h.%y`/build
281 #       echo 'http://research.cs.berkeley.edu/class/fleet/repos/fleet/' > \
282 #         fleet-`date +%d.%h.%y`/_darcs/prefs/defaultrepo
283 #       tar cvzf fleet-`date +%d.%h.%y`.tgz fleet-`date +%d.%h.%y`
284 #       rm -rf fleet-`date +%d.%h.%y`
285 #       mv fleet-`date +%d.%h.%y`.tgz /afs/research.cs.berkeley.edu/class/fleet/website/files/
286 #       @echo
287 #       @echo
288 #       @echo   http://research.cs.berkeley.edu/class/fleet/files/fleet-`date +%d.%h.%y`.tgz
289 #       @echo
290 #       @echo
291 #
292
293 dist: fleet.jar
294         darcs dist -d fleet
295         mv fleet.tar.gz /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.tgz
296         mkdir -p .build
297         rm -f lib/suncvs.jar
298         cd .build; for A in ../fleet.jar ../lib/*.jar; do jar xvf $$A; done
299         cd .build; jar cvf /afs/research.cs.berkeley.edu/class/fleet/website/code/snapshots/fleet-`date +%Y.%m.%d`.jar .
300         rm -rf .build
301         echo
302         echo http://fleet.cs.berkeley.edu/code/snapshots/fleet-`date +%Y.%m.%d`.jar 
303         echo
304
305 # you'll probably want to change this line
306 ghc  = /usr/local/brian/ghc/compiler/ghc-inplace
307
308 ghc += -fglasgow-exts -fallow-undecidable-instances -fallow-overlapping-instances -cpp 
309 ghc += -i$(shell pwd)/build/hi/ -hidir $(shell pwd)/build/hi/ -odir $(shell pwd)/build/class/
310
311 f0: fleet.jar
312         mkdir -p build/hi build/class
313         cd lib;                        $(ghc) -c -java SBP.lhs
314         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Util.lhs
315         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Fleet.lhs
316         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Types.lhs
317         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Compile.lhs
318         cd src/edu/berkeley/fleet/f0/; $(ghc) -fglasgow-exts -cpp $(hflags)    -java Main.lhs
319         $(java) -cp build/class:lib/HSbase.jar:lib/HSrts.jar:lib/HSstm.jar:fleet.jar Main
320
321
322 ## Targets below are for integration with Sun-Proprietary Marina Test Chip ##############################
323
324 cleansuncvs:
325         rm -rf lib/suncvs.jar suncvs
326
327 lib/suncvs.jar: fleet.jar suncvs/marina suncvs/test
328         rm -rf suncvs/test/manuals
329         mkdir -p suncvs/build
330         cd suncvs; javac -cp ../fleet.jar:test/javamake.jar:test/jcommon.jar:test/jfreechart.jar -d build `find . -name \*.java`
331         cd suncvs/build; jar cvf ../../lib/suncvs.jar .
332         cd suncvs/test; jar uvf ../../lib/suncvs.jar .
333
334 sun_server = frehley
335 #sun_server = simmons
336
337 runtest: fleet.jar
338         rm lib/suncvs.jar; make lib/suncvs.jar
339         rm -f suncvs/marina/testSims/isolatedInDock.spi.run
340         ssh ${sun_server} 'skill nanosim'
341         rsync -are ssh --delete --progress --verbose ./ ${sun_server}:~/fleet/
342         ssh ${sun_server} 'export PATH=$$PATH:/proj/async/cad/linux/bin/; cd ~/fleet/suncvs/marina/testSims; ln -s ../testCode/marina.xml ../testCode/marina.spi ../testCode/cfg .; /proj/async/cad/linux/lib/jdk1.5.0_05-linux-i586/bin/java  -cp $$HOME/fleet/fleet.jar:$$HOME/fleet/lib/suncvs.jar com.sun.vlsi.chips.marina.test.MarinaTest -testNum 3021'
343
344 electric:
345         rsync -are ssh --progress --verbose ${sun_server}:fleet/suncvs/marina/testSims/marina.spi.out ~/marina.spi.out
346         java -Xmx900m -jar /Applications/electric.jar suncvs/marina/electric/marinaL.jelib
347
348 suncvs/test:
349         mkdir -p suncvs
350         cd suncvs; cvs -d ${sun_server}:/import/async/cad/cvs co test
351
352 suncvs/marina:
353         mkdir -p suncvs
354         cd suncvs; cvs -d ${sun_server}:/import/async/cad/cvs co marina
355
356
357 syncspi:
358         rsync -are ssh --progress --verbose frehley:fleet/suncvs/marina/testSims/marina.spi.out ~/marina.spi.out