add results from actual silicon in marina/results/
[fleet.git] / chips / marina / electric / orangeTSMC090nm_pads.jelib
1 # header information:
2 HorangeTSMC090nm_pads|8.09i|USER_electrical_units()I70464
3
4 # Views:
5 Vicon|ic
6 Vlayout|lay
7 Vschematic|sch
8
9 # Tools:
10 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
11 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
12 OGateLayoutGenerator|enableNCC()SPurpleFour
13 OSTA|GlobalSDCCommands()S"\n### clock setup\ncreate_clock -period 0.400 -name clk -waveform \"0 0.200\" clk\nset_clock_uncertainty -setup 0.015 clk\nset_clock_uncertainty -hold 0.015 clk\nset_propagated_clock clk\nset_clock_transition -rise 0.025 clk\nset_clock_transition -fall 0.025 clk\n#set_driving_cell -lib_cell inv_X008_0 clk\n"
14
15 # Technologies:
16 Tartwork|SelectedFoundryForartwork()S""
17 Tcmos90|MininumCapacitanceINcmos90()D0.0|MininumResistanceINcmos90()D0.0
18 Tmocmosold|CapacitanceParasiticForD-ActiveINmocmosold()D0.10000000149011612|CapacitanceParasiticForMetal-1INmocmosold()D0.029999999329447746|CapacitanceParasiticForMetal-2INmocmosold()D0.029999999329447746|CapacitanceParasiticForPolysiliconINmocmosold()D0.03999999910593033|CapacitanceParasiticForS-ActiveINmocmosold()D0.10000000149011612|ResistanceParasiticForMetal-1INmocmosold()D0.029999999329447746|ResistanceParasiticForMetal-2INmocmosold()D0.029999999329447746
19 Tmocmossub|CapacitanceParasiticForMetal-1INmocmossub()D0.07000000029802322|CapacitanceParasiticForMetal-2INmocmossub()D0.03999999910593033|CapacitanceParasiticForMetal-3INmocmossub()D0.03999999910593033|CapacitanceParasiticForMetal-4INmocmossub()D0.03999999910593033|CapacitanceParasiticForMetal-5INmocmossub()D0.03999999910593033|CapacitanceParasiticForMetal-6INmocmossub()D0.03999999910593033|CapacitanceParasiticForN-ActiveINmocmossub()D0.8999999761581421|CapacitanceParasiticForP-ActiveINmocmossub()D0.8999999761581421|CapacitanceParasiticForPolysilicon-1INmocmossub()D0.09000000357627869|ResistanceParasiticForMetal-1INmocmossub()D0.05999999865889549|ResistanceParasiticForMetal-2INmocmossub()D0.05999999865889549|ResistanceParasiticForMetal-3INmocmossub()D0.05999999865889549|ResistanceParasiticForMetal-4INmocmossub()D0.029999999329447746|ResistanceParasiticForMetal-5INmocmossub()D0.029999999329447746|ResistanceParasiticForMetal-6INmocmossub()D0.029999999329447746|ResistanceParasiticForPoly-CutINmocmossub()D2.200000047683716|ResistanceParasiticForVia2INmocmossub()D0.8999999761581421|ResistanceParasiticForVia3INmocmossub()D0.800000011920929|ResistanceParasiticForVia4INmocmossub()D0.800000011920929|ResistanceParasiticForVia5INmocmossub()D0.800000011920929
20 Tnmos|CapacitanceParasiticForDiffusionINnmos()D0.10000000149011612|CapacitanceParasiticForMetalINnmos()D0.029999999329447746|CapacitanceParasiticForPolysiliconINnmos()D0.03999999910593033|ResistanceParasiticForMetalINnmos()D0.029999999329447746
21 Ttft|CIFLayerForPentINtft()SCEL|CIFLayerForVia1INtft()SCAA|CIFLayerForlay1INtft()SCPI|CIFLayerForlay2INtft()SCNI|CIFLayerForlay3INtft()SCDP|CIFLayerForlay4INtft()SCDN|MininumResistanceINtft()D10.0|SelectedFoundryFortft()SMOSIS
22
23 # Cell LEload;1{ic}
24 CLEload;1{ic}||artwork|1083966364000|1204183998562|E|ATTR_L(D5G1;HOLPUDX0.5;)S100|ATTR_LEWIRE(D5G1;HPT)I1|ATTR_layer(D5G1;HNOLPY-1;)S1|ATTR_width(D5G1;HNOLPY-2;)S3|prototype_center()I[0,0]
25 Ngeneric:Facet-Center|art@0||0|0||||AV
26 NPin|pin@0||-2|1|1|1||
27 NPin|pin@1||2|1|1|1||
28 NPin|pin@2||2|-1|1|1||
29 NPin|pin@3||-2|-1|1|1||
30 Nschematic:Bus_Pin|pin@5||-3|0|-1|-1||
31 NPin|pin@6||-2|0|1|1||
32 NPin|pin@7||-3|0|1|1||
33 AThicker|net@0|||FS0|pin@1||2|1|pin@0||-2|1|ART_color()I74
34 AThicker|net@1|||FS0|pin@2||2|-1|pin@3||-2|-1|ART_color()I74
35 AThicker|net@3|||FS900|pin@0||-2|1|pin@3||-2|-1|ART_color()I74
36 AThicker|net@4|||FS900|pin@1||2|1|pin@2||2|-1|ART_color()I74
37 AThicker|net@5|||FS0|pin@6||-2|0|pin@7||-3|0|ART_color()I74
38 Ea||D5G2;|pin@5||B
39 X
40
41 # Cell LEload;1{sch}
42 CLEload;1{sch}||schematic|1083965121000|1176245140811||ATTR_L(D5FLeave alone;G1;HNOLPUDX-20.5;Y-6.5;)S100|ATTR_LEWIRE(D5G1;HNPTX-20.5;Y-9.5;)I1|ATTR_layer(D5FLeave alone;G1;HNOLPX-20.5;Y-7.5;)S1|ATTR_width(D5FLeave alone;G1;HNOLPX-20.5;Y-8.5;)S3|prototype_center()I[0,0]
43 Ngeneric:Facet-Center|art@0||0|0||||AV
44 NOff-Page|conn@0||-23|-1||||
45 Ngeneric:Invisible-Pin|pin@0||-4|6|||||ART_message(BD5G2;)SLEload
46 Ngeneric:Invisible-Pin|pin@3||-9|2|||||ART_message(D6G1;)S["wire in layer 'layer', 'L' lambda long,","'width' lambda wide, for the 180nm tech"]
47 ILEload;1{ic}|wire180@0||12|6.63|||D0G4;|ATTR_L(D5G1;OLPUDX0.5;)S100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NOLPY-1;)S1|ATTR_width(D5G1;NOLPY-2;)S3
48 ILEload_sub;1{ic}|wire@0||-10.5|-1|||D0G4;|ATTR_LEWIRECAP(D5G1;NOJTUDX0.5;Y-1.5;)S((@layer==0?15:@layer<6?25:30)+(@width-3))*1e-18*@L
49 Awire|net@0|||0|wire@0|a|-14.5|-1|conn@0|y|-21|-1
50 Ea||D4G2;|conn@0|a|B
51 X
52
53 # Cell LEload_sub;1{ic}
54 CLEload_sub;1{ic}||artwork|1083964052000|1176245054736|E|prototype_center()I[0,0]
55 Ngeneric:Facet-Center|art@0||0|0||||AV
56 NThick-Circle|art@1||-2|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
57 NThick-Circle|art@2||2|0|1.5|1.5|||ART_color()I74
58 NPin|pin@0||-2.75|0|1|1||
59 NPin|pin@1||-4|0||||
60 NPin|pin@4||-2|0.75|1|1||
61 NPin|pin@5||2|0.75|1|1||
62 NPin|pin@6||2|-0.75|1|1||
63 NPin|pin@7||-2|-0.75|1|1||
64 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
65 Ngeneric:Invisible-Pin|pin@10||0|0|||||ART_message(D5G1;)SLEload_sub
66 AThicker|net@0|||IJS0|pin@0||-2.75|0|pin@1||-4|0|ART_color()I74
67 AThicker|net@2|||IJS0|pin@5||2|0.75|pin@4||-2|0.75|ART_color()I74
68 AThicker|net@3|||IJS0|pin@6||2|-0.75|pin@7||-2|-0.75|ART_color()I74
69 Ea||D5G2;|pin@9||U
70 X
71
72 # Cell LEload_sub;1{sch}
73 CLEload_sub;1{sch}||schematic|1083961993000|1176245054736||prototype_center()I[0,0]
74 Ngeneric:Facet-Center|art@0||0|0||||AV
75 NOff-Page|conn@1||-7.5|3||||
76 Ngeneric:Invisible-Pin|pin@5||-1|22|||||ART_message(D5G6;)SLEload_sub
77 NWire_Pin|pin@12||8|3||||
78 ILEload_sub;1{ic}|wire@0||22.5|23.5|||D0G4;
79 Awire|a|D5G1.5;||1800|conn@1|y|-5.5|3|pin@12||8|3|ART_color()I0
80 Ea||D4G2;|conn@1|a|U
81 X
82
83 # Cell NMOS4f;1{ic}
84 CNMOS4f;1{ic}||artwork|1021415734000|1217455595839|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5G1;HNOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|ATTR_goop(D5G1;HNOLPX2.75;Y-3.25;)S1|prototype_center()I[0,0]
85 Ngeneric:Facet-Center|art@0||0|0||||AV
86 NPin|pin@0||-0.25|-0.75||||
87 NPin|pin@1||-0.25|-0.25||||
88 NPin|pin@2||-0.75|-0.5|1|1|RR|
89 NPin|pin@3||0|-0.5|||RR|
90 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
91 Ngeneric:Invisible-Pin|pin@5||0|-2||||
92 NPin|pin@6||-1.5|0|1|1|RR|
93 NPin|pin@7||-3|0|||RR|
94 Nschematic:Bus_Pin|pin@8||-3|0|-2|-2||
95 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
96 NPin|pin@10||0|-2||||
97 NPin|pin@11||-1.5|1|1|1||
98 NPin|pin@12||-1.5|-1|1|1||
99 NPin|pin@13||0|-1||||
100 NPin|pin@14||-0.75|-1|1|1||
101 NPin|pin@15||-0.75|1|1|1||
102 NPin|pin@16||0|1||||
103 NPin|pin@17||0|2||||
104 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
105 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
106 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
107 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
108 AThicker|net@4|||FS1800|pin@7||-3|0|pin@6||-1.5|0|ART_color()I74
109 AThicker|net@5|||FS900|pin@11||-1.5|1|pin@12||-1.5|-1|ART_color()I74
110 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
111 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
112 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
113 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
114 Eb||D5G1;|pin@4||B
115 Ed||D5G1;|pin@9||B
116 Eg||D5G1;|pin@8||I
117 Es||D5G1;|pin@5||B
118 X
119
120 # Cell NMOS4f;1{sch}
121 CNMOS4f;1{sch}||schematic|1021415734000|1217455606316||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_goop(D5G1;HNOLPX-18;Y-14.5;)S1|ATTR_CDL_template(D5G1;NTX-3.5;Y-24;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))' M='$(goop)'|ATTR_SPICE_template_calibre(D5G1;NTY-26;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)' L='$(L)' M='$(goop)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
122 INMOS4f;1{ic}|NMOS4f@0||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
123 Ngeneric:Facet-Center|art@0||0|0||||AV
124 NOff-Page|conn@0||4.5|-7.5||||
125 NOff-Page|conn@1||4.5|-12.5||||
126 NOff-Page|conn@2||4.5|0||||
127 NOff-Page|conn@3||-18.5|-6.5||||
128 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch
129 Ngeneric:Invisible-Pin|pin@0||-2|7.5|||||ART_message(D5G3;)S4-terminal standard-threshold NMOS device
130 NWire_Pin|pin@1||0|-12.5||||
131 NWire_Pin|pin@2||0|0||||
132 Ngeneric:Invisible-Pin|pin@3||-1.5|13|||||ART_message(D5G6;)S[NMOS4f]
133 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
134 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
135 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
136 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
137 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
138 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
139 Eb||D5G2;|conn@0|y|B
140 Ed||D5G2;|conn@2|y|B
141 Eg||D5G2;|conn@3|a|I
142 Es||D5G2;|conn@1|y|B
143 X
144
145 # Cell NMOS4f_high;1{ic}
146 CNMOS4f_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|prototype_center()I[0,0]
147 Ngeneric:Facet-Center|art@0||0|0||||AV
148 NPin|pin@0||-0.25|-0.75||||
149 NPin|pin@1||-0.25|-0.25||||
150 NPin|pin@2||-0.75|-0.5|1|1|RR|
151 NPin|pin@3||0|-0.5|||RR|
152 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
153 Ngeneric:Invisible-Pin|pin@5||0|-2||||
154 NPin|pin@6||-2|0|1|1|RR|
155 NPin|pin@7||-3.5|0|||RR|
156 Nschematic:Bus_Pin|pin@8||-3.5|0|-2|-2||
157 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
158 NPin|pin@10||0|-2||||
159 NPin|pin@11||-2|1|1|1||
160 NPin|pin@12||-2|-1|1|1||
161 NPin|pin@13||0|-1||||
162 NPin|pin@14||-0.75|-1|1|1||
163 NPin|pin@15||-0.75|1|1|1||
164 NPin|pin@16||0|1||||
165 NPin|pin@17||0|2||||
166 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
167 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
168 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
169 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
170 AThicker|net@4|||FS1800|pin@7||-3.5|0|pin@6||-2|0|ART_color()I74
171 AThicker|net@5|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I74
172 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
173 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
174 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
175 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
176 Eb||D5G1;|pin@4||B
177 Ed||D5G1;|pin@9||B
178 Eg||D5G1;|pin@8||I
179 Es||D5G1;|pin@5||B
180 X
181
182 # Cell NMOS4f_high;1{sch}
183 CNMOS4f_high;1{sch}||schematic|1021415734000|1159313234499||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_CDL_template(D5G1;NTX-6.5;Y-26;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-5.5;Y-23.75;)StransistorType VTH-N-Transistor|ATTR_SPICE_template(D5G1;NTX-4.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-6;Y-28;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
184 INMOS4f_high;1{ic}|NMOS4f@0||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
185 Ngeneric:Facet-Center|art@0||0|0||||AV
186 NOff-Page|conn@0||4.5|-7.5||||
187 NOff-Page|conn@1||4.5|-12.5||||
188 NOff-Page|conn@2||4.5|0||||
189 NOff-Page|conn@3||-18.5|-6.5||||
190 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_hvt
191 Ngeneric:Invisible-Pin|pin@0||-2|7.5|||||ART_message(D5G3;)S4-terminal high-threshold NMOS device
192 NWire_Pin|pin@1||0|-12.5||||
193 NWire_Pin|pin@2||0|0||||
194 Ngeneric:Invisible-Pin|pin@3||-1.5|13|||||ART_message(D5G6;)SNMOS4f_high
195 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
196 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
197 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
198 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
199 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
200 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
201 Eb||D5G2;|conn@0|y|B
202 Ed||D5G2;|conn@2|y|B
203 Eg||D5G2;|conn@3|a|I
204 Es||D5G2;|conn@1|y|B
205 X
206
207 # Cell NMOS4f_io18;1{ic}
208 CNMOS4f_io18;1{ic}||artwork|1021415734000|1204528157020|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;HNPX1.75;Y0.75;)I3|ATTR_goop(D5G1;HNPX2.75;Y-3.25;)I1|prototype_center()I[0,0]
209 Ngeneric:Facet-Center|art@0||0|0||||AV
210 NPin|pin@0||-0.25|-0.75||||
211 NPin|pin@1||-0.25|-0.25||||
212 NPin|pin@2||-0.75|-0.5|1|1|RR|
213 NPin|pin@3||0|-0.5|||RR|
214 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
215 Ngeneric:Invisible-Pin|pin@5||0|-2||||
216 NPin|pin@6||-2|0|1|1|RR|
217 NPin|pin@7||-3.5|0|||RR|
218 Nschematic:Bus_Pin|pin@8||-3.5|0|-2|-2||
219 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
220 NPin|pin@10||0|-2||||
221 NPin|pin@11||-2|1|1|1||
222 NPin|pin@12||-2|-1|1|1||
223 NPin|pin@13||0|-1||||
224 NPin|pin@14||-0.75|-1|1|1||
225 NPin|pin@15||-0.75|1|1|1||
226 NPin|pin@16||0|1||||
227 NPin|pin@17||0|2||||
228 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S1.8V
229 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
230 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
231 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
232 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
233 AThicker|net@4|||FS1800|pin@7||-3.5|0|pin@6||-2|0|ART_color()I74
234 AThicker|net@5|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I74
235 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
236 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
237 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
238 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
239 Eb||D5G1;|pin@4||B
240 Ed||D5G1;|pin@9||B
241 Eg||D5G1;|pin@8||I
242 Es||D5G1;|pin@5||B
243 X
244
245 # Cell NMOS4f_io18;1{sch}
246 CNMOS4f_io18;1{sch}||schematic|1021415734000|1217450409910||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNPX-18;Y-11.5;)S4|ATTR_W(D5FLeave alone;G1;HNPX-18;Y-10.5;)I3|ATTR_goop(D5G1;HNPX-18.25;Y-13.5;)I1|ATTR_CDL_template(D5G1;NTX-1.5;Y-25.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_NCC(D5G1;NTX-2.5;Y-23.5;)StransistorType  OD18-N-Transistor|ATTR_SPICE_template(D5G1;NTY-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))' M='$(goop)'|ATTR_SPICE_template_calibre(D5G1;NTX-1;Y-27.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)' L='$(L)' M='$(goop)'|ATTR_verilog_template(D5G1;NTX-4;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
247 INMOS4f_io18;1{ic}|NMOS4f@0||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;NPX1.75;Y0.75;)I3
248 Ngeneric:Facet-Center|art@0||0|0||||AV
249 NOff-Page|conn@0||4.5|-7.5||||
250 NOff-Page|conn@1||4.5|-12.5||||
251 NOff-Page|conn@2||4.5|0||||
252 NOff-Page|conn@3||-18.5|-6.5||||
253 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OJX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OJX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Snch_18
254 Ngeneric:Invisible-Pin|pin@0||-2|13.5|||||ART_message(D5G2;)S4-terminal NMOS device for 1.8V I/O pads
255 NWire_Pin|pin@1||0|-12.5||||
256 NWire_Pin|pin@2||0|0||||
257 Ngeneric:Invisible-Pin|pin@3||-1.5|19|||||ART_message(D5G6;)SNMOS4f_io18
258 Ngeneric:Invisible-Pin|pin@5||-2.25|8.5|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 4
259 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
260 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
261 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
262 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
263 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
264 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
265 Eb||D5G2;|conn@0|y|B
266 Ed||D5G2;|conn@2|y|B
267 Eg||D5G2;|conn@3|a|I
268 Es||D5G2;|conn@1|y|B
269 X
270
271 # Cell NMOS4f_io25;1{ic}
272 CNMOS4f_io25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S5.6|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|prototype_center()I[0,0]
273 Ngeneric:Facet-Center|art@0||0|0||||AV
274 NPin|pin@0||-0.25|-0.75||||
275 NPin|pin@1||-0.25|-0.25||||
276 NPin|pin@2||-0.75|-0.5|1|1|RR|
277 NPin|pin@3||0|-0.5|||RR|
278 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
279 Ngeneric:Invisible-Pin|pin@5||0|-2||||
280 NPin|pin@6||-2|0|1|1|RR|
281 NPin|pin@7||-3.5|0|||RR|
282 Nschematic:Bus_Pin|pin@8||-3.5|0|-2|-2||
283 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
284 NPin|pin@10||0|-2||||
285 NPin|pin@11||-2|1|1|1||
286 NPin|pin@12||-2|-1|1|1||
287 NPin|pin@13||0|-1||||
288 NPin|pin@14||-0.75|-1|1|1||
289 NPin|pin@15||-0.75|1|1|1||
290 NPin|pin@16||0|1||||
291 NPin|pin@17||0|2||||
292 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S2.5V
293 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
294 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
295 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
296 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
297 AThicker|net@4|||FS1800|pin@7||-3.5|0|pin@6||-2|0|ART_color()I74
298 AThicker|net@5|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I74
299 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
300 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
301 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
302 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
303 Eb||D5G1;|pin@4||B
304 Ed||D5G1;|pin@9||B
305 Eg||D5G1;|pin@8||I
306 Es||D5G1;|pin@5||B
307 X
308
309 # Cell NMOS4f_io25;1{sch}
310 CNMOS4f_io25;1{sch}||schematic|1021415734000|1159313192055||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_CDL_template(D5G1;NTX-1;Y-26;)SM$(node_name) $(d) $(g) $(s) $(b) nch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-1.5;Y-23.5;)StransistorType  OD25-N-Transistor|ATTR_SPICE_template(D5G1;NTX2.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_25 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-0.5;Y-28;)SM$(node_name) $(d) $(g) $(s) $(b) nch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_25 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
311 INMOS4f_io25;1{ic}|NMOS4f_i@1||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S5.6|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
312 Ngeneric:Facet-Center|art@0||0|0||||AV
313 NOff-Page|conn@0||4.5|-7.5||||
314 NOff-Page|conn@1||4.5|-12.5||||
315 NOff-Page|conn@2||4.5|0||||
316 NOff-Page|conn@3||-18.5|-6.5||||
317 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3.5;)Snch_25
318 Ngeneric:Invisible-Pin|pin@0||-2|13.5|||||ART_message(D5G2;)S4-terminal NMOS device for 2.5V I/O pads
319 NWire_Pin|pin@1||0|-12.5||||
320 NWire_Pin|pin@2||0|0||||
321 Ngeneric:Invisible-Pin|pin@3||-1.5|19|||||ART_message(D5G6;)SNMOS4f_io25
322 Ngeneric:Invisible-Pin|pin@4||-2|8.5|||||ART_message(D5G2;)Sminimum length for 2.5V thick-oxide devices is 5.6
323 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
324 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
325 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
326 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
327 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
328 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
329 Eb||D5G2;|conn@0|y|B
330 Ed||D5G2;|conn@2|y|B
331 Eg||D5G2;|conn@3|a|I
332 Es||D5G2;|conn@1|y|B
333 X
334
335 # Cell NMOS4f_io33;1{ic}
336 CNMOS4f_io33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S7.6|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|prototype_center()I[0,0]
337 Ngeneric:Facet-Center|art@0||0|0||||AV
338 NPin|pin@0||-0.25|-0.75||||
339 NPin|pin@1||-0.25|-0.25||||
340 NPin|pin@2||-0.75|-0.5|1|1|RR|
341 NPin|pin@3||0|-0.5|||RR|
342 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
343 Ngeneric:Invisible-Pin|pin@5||0|-2||||
344 NPin|pin@6||-2|0|1|1|RR|
345 NPin|pin@7||-3.5|0|||RR|
346 Nschematic:Bus_Pin|pin@8||-3.5|0|-2|-2||
347 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
348 NPin|pin@10||0|-2||||
349 NPin|pin@11||-2|1|1|1||
350 NPin|pin@12||-2|-1|1|1||
351 NPin|pin@13||0|-1||||
352 NPin|pin@14||-0.75|-1|1|1||
353 NPin|pin@15||-0.75|1|1|1||
354 NPin|pin@16||0|1||||
355 NPin|pin@17||0|2||||
356 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S3.3V
357 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
358 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
359 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
360 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
361 AThicker|net@4|||FS1800|pin@7||-3.5|0|pin@6||-2|0|ART_color()I74
362 AThicker|net@5|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I74
363 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
364 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
365 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
366 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
367 Eb||D5G1;|pin@4||B
368 Ed||D5G1;|pin@9||B
369 Eg||D5G1;|pin@8||I
370 Es||D5G1;|pin@5||B
371 X
372
373 # Cell NMOS4f_io33;1{sch}
374 CNMOS4f_io33;1{sch}||schematic|1021415734000|1159313151805||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_CDL_template(D5G1;NTX-3.5;Y-26;)SM$(node_name) $(d) $(g) $(s) $(b) nch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-5;Y-24;)StransistorType  OD33-N-Transistor|ATTR_SPICE_template(D5G1;NTX-1.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_33 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-3;Y-28;)SM$(node_name) $(d) $(g) $(s) $(b) nch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_33 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
375 INMOS4f_io33;1{ic}|NMOS4f_i@3||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S7.6|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
376 Ngeneric:Facet-Center|art@0||0|0||||AV
377 NOff-Page|conn@0||4.5|-7.5||||
378 NOff-Page|conn@1||4.5|-12.5||||
379 NOff-Page|conn@2||4.5|0||||
380 NOff-Page|conn@3||-18.5|-6.5||||
381 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4;)Snch_33
382 Ngeneric:Invisible-Pin|pin@0||-2|13.5|||||ART_message(D5G2;)S4-terminal NMOS device for 3.3V I/O pads
383 NWire_Pin|pin@1||0|-12.5||||
384 NWire_Pin|pin@2||0|0||||
385 Ngeneric:Invisible-Pin|pin@3||-1.5|19|||||ART_message(D5G6;)SNMOS4f_io33
386 Ngeneric:Invisible-Pin|pin@4||-2|8.5|||||ART_message(D5G2;)Sminimum length for 3.3V thick-oxide devices is 7.6
387 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
388 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
389 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
390 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
391 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
392 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
393 Eb||D5G2;|conn@0|y|B
394 Ed||D5G2;|conn@2|y|B
395 Eg||D5G2;|conn@3|a|I
396 Es||D5G2;|conn@1|y|B
397 X
398
399 # Cell NMOS4f_low;1{ic}
400 CNMOS4f_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|prototype_center()I[0,0]
401 Ngeneric:Facet-Center|art@0||0|0||||AV
402 NPin|pin@0||-0.25|-0.75||||
403 NPin|pin@1||-0.25|-0.25||||
404 NPin|pin@2||-0.75|-0.5|1|1|RR|
405 NPin|pin@3||0|-0.5|||RR|
406 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
407 Ngeneric:Invisible-Pin|pin@5||0|-2||||
408 NPin|pin@6||-1|0|1|1|RR|
409 NPin|pin@7||-2.5|0|||RR|
410 Nschematic:Bus_Pin|pin@8||-2.5|0|-2|-2||
411 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
412 NPin|pin@10||0|-2||||
413 NPin|pin@11||-1|1|1|1||
414 NPin|pin@12||-1|-1|1|1||
415 NPin|pin@13||0|-1||||
416 NPin|pin@14||-0.75|-1|1|1||
417 NPin|pin@15||-0.75|1|1|1||
418 NPin|pin@16||0|1||||
419 NPin|pin@17||0|2||||
420 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
421 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
422 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
423 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
424 AThicker|net@4|||FS1800|pin@7||-2.5|0|pin@6||-1|0|ART_color()I74
425 AThicker|net@5|||FS900|pin@11||-1|1|pin@12||-1|-1|ART_color()I74
426 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
427 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
428 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
429 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
430 Eb||D5G1;|pin@4||B
431 Ed||D5G1;|pin@9||B
432 Eg||D5G1;|pin@8||I
433 Es||D5G1;|pin@5||B
434 X
435
436 # Cell NMOS4f_low;1{sch}
437 CNMOS4f_low;1{sch}||schematic|1021415734000|1159313276571||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_CDL_template(D5G1;NTX-4;Y-25.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-3.75;Y-23.5;)StransistorType VTL-N-Transistor|ATTR_SPICE_template(D5G1;NTX-3.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-3.5;Y-27.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
438 INMOS4f_low;1{ic}|NMOS4f@0||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S2|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
439 Ngeneric:Facet-Center|art@0||0|0||||AV
440 NOff-Page|conn@0||4.5|-7.5||||
441 NOff-Page|conn@1||4.5|-12.5||||
442 NOff-Page|conn@2||4.5|0||||
443 NOff-Page|conn@3||-18.5|-6.5||||
444 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_lvt
445 Ngeneric:Invisible-Pin|pin@0||-2|8.5|||||ART_message(D5G3;)S4-terminal low-threshold NMOS device
446 NWire_Pin|pin@1||0|-12.5||||
447 NWire_Pin|pin@2||0|0||||
448 Ngeneric:Invisible-Pin|pin@3||-1.5|14|||||ART_message(D5G6;)SNMOS4f_low
449 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
450 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
451 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
452 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
453 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
454 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
455 Eb||D5G2;|conn@0|y|B
456 Ed||D5G2;|conn@2|y|B
457 Eg||D5G2;|conn@3|a|I
458 Es||D5G2;|conn@1|y|B
459 X
460
461 # Cell NMOS4f_native;1{ic}
462 CNMOS4f_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S10|prototype_center()I[0,0]
463 Ngeneric:Facet-Center|art@0||0|0||||AV
464 NPin|pin@0||-0.25|-0.75||||
465 NPin|pin@1||-0.25|-0.25||||
466 NPin|pin@2||-0.75|-0.5|1|1|RR|
467 NPin|pin@3||0|-0.5|||RR|
468 Ngeneric:Invisible-Pin|pin@4||0|-0.5||||
469 Ngeneric:Invisible-Pin|pin@5||0|-2||||
470 NPin|pin@6||-0.75|0|1|1|RR|
471 NPin|pin@7||-2.5|0|||RR|
472 Nschematic:Bus_Pin|pin@8||-2.5|0|-2|-2||
473 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
474 NPin|pin@10||0|-2||||
475 NPin|pin@13||0|-1||||
476 NPin|pin@14||-0.75|-1|1|1||
477 NPin|pin@15||-0.75|1|1|1||
478 NPin|pin@16||0|1||||
479 NPin|pin@17||0|2||||
480 AThicker|net@0|||FS2250|pin@0||-0.25|-0.75|pin@3||0|-0.5|ART_color()I74
481 AThicker|net@1|||FS1350|pin@1||-0.25|-0.25|pin@3||0|-0.5|ART_color()I74
482 AThicker|net@2|||FS1800|pin@2||-0.75|-0.5|pin@3||0|-0.5|ART_color()I74
483 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
484 AThicker|net@4|||FS1800|pin@7||-2.5|0|pin@6||-0.75|0|ART_color()I74
485 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
486 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
487 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
488 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
489 Eb||D5G1;|pin@4||B
490 Ed||D5G1;|pin@9||B
491 Eg||D5G1;|pin@8||I
492 Es||D5G1;|pin@5||B
493 X
494
495 # Cell NMOS4f_native;1{sch}
496 CNMOS4f_native;1{sch}||schematic|1021415734000|1158099366852||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S10|ATTR_CDL_template(D5G1;NTX-6;Y-25.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-5;Y-23.5;)StransistorType  NT-N-Transistor|ATTR_SPICE_template(D5G1;NTX-3.5;Y-19.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-5.5;Y-27.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-6;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
497 INMOS4f_native;1{ic}|NMOS4f@0||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S10
498 Ngeneric:Facet-Center|art@0||0|0||||AV
499 NOff-Page|conn@0||4.5|-7.5||||
500 NOff-Page|conn@1||4.5|-12.5||||
501 NOff-Page|conn@2||4.5|0||||
502 NOff-Page|conn@3||-12.5|-6.5||||
503 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-4;)Snch_na
504 Ngeneric:Invisible-Pin|pin@0||-2|15.5|||||ART_message(D5G2;)S4-terminal native-threshold NMOS device
505 NWire_Pin|pin@1||0|-12.5||||
506 NWire_Pin|pin@2||0|0||||
507 Ngeneric:Invisible-Pin|pin@3||-1.5|22|||||ART_message(D5G6;)SNMOS4f_native
508 Ngeneric:Invisible-Pin|pin@4||-2.5|9|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=4"]
509 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-10.5|-6.5
510 Awire|net@1|||1800|nmos4p@0|b|0|-7.5|conn@0|a|2.5|-7.5
511 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
512 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
513 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
514 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
515 Eb||D5G2;|conn@0|y|B
516 Ed||D5G2;|conn@2|y|B
517 Eg||D5G2;|conn@3|a|I
518 Es||D5G2;|conn@1|y|B
519 X
520
521 # Cell NMOS4fwk;1{ic}
522 CNMOS4fwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
523 Ngeneric:Facet-Center|art@0||0|0||||AV
524 NPin|pin@0||0|2||||
525 NPin|pin@1||0|0.75||||
526 NPin|pin@2||-0.75|0.75|1|1||
527 NPin|pin@3||-0.75|-0.75|1|1||
528 NPin|pin@4||0|-0.75||||
529 NPin|pin@5||-1.25|-0.75|1|1||
530 NPin|pin@6||-1.25|0.75|1|1||
531 NPin|pin@7||0|-2||||
532 Nschematic:Bus_Pin|pin@8||0|2|-2|-2||
533 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
534 NPin|pin@10||-3|0|||RR|
535 NPin|pin@11||-1.25|0|1|1|RR|
536 Ngeneric:Invisible-Pin|pin@12||0|-2||||
537 Ngeneric:Invisible-Pin|pin@13||-0.5|0|||||ART_message(D5G1;)S[wk]
538 NPin|pin@14||0|-0.5||||
539 NPin|pin@15||-0.75|-0.5|1|1||
540 NPin|pin@16||-0.5|-0.75|1|1|YRR|
541 NPin|pin@17||-0.75|-0.5|1|1|Y|
542 NPin|pin@18||-0.75|-0.5|1|1||
543 NPin|pin@19||-0.5|-0.25|1|1|RR|
544 Nschematic:Bus_Pin|pin@20||0|-0.5||||
545 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|0.75|ART_color()I74
546 AThicker|net@1|||FS0|pin@1||0|0.75|pin@2||-0.75|0.75|ART_color()I74
547 AThicker|net@2|||FS1800|pin@3||-0.75|-0.75|pin@4||0|-0.75|ART_color()I74
548 AThicker|net@3|||FS900|pin@4||0|-0.75|pin@7||0|-2|ART_color()I74
549 AThicker|net@4|||FS900|pin@6||-1.25|0.75|pin@5||-1.25|-0.75|ART_color()I74
550 AThicker|net@5|||FS1800|pin@10||-3|0|pin@11||-1.25|0|ART_color()I74
551 AThicker|net@6|||FS900|pin@2||-0.75|0.75|pin@3||-0.75|-0.75|ART_color()I74
552 AThicker|net@7|||FS1800|pin@15||-0.75|-0.5|pin@14||0|-0.5|ART_color()I74
553 AThicker|net@8|||FS3150|pin@16||-0.5|-0.75|pin@17||-0.75|-0.5|ART_color()I74
554 AThicker|net@9|||FS450|pin@19||-0.5|-0.25|pin@18||-0.75|-0.5|ART_color()I74
555 Eb||D5G1;|pin@20||B
556 Ed||D5G1;|pin@8||B
557 Eg||D5G1;|pin@9||I
558 Es||D5G1;|pin@12||B
559 X
560
561 # Cell NMOS4fwk;1{sch}
562 CNMOS4fwk;1{sch}||schematic|1021415734000|1159313367315||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX-1;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-0.5;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
563 INMOS4fwk;1{ic}|NMOS4fwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
564 Ngeneric:Facet-Center|art@0||0|0||||AV
565 NOff-Page|conn@0||-10|-8||||
566 NOff-Page|conn@1||4.5|0||||
567 NOff-Page|conn@2||6|-16.5||||
568 NOff-Page|conn@3||6|-9|||YRR|
569 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-2.5;)Snch
570 Ngeneric:Invisible-Pin|pin@0||0|11.5|||||ART_message(D5G6;)S[NMOS4fwk]
571 NWire_Pin|pin@1||0|0||||
572 NWire_Pin|pin@2||0|-16.5||||
573 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S4-terminal standard threshold weak NMOS device
574 Awire|net@0|||900|pin@1||0|0|nmos4p@0|d|0|-6
575 Awire|net@1|||1800|conn@0|y|-8|-8|nmos4p@0|g|-3|-8
576 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
577 Awire|net@3|||1800|pin@2||0|-16.5|conn@2|a|4|-16.5
578 Awire|net@4|||900|nmos4p@0|s|0|-10|pin@2||0|-16.5
579 Awire|net@5|||1800|nmos4p@0|b|0|-9|conn@3|y|4|-9
580 Eb||D5G2;|conn@3|y|B
581 Ed||D5G2;|conn@1|y|B
582 Eg||D5G2;|conn@0|a|I
583 Es||D5G2;|conn@2|y|B
584 X
585
586 # Cell NMOS4fwk_high;1{ic}
587 CNMOS4fwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
588 Ngeneric:Facet-Center|art@0||0|0||||AV
589 NPin|pin@0||0|2||||
590 NPin|pin@1||0|0.75||||
591 NPin|pin@2||-0.75|0.75|1|1||
592 NPin|pin@3||-0.75|-0.75|1|1||
593 NPin|pin@4||0|-0.75||||
594 NPin|pin@5||-1.75|-0.75|1|1||
595 NPin|pin@6||-1.75|0.75|1|1||
596 NPin|pin@7||0|-2||||
597 Nschematic:Bus_Pin|pin@8||0|2|-2|-2||
598 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
599 NPin|pin@10||-3|0|||RR|
600 NPin|pin@11||-1.75|0|1|1|RR|
601 Ngeneric:Invisible-Pin|pin@12||0|-2||||
602 Ngeneric:Invisible-Pin|pin@13||-0.5|0|||||ART_message(D5G1;)S[wk]
603 NPin|pin@14||0|-0.5||||
604 NPin|pin@15||-0.75|-0.5|1|1||
605 NPin|pin@16||-0.5|-0.75|1|1|YRR|
606 NPin|pin@17||-0.75|-0.5|1|1|Y|
607 NPin|pin@18||-0.75|-0.5|1|1||
608 NPin|pin@19||-0.5|-0.25|1|1|RR|
609 Nschematic:Bus_Pin|pin@20||0|-0.5||||
610 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|0.75|ART_color()I74
611 AThicker|net@1|||FS0|pin@1||0|0.75|pin@2||-0.75|0.75|ART_color()I74
612 AThicker|net@2|||FS1800|pin@3||-0.75|-0.75|pin@4||0|-0.75|ART_color()I74
613 AThicker|net@3|||FS900|pin@4||0|-0.75|pin@7||0|-2|ART_color()I74
614 AThicker|net@4|||FS900|pin@6||-1.75|0.75|pin@5||-1.75|-0.75|ART_color()I74
615 AThicker|net@5|||FS1800|pin@10||-3|0|pin@11||-1.75|0|ART_color()I74
616 AThicker|net@6|||FS900|pin@2||-0.75|0.75|pin@3||-0.75|-0.75|ART_color()I74
617 AThicker|net@7|||FS1800|pin@15||-0.75|-0.5|pin@14||0|-0.5|ART_color()I74
618 AThicker|net@8|||FS3150|pin@16||-0.5|-0.75|pin@17||-0.75|-0.5|ART_color()I74
619 AThicker|net@9|||FS450|pin@19||-0.5|-0.25|pin@18||-0.75|-0.5|ART_color()I74
620 Eb||D5G1;|pin@20||B
621 Ed||D5G1;|pin@8||B
622 Eg||D5G1;|pin@9||I
623 Es||D5G1;|pin@12||B
624 X
625
626 # Cell NMOS4fwk_high;1{sch}
627 CNMOS4fwk_high;1{sch}||schematic|1021415734000|1159313323976||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX1;Y-31.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX0.5;Y-28.75;)StransistorType VTH-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-34;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
628 INMOS4fwk_high;1{ic}|NMOS4fwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
629 Ngeneric:Facet-Center|art@0||0|0||||AV
630 NOff-Page|conn@0||-10|-8||||
631 NOff-Page|conn@1||4.5|0||||
632 NOff-Page|conn@2||6|-16.5||||
633 NOff-Page|conn@3||6|-9|||YRR|
634 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_hvt
635 Ngeneric:Invisible-Pin|pin@0||0|11.5|||||ART_message(D5G6;)SNMOS4fwk_high
636 NWire_Pin|pin@1||0|0||||
637 NWire_Pin|pin@2||0|-16.5||||
638 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S4-terminal high-threshold weak NMOS device
639 Awire|net@0|||900|pin@1||0|0|nmos4p@0|d|0|-6
640 Awire|net@1|||1800|conn@0|y|-8|-8|nmos4p@0|g|-3|-8
641 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
642 Awire|net@3|||1800|pin@2||0|-16.5|conn@2|a|4|-16.5
643 Awire|net@4|||900|nmos4p@0|s|0|-10|pin@2||0|-16.5
644 Awire|net@5|||1800|nmos4p@0|b|0|-9|conn@3|y|4|-9
645 Eb||D5G2;|conn@3|y|B
646 Ed||D5G2;|conn@1|y|B
647 Eg||D5G2;|conn@0|a|I
648 Es||D5G2;|conn@2|y|B
649 X
650
651 # Cell NMOS4fwk_low;1{ic}
652 CNMOS4fwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
653 Ngeneric:Facet-Center|art@0||0|0||||AV
654 NPin|pin@0||0|2||||
655 NPin|pin@1||0|0.75||||
656 NPin|pin@2||-0.75|0.75|1|1||
657 NPin|pin@3||-0.75|-0.75|1|1||
658 NPin|pin@4||0|-0.75||||
659 NPin|pin@5||-1|-0.75|1|1||
660 NPin|pin@6||-1|0.75|1|1||
661 NPin|pin@7||0|-2||||
662 Nschematic:Bus_Pin|pin@8||0|2|-2|-2||
663 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
664 NPin|pin@10||-2.5|0|||RR|
665 NPin|pin@11||-1|0|1|1|RR|
666 Ngeneric:Invisible-Pin|pin@12||0|-2||||
667 Ngeneric:Invisible-Pin|pin@13||-0.5|0|||||ART_message(D5G1;)S[wk]
668 NPin|pin@14||0|-0.5||||
669 NPin|pin@15||-0.75|-0.5|1|1||
670 NPin|pin@16||-0.5|-0.75|1|1|YRR|
671 NPin|pin@17||-0.75|-0.5|1|1|Y|
672 NPin|pin@18||-0.75|-0.5|1|1||
673 NPin|pin@19||-0.5|-0.25|1|1|RR|
674 Nschematic:Bus_Pin|pin@20||0|-0.5||||
675 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|0.75|ART_color()I74
676 AThicker|net@1|||FS0|pin@1||0|0.75|pin@2||-0.75|0.75|ART_color()I74
677 AThicker|net@2|||FS1800|pin@3||-0.75|-0.75|pin@4||0|-0.75|ART_color()I74
678 AThicker|net@3|||FS900|pin@4||0|-0.75|pin@7||0|-2|ART_color()I74
679 AThicker|net@4|||FS900|pin@6||-1|0.75|pin@5||-1|-0.75|ART_color()I74
680 AThicker|net@5|||FS1800|pin@10||-2.5|0|pin@11||-1|0|ART_color()I74
681 AThicker|net@6|||FS900|pin@2||-0.75|0.75|pin@3||-0.75|-0.75|ART_color()I74
682 AThicker|net@7|||FS1800|pin@15||-0.75|-0.5|pin@14||0|-0.5|ART_color()I74
683 AThicker|net@8|||FS3150|pin@16||-0.5|-0.75|pin@17||-0.75|-0.5|ART_color()I74
684 AThicker|net@9|||FS450|pin@19||-0.5|-0.25|pin@18||-0.75|-0.5|ART_color()I74
685 Eb||D5G1;|pin@20||B
686 Ed||D5G1;|pin@8||B
687 Eg||D5G1;|pin@9||I
688 Es||D5G1;|pin@12||B
689 X
690
691 # Cell NMOS4fwk_low;1{sch}
692 CNMOS4fwk_low;1{sch}||schematic|1021415734000|1159313388630||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX-1.5;Y-31.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX0.5;Y-29;)StransistorType VTL-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1;Y-33.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
693 INMOS4fwk_low;1{ic}|NMOS4fwk@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
694 Ngeneric:Facet-Center|art@0||0|0||||AV
695 NOff-Page|conn@0||-10|-8||||
696 NOff-Page|conn@1||4.5|0||||
697 NOff-Page|conn@2||6|-16.5||||
698 NOff-Page|conn@3||6|-9|||YRR|
699 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_lvt
700 Ngeneric:Invisible-Pin|pin@0||1|12.5|||||ART_message(D5G6;)SNMOS4fwk_low
701 NWire_Pin|pin@1||0|0||||
702 NWire_Pin|pin@2||0|-16.5||||
703 Ngeneric:Invisible-Pin|pin@3||-0.5|6.5|||||ART_message(D5G2;)S4-terminal low-threshold weak NMOS device
704 Awire|net@0|||900|pin@1||0|0|nmos4p@0|d|0|-6
705 Awire|net@1|||1800|conn@0|y|-8|-8|nmos4p@0|g|-3|-8
706 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
707 Awire|net@3|||1800|pin@2||0|-16.5|conn@2|a|4|-16.5
708 Awire|net@4|||900|nmos4p@0|s|0|-10|pin@2||0|-16.5
709 Awire|net@5|||1800|nmos4p@0|b|0|-9|conn@3|y|4|-9
710 Eb||D5G2;|conn@3|y|B
711 Ed||D5G2;|conn@1|y|B
712 Eg||D5G2;|conn@0|a|I
713 Es||D5G2;|conn@2|y|B
714 X
715
716 # Cell NMOS4fwk_native;1{ic}
717 CNMOS4fwk_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNPX3.5;)S4|ATTR_W(D6FLeave alone;G1;HNPX2;Y1;)S10|prototype_center()I[0,-8000]
718 Ngeneric:Facet-Center|art@0||0|0||||AV
719 NPin|pin@0||0|2||||
720 NPin|pin@1||0|0.75||||
721 NPin|pin@2||-0.75|0.75|1|1||
722 NPin|pin@3||-0.75|-0.75|1|1||
723 NPin|pin@4||0|-0.75||||
724 NPin|pin@7||0|-2||||
725 Nschematic:Bus_Pin|pin@8||0|2|-2|-2||
726 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
727 NPin|pin@10||-2.5|0|||RR|
728 NPin|pin@11||-0.75|0|1|1|RR|
729 Ngeneric:Invisible-Pin|pin@12||0|-2||||
730 Ngeneric:Invisible-Pin|pin@13||-0.5|0|||||ART_message(D5G1;)S[wk]
731 NPin|pin@14||0|-0.5||||
732 NPin|pin@15||-0.75|-0.5|1|1||
733 NPin|pin@16||-0.5|-0.75|1|1|YRR|
734 NPin|pin@17||-0.75|-0.5|1|1|Y|
735 NPin|pin@18||-0.75|-0.5|1|1||
736 NPin|pin@19||-0.5|-0.25|1|1|RR|
737 Nschematic:Bus_Pin|pin@20||0|-0.5||||
738 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|0.75|ART_color()I74
739 AThicker|net@1|||FS0|pin@1||0|0.75|pin@2||-0.75|0.75|ART_color()I74
740 AThicker|net@2|||FS1800|pin@3||-0.75|-0.75|pin@4||0|-0.75|ART_color()I74
741 AThicker|net@3|||FS900|pin@4||0|-0.75|pin@7||0|-2|ART_color()I74
742 AThicker|net@5|||FS1800|pin@10||-2.5|0|pin@11||-0.75|0|ART_color()I74
743 AThicker|net@6|||FS900|pin@2||-0.75|0.75|pin@3||-0.75|-0.75|ART_color()I74
744 AThicker|net@7|||FS1800|pin@15||-0.75|-0.5|pin@14||0|-0.5|ART_color()I74
745 AThicker|net@8|||FS3150|pin@16||-0.5|-0.75|pin@17||-0.75|-0.5|ART_color()I74
746 AThicker|net@9|||FS450|pin@19||-0.5|-0.25|pin@18||-0.75|-0.5|ART_color()I74
747 Eb||D5G1;|pin@20||B
748 Ed||D5G1;|pin@8||B
749 Eg||D5G1;|pin@9||I
750 Es||D5G1;|pin@12||B
751 X
752
753 # Cell NMOS4fwk_native;1{sch}
754 CNMOS4fwk_native;1{sch}||schematic|1021415734000|1159313406251||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNPX-9;Y-13.5;)S4|ATTR_W(D5FLeave alone;G1;HNPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX-0.5;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-1;Y-28.5;)StransistorType  NT-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTY-33;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
755 INMOS4fwk_native;1{ic}|NMOS4fwk@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NPX3.5;)S4|ATTR_W(D6FLeave alone;G1;NPX2;Y1;)S10|ATTR_GEO(T)I0|ATTR_M(T)I1
756 Ngeneric:Facet-Center|art@0||0|0||||AV
757 NOff-Page|conn@0||-10|-8||||
758 NOff-Page|conn@1||4.5|0||||
759 NOff-Page|conn@2||6|-16.5||||
760 NOff-Page|conn@3||6|-9|||YRR|
761 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OJX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OJX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-4;)Snch_na
762 Ngeneric:Invisible-Pin|pin@0||1|17.5|||||ART_message(D5G6;)SNMOS4fwk_native
763 NWire_Pin|pin@1||0|0||||
764 NWire_Pin|pin@2||0|-16.5||||
765 Ngeneric:Invisible-Pin|pin@3||-0.5|11.5|||||ART_message(D5G2;)S4-terminal native weak NMOS device
766 Ngeneric:Invisible-Pin|pin@4||-0.5|6|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=4"]
767 Awire|net@0|||900|pin@1||0|0|nmos4p@0|d|0|-6
768 Awire|net@1|||1800|conn@0|y|-8|-8|nmos4p@0|g|-3|-8
769 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
770 Awire|net@3|||1800|pin@2||0|-16.5|conn@2|a|4|-16.5
771 Awire|net@4|||900|nmos4p@0|s|0|-10|pin@2||0|-16.5
772 Awire|net@5|||1800|nmos4p@0|b|0|-9|conn@3|y|4|-9
773 Eb||D5G2;|conn@3|y|B
774 Ed||D5G2;|conn@1|y|B
775 Eg||D5G2;|conn@0|a|I
776 Es||D5G2;|conn@2|y|B
777 X
778
779 # Cell NMOS4x;1{ic}
780 CNMOS4x;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
781 Ngeneric:Facet-Center|art@0||0|0||||AV
782 Ngeneric:Invisible-Pin|pin@0||0|-2||||
783 NPin|pin@1||-1.5|0|1|1|RR|
784 NPin|pin@2||-3|0|||RR|
785 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
786 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
787 NPin|pin@5||0|-2||||
788 NPin|pin@6||-1.5|1|1|1||
789 NPin|pin@7||-1.5|-1|1|1||
790 NPin|pin@8||0|-1||||
791 NPin|pin@9||-0.75|-1|1|1||
792 NPin|pin@10||-0.75|1|1|1||
793 NPin|pin@11||0|1||||
794 NPin|pin@12||0|2||||
795 Ngeneric:Universal-Pin|pin@13||0|-0.5|-1|-1||
796 NPin|pin@15||-0.75|-0.5|||X|
797 NPin|pin@16||0|-0.5|1|1|XY|
798 NPin|pin@17||0|-0.5|1|1|XY|
799 NPin|pin@18||-0.25|-0.75|1|1|XYRR|
800 NPin|pin@19||0|-0.5|1|1|XY|
801 NPin|pin@20||-0.25|-0.25|1|1|XYRR|
802 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
803 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I10
804 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I10
805 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
806 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
807 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
808 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
809 AThicker|net@8|||FS2250|pin@18||-0.25|-0.75|pin@17||0|-0.5|ART_color()I10
810 AThicker|net@9|||FS1350|pin@20||-0.25|-0.25|pin@19||0|-0.5|ART_color()I10
811 AThicker|net@10|||FS1800|pin@15||-0.75|-0.5|pin@16||0|-0.5|ART_color()I10
812 Eb||D5G1;|pin@13||U
813 Ed||D5G1;|pin@4||B
814 Eg||D5G1;|pin@3||I
815 Es||D5G1;|pin@0||B
816 X
817
818 # Cell NMOS4x;1{sch}
819 CNMOS4x;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
820 INMOS4x;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
821 INMOS4f;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (2-0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
822 Ngeneric:Facet-Center|art@0||0|0||||AV
823 NOff-Page|conn@0||6|-16.5||||
824 NOff-Page|conn@1||5.5|0||||
825 NOff-Page|conn@2||-18.5|-8||||
826 NOff-Page|conn@3||11.5|-8.5|||YRR|
827 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
828 NWire_Pin|pin@1||0|-16.5||||
829 NWire_Pin|pin@2||0|0||||
830 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOS4x
831 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
832 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)S4 terminal strength-based NMOS device
833 Awire|net@0|||0|NMOSf@0|g|-3|-8|conn@2|y|-16.5|-8
834 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
835 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
836 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
837 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
838 Awire|net@8|||0|conn@3|y|9.5|-8.5|NMOSf@0|b|0|-8.5
839 Eb||D4G2;|conn@3|a|P
840 Ed||D5G2;|conn@1|y|B
841 Eg||D5G2;|conn@2|a|I
842 Es||D5G2;|conn@0|y|B
843 X
844
845 # Cell NMOS4x_io18;1{ic}
846 CNMOS4x_io18;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
847 Ngeneric:Facet-Center|art@0||0|0||||AV
848 Ngeneric:Invisible-Pin|pin@0||0|-2||||
849 NPin|pin@1||-2|0|1|1|RR|
850 NPin|pin@2||-3.5|0|||RR|
851 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
852 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
853 NPin|pin@5||0|-2||||
854 NPin|pin@6||-2|1|1|1||
855 NPin|pin@7||-2|-1|1|1||
856 NPin|pin@8||0|-1||||
857 NPin|pin@9||-0.75|-1|1|1||
858 NPin|pin@10||-0.75|1|1|1||
859 NPin|pin@11||0|1||||
860 NPin|pin@12||0|2||||
861 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
862 Ngeneric:Invisible-Pin|pin@14||0|-0.5||||
863 NPin|pin@22||-0.75|-0.5|1|1|RR|
864 NPin|pin@23||0|-0.5|||RR|
865 NPin|pin@24||-0.25|-0.25||||
866 NPin|pin@25||0|-0.5|||RR|
867 NPin|pin@26||-0.25|-0.75||||
868 NPin|pin@27||0|-0.5|||RR|
869 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
870 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I10
871 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I10
872 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
873 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
874 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
875 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
876 AThicker|net@19|||FS1800|pin@22||-0.75|-0.5|pin@23||0|-0.5|ART_color()I10
877 AThicker|net@20|||FS1350|pin@24||-0.25|-0.25|pin@25||0|-0.5|ART_color()I10
878 AThicker|net@21|||FS2250|pin@26||-0.25|-0.75|pin@27||0|-0.5|ART_color()I10
879 Eb||D5G1;|pin@14||B
880 Ed||D5G1;|pin@4||B
881 Eg||D5G1;|pin@3||I
882 Es||D5G1;|pin@0||B
883 X
884
885 # Cell NMOS4x_io18;1{sch}
886 CNMOS4x_io18;1{sch}||schematic|1021415734000|1158100927976||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
887 INMOS4x_io18;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
888 INMOS4f_io18;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (4-0.4) / @X + 0.4) : 4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
889 Ngeneric:Facet-Center|art@0||0|0||||AV
890 NOff-Page|conn@0||6|-16.5||||
891 NOff-Page|conn@1||5.5|0||||
892 NOff-Page|conn@2||-18.5|-8||||
893 NOff-Page|conn@3||8.5|-8.5||||
894 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
895 NWire_Pin|pin@1||0|-16.5||||
896 NWire_Pin|pin@2||0|0||||
897 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOS4x_io25
898 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
899 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)S4-terminal strength-based NMOS device for 1.8V I/O pads
900 Awire|net@0|||0|NMOSf@0|g|-3.5|-8|conn@2|y|-16.5|-8
901 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
902 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
903 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
904 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
905 Awire|net@8|||0|conn@3|a|6.5|-8.5|NMOSf@0|b|0|-8.5
906 Eb||D5G2;|conn@3|y|B
907 Ed||D5G2;|conn@1|y|B
908 Eg||D5G2;|conn@2|a|I
909 Es||D5G2;|conn@0|y|B
910 X
911
912 # Cell NMOS4x_io25;1{ic}
913 CNMOS4x_io25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
914 Ngeneric:Facet-Center|art@0||0|0||||AV
915 Ngeneric:Invisible-Pin|pin@0||0|-2||||
916 NPin|pin@1||-2|0|1|1|RR|
917 NPin|pin@2||-3.5|0|||RR|
918 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
919 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
920 NPin|pin@5||0|-2||||
921 NPin|pin@6||-2|1|1|1||
922 NPin|pin@7||-2|-1|1|1||
923 NPin|pin@8||0|-1||||
924 NPin|pin@9||-0.75|-1|1|1||
925 NPin|pin@10||-0.75|1|1|1||
926 NPin|pin@11||0|1||||
927 NPin|pin@12||0|2||||
928 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S2.5V
929 Ngeneric:Invisible-Pin|pin@14||0|-0.5||||
930 NPin|pin@22||-0.75|-0.5|1|1|RR|
931 NPin|pin@23||0|-0.5|||RR|
932 NPin|pin@24||-0.25|-0.25||||
933 NPin|pin@25||0|-0.5|||RR|
934 NPin|pin@26||-0.25|-0.75||||
935 NPin|pin@27||0|-0.5|||RR|
936 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
937 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I10
938 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I10
939 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
940 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
941 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
942 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
943 AThicker|net@19|||FS1800|pin@22||-0.75|-0.5|pin@23||0|-0.5|ART_color()I10
944 AThicker|net@20|||FS1350|pin@24||-0.25|-0.25|pin@25||0|-0.5|ART_color()I10
945 AThicker|net@21|||FS2250|pin@26||-0.25|-0.75|pin@27||0|-0.5|ART_color()I10
946 Eb||D5G1;|pin@14||B
947 Ed||D5G1;|pin@4||B
948 Eg||D5G1;|pin@3||I
949 Es||D5G1;|pin@0||B
950 X
951
952 # Cell NMOS4x_io25;1{sch}
953 CNMOS4x_io25;1{sch}||schematic|1021415734000|1158100925062||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
954 INMOS4x_io25;1{ic}|NMOS4_io@1||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
955 INMOS4f_io25;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (5.6-0.4) / @X + 0.4) : 5.6|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
956 Ngeneric:Facet-Center|art@0||0|0||||AV
957 NOff-Page|conn@0||6|-16.5||||
958 NOff-Page|conn@1||5.5|0||||
959 NOff-Page|conn@2||-18.5|-8||||
960 NOff-Page|conn@3||8.5|-8.5||||
961 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
962 NWire_Pin|pin@1||0|-16.5||||
963 NWire_Pin|pin@2||0|0||||
964 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOS4x_io33
965 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
966 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)S4-terminal strength-based NMOS device for 2.5V I/O pads
967 Awire|net@0|||0|NMOSf@0|g|-3.5|-8|conn@2|y|-16.5|-8
968 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
969 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
970 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
971 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
972 Awire|net@8|||0|conn@3|a|6.5|-8.5|NMOSf@0|b|0|-8.5
973 Eb||D5G2;|conn@3|y|B
974 Ed||D5G2;|conn@1|y|B
975 Eg||D5G2;|conn@2|a|I
976 Es||D5G2;|conn@0|y|B
977 X
978
979 # Cell NMOS4x_io33;1{ic}
980 CNMOS4x_io33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
981 Ngeneric:Facet-Center|art@0||0|0||||AV
982 Ngeneric:Invisible-Pin|pin@0||0|-2||||
983 NPin|pin@1||-2|0|1|1|RR|
984 NPin|pin@2||-3.5|0|||RR|
985 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
986 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
987 NPin|pin@5||0|-2||||
988 NPin|pin@6||-2|1|1|1||
989 NPin|pin@7||-2|-1|1|1||
990 NPin|pin@8||0|-1||||
991 NPin|pin@9||-0.75|-1|1|1||
992 NPin|pin@10||-0.75|1|1|1||
993 NPin|pin@11||0|1||||
994 NPin|pin@12||0|2||||
995 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S3.3V
996 Ngeneric:Invisible-Pin|pin@14||0|-0.5||||
997 NPin|pin@22||-0.75|-0.5|1|1|RR|
998 NPin|pin@23||0|-0.5|||RR|
999 NPin|pin@24||-0.25|-0.25||||
1000 NPin|pin@25||0|-0.5|||RR|
1001 NPin|pin@26||-0.25|-0.75||||
1002 NPin|pin@27||0|-0.5|||RR|
1003 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
1004 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I10
1005 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I10
1006 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
1007 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
1008 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
1009 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
1010 AThicker|net@19|||FS1800|pin@22||-0.75|-0.5|pin@23||0|-0.5|ART_color()I10
1011 AThicker|net@20|||FS1350|pin@24||-0.25|-0.25|pin@25||0|-0.5|ART_color()I10
1012 AThicker|net@21|||FS2250|pin@26||-0.25|-0.75|pin@27||0|-0.5|ART_color()I10
1013 Eb||D5G1;|pin@14||B
1014 Ed||D5G1;|pin@4||B
1015 Eg||D5G1;|pin@3||I
1016 Es||D5G1;|pin@0||B
1017 X
1018
1019 # Cell NMOS4x_io33;1{sch}
1020 CNMOS4x_io33;1{sch}||schematic|1021415734000|1158100921910||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
1021 INMOS4x_io33;1{ic}|NMOS4_io@3||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
1022 INMOS4f_io33;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (7.6-0.4) / @X + 0.4) : 7.6|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
1023 Ngeneric:Facet-Center|art@0||0|0||||AV
1024 NOff-Page|conn@0||6|-16.5||||
1025 NOff-Page|conn@1||5.5|0||||
1026 NOff-Page|conn@2||-18.5|-8||||
1027 NOff-Page|conn@3||8.5|-8.5||||
1028 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
1029 NWire_Pin|pin@1||0|-16.5||||
1030 NWire_Pin|pin@2||0|0||||
1031 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOS4x_io33
1032 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
1033 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)S4-terminal strength-based NMOS device for 3.3V I/O pads
1034 Awire|net@0|||0|NMOSf@0|g|-3.5|-8|conn@2|y|-16.5|-8
1035 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
1036 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
1037 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
1038 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
1039 Awire|net@8|||0|conn@3|a|6.5|-8.5|NMOSf@0|b|0|-8.5
1040 Eb||D5G2;|conn@3|y|B
1041 Ed||D5G2;|conn@1|y|B
1042 Eg||D5G2;|conn@2|a|I
1043 Es||D5G2;|conn@0|y|B
1044 X
1045
1046 # Cell NMOSf;1{ic}
1047 CNMOSf;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1048 Ngeneric:Facet-Center|art@0||0|0||||AV
1049 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1050 NPin|pin@1||-1.5|0|1|1|RR|
1051 NPin|pin@2||-3|0|||RR|
1052 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1053 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1054 NPin|pin@5||0|-2||||
1055 NPin|pin@6||-1.5|1|1|1||
1056 NPin|pin@7||-1.5|-1|1|1||
1057 NPin|pin@8||0|-1||||
1058 NPin|pin@9||-0.75|-1|1|1||
1059 NPin|pin@10||-0.75|1|1|1||
1060 NPin|pin@11||0|1||||
1061 NPin|pin@12||0|2||||
1062 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1063 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I74
1064 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I74
1065 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1066 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1067 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1068 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1069 Ed||D5G1;|pin@4||B
1070 Eg||D5G1;|pin@3||I
1071 Es||D5G1;|pin@0||B
1072 X
1073
1074 # Cell NMOSf;1{sch}
1075 CNMOSf;1{sch}||schematic|1021415734000|1159313246486||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1076 INMOSf;1{ic}|NMOSf@0||28|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
1077 Ngeneric:Facet-Center|art@0||0|0||||AV
1078 NOff-Page|conn@0||6|-16.5||||
1079 NOff-Page|conn@1||6.5|0||||
1080 NOff-Page|conn@2||-16.5|-8||||
1081 NGround|gnd@0||5|-11||||
1082 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch
1083 NWire_Pin|pin@0||0|-16.5||||
1084 NWire_Pin|pin@1||0|0||||
1085 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)S[NMOSf]
1086 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal standard threshold NMOS device
1087 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1088 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1089 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1090 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1091 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1092 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1093 Ed||D5G2;|conn@1|y|B
1094 Eg||D5G2;|conn@2|a|I
1095 Es||D5G2;|conn@0|y|B
1096 X
1097
1098 # Cell NMOSf_high;1{ic}
1099 CNMOSf_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1100 Ngeneric:Facet-Center|art@0||0|0||||AV
1101 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1102 NPin|pin@1||-2|0|1|1|RR|
1103 NPin|pin@2||-3.5|0|||RR|
1104 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
1105 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1106 NPin|pin@5||0|-2||||
1107 NPin|pin@6||-2|1|1|1||
1108 NPin|pin@7||-2|-1|1|1||
1109 NPin|pin@8||0|-1||||
1110 NPin|pin@9||-0.75|-1|1|1||
1111 NPin|pin@10||-0.75|1|1|1||
1112 NPin|pin@11||0|1||||
1113 NPin|pin@12||0|2||||
1114 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1115 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I74
1116 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
1117 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1118 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1119 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1120 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1121 Ed||D5G1;|pin@4||B
1122 Eg||D5G1;|pin@3||I
1123 Es||D5G1;|pin@0||B
1124 X
1125
1126 # Cell NMOSf_high;1{sch}
1127 CNMOSf_high;1{sch}||schematic|1021415734000|1159313222266||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX4;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX4.5;Y-28.5;)StransistorType VTH-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX4.5;Y-33;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX2.5;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1128 INMOSf_high;1{ic}|NMOSf@0||28|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
1129 Ngeneric:Facet-Center|art@0||0|0||||AV
1130 NOff-Page|conn@0||6|-16.5||||
1131 NOff-Page|conn@1||6.5|0||||
1132 NOff-Page|conn@2||-16.5|-8||||
1133 NGround|gnd@0||5|-11||||
1134 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-3;)Snch_hvt
1135 NWire_Pin|pin@0||0|-16.5||||
1136 NWire_Pin|pin@1||0|0||||
1137 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOSf_high
1138 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal high-threshold NMOS device
1139 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1140 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1141 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1142 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1143 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1144 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1145 Ed||D5G2;|conn@1|y|B
1146 Eg||D5G2;|conn@2|a|I
1147 Es||D5G2;|conn@0|y|B
1148 X
1149
1150 # Cell NMOSf_io18;1{ic}
1151 CNMOSf_io18;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;HNOLPX1.75;Y0.75;)S3|prototype_center()I[0,0]
1152 Ngeneric:Facet-Center|art@0||0|0||||AV
1153 Ngeneric:Invisible-Pin|pin@5||0|-2||||
1154 NPin|pin@6||-2|0|1|1|RR|
1155 NPin|pin@7||-3.5|0|||RR|
1156 Nschematic:Bus_Pin|pin@8||-3.5|0|-2|-2||
1157 Nschematic:Bus_Pin|pin@9||0|2|-2|-2||
1158 NPin|pin@10||0|-2||||
1159 NPin|pin@11||-2|1|1|1||
1160 NPin|pin@12||-2|-1|1|1||
1161 NPin|pin@13||0|-1||||
1162 NPin|pin@14||-0.75|-1|1|1||
1163 NPin|pin@15||-0.75|1|1|1||
1164 NPin|pin@16||0|1||||
1165 NPin|pin@17||0|2||||
1166 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S1.8V
1167 AThicker|net@3|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I74
1168 AThicker|net@4|||FS1800|pin@7||-3.5|0|pin@6||-2|0|ART_color()I74
1169 AThicker|net@5|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I74
1170 AThicker|net@6|||FS900|pin@13||0|-1|pin@10||0|-2|ART_color()I74
1171 AThicker|net@7|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I74
1172 AThicker|net@8|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I74
1173 AThicker|net@9|||FS900|pin@17||0|2|pin@16||0|1|ART_color()I74
1174 Ed||D5G1;|pin@9||B
1175 Eg||D5G1;|pin@8||I
1176 Es||D5G1;|pin@5||B
1177 X
1178
1179 # Cell NMOSf_io18;1{sch}
1180 CNMOSf_io18;1{sch}||schematic|1021415734000|1159313203077||ATTR_Delay(D5G1;HNPX-18.25;Y-12.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-18;Y-11.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-18;Y-10.5;)S3|ATTR_CDL_template(D5G1;NTY-26;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-1.5;Y-23.75;)StransistorType OD18-N-Transistor|ATTR_SPICE_template(D5G1;NTX-3;Y-19.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-28;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-21.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1181 INMOSf_io18;1{ic}|NMOSf_io@1||18.5|1|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S4|ATTR_W(D6FLeave alone;G1;NOLPX1.75;Y0.75;)S3
1182 Ngeneric:Facet-Center|art@0||0|0||||AV
1183 NOff-Page|conn@1||4.5|-12.5||||
1184 NOff-Page|conn@2||4.5|0||||
1185 NOff-Page|conn@3||-18.5|-6.5||||
1186 NGround|gnd@0||7|-7.5|||R|
1187 N4-Port-Transistor|nmos4p@0||-2|-6.5|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4;)Snch_18
1188 Ngeneric:Invisible-Pin|pin@0||-2|13.5|||||ART_message(D5G2;)S3-terminal NMOS device for 1.8V I/O pads
1189 NWire_Pin|pin@1||0|-12.5||||
1190 NWire_Pin|pin@2||0|0||||
1191 Ngeneric:Invisible-Pin|pin@3||-1.5|19|||||ART_message(D5G6;)SNMOSf_io18
1192 Ngeneric:Invisible-Pin|pin@4||-2|8.5|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 4
1193 Awire|net@0|||0|nmos4p@0|g|-3|-6.5|conn@3|y|-16.5|-6.5
1194 Awire|net@2|||900|nmos4p@0|s|0|-8.5|pin@1||0|-12.5
1195 Awire|net@3|||1800|pin@1||0|-12.5|conn@1|a|2.5|-12.5
1196 Awire|net@4|||1800|pin@2||0|0|conn@2|a|2.5|0
1197 Awire|net@5|||900|pin@2||0|0|nmos4p@0|d|0|-4.5
1198 Awire|net@6|||0|gnd@0||5|-7.5|nmos4p@0|b|0|-7.5
1199 Ed||D5G2;|conn@2|y|B
1200 Eg||D5G2;|conn@3|a|I
1201 Es||D5G2;|conn@1|y|B
1202 X
1203
1204 # Cell NMOSf_io25;1{ic}
1205 CNMOSf_io25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HPT)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S5.6|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1206 Ngeneric:Facet-Center|art@0||0|0||||AV
1207 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1208 NPin|pin@1||-2|0|1|1|RR|
1209 NPin|pin@2||-3.5|0|||RR|
1210 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
1211 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1212 NPin|pin@5||0|-2||||
1213 NPin|pin@6||-2|1|1|1||
1214 NPin|pin@7||-2|-1|1|1||
1215 NPin|pin@8||0|-1||||
1216 NPin|pin@9||-0.75|-1|1|1||
1217 NPin|pin@10||-0.75|1|1|1||
1218 NPin|pin@11||0|1||||
1219 NPin|pin@12||0|2||||
1220 Ngeneric:Invisible-Pin|pin@13||-2.5|1.5|||||ART_message(D5G1;)S2.5V
1221 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1222 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I74
1223 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
1224 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1225 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1226 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1227 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1228 Ed||D5G1;|pin@4||B
1229 Eg||D5G1;|pin@3||I
1230 Es||D5G1;|pin@0||B
1231 X
1232
1233 # Cell NMOSf_io25;1{sch}
1234 CNMOSf_io25;1{sch}||schematic|1021415734000|1159313179436||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX0.5;Y-29;)StransistorType  OD25-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_25 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-33;)SM$(node_name) $(d) $(g) $(s) gnd nch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_25 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1235 INMOSf_io25;1{ic}|NMOSf_25@1||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S5.6|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
1236 Ngeneric:Facet-Center|art@0||0|0||||AV
1237 NOff-Page|conn@0||6|-16.5||||
1238 NOff-Page|conn@1||6.5|0||||
1239 NOff-Page|conn@2||-16.5|-8||||
1240 NGround|gnd@0||5|-11||||
1241 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-4;)Snch_25
1242 NWire_Pin|pin@0||0|-16.5||||
1243 NWire_Pin|pin@1||0|0||||
1244 Ngeneric:Invisible-Pin|pin@2||1.5|21|||||ART_message(D5G6;)SNMOSf_25
1245 Ngeneric:Invisible-Pin|pin@4||0|13.5|||||ART_message(D5G2;)S3-terminal NMOS device for 2.5V I/O pads
1246 Ngeneric:Invisible-Pin|pin@5||0|8.5|||||ART_message(D5G2;)Sminimum length for 2.5V thick-oxide devices is 5.6
1247 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1248 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1249 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1250 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1251 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1252 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1253 Ed||D5G2;|conn@1|y|B
1254 Eg||D5G2;|conn@2|a|I
1255 Es||D5G2;|conn@0|y|B
1256 X
1257
1258 # Cell NMOSf_io33;1{ic}
1259 CNMOSf_io33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HPT)I100|ATTR_L(D5G1;HNOLPX3.5;)S7.6|ATTR_W(D6G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1260 Ngeneric:Facet-Center|art@0||0|0||||AV
1261 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1262 NPin|pin@1||-2|0|1|1|RR|
1263 NPin|pin@2||-3.5|0|||RR|
1264 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
1265 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1266 NPin|pin@5||0|-2||||
1267 NPin|pin@6||-2|1|1|1||
1268 NPin|pin@7||-2|-1|1|1||
1269 NPin|pin@8||0|-1||||
1270 NPin|pin@9||-0.75|-1|1|1||
1271 NPin|pin@10||-0.75|1|1|1||
1272 NPin|pin@11||0|1||||
1273 NPin|pin@12||0|2||||
1274 Ngeneric:Invisible-Pin|pin@13||-2.5|1.5|||||ART_message(D5G1;)S3.3V
1275 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1276 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I74
1277 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
1278 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1279 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1280 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1281 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1282 Ed||D5G1;|pin@4||B
1283 Eg||D5G1;|pin@3||I
1284 Es||D5G1;|pin@0||B
1285 X
1286
1287 # Cell NMOSf_io33;1{sch}
1288 CNMOSf_io33;1{sch}||schematic|1021415734000|1159313127323||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX2;Y-31.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1.5;Y-29;)StransistorType  OD33-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_33 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2.5;Y-33.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_33 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1289 INMOSf_io33;1{ic}|NMOSf_33@1||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NOLPX3.5;)S7.6|ATTR_W(D6G1;NOLPX2;Y1;)S3
1290 Ngeneric:Facet-Center|art@0||0|0||||AV
1291 NOff-Page|conn@0||6|-16.5||||
1292 NOff-Page|conn@1||6.5|0||||
1293 NOff-Page|conn@2||-16.5|-8||||
1294 NGround|gnd@0||5|-11||||
1295 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;NOLX-1.5;Y2;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;NOLX2.5;Y-6;)S"P(\"W\")"|SIM_spice_model(D5G1;X0.5;Y-3;)Snch_33
1296 NWire_Pin|pin@0||0|-16.5||||
1297 NWire_Pin|pin@1||0|0||||
1298 Ngeneric:Invisible-Pin|pin@2||-0.5|20|||||ART_message(D5G6;)SNMOSf_33
1299 Ngeneric:Invisible-Pin|pin@4||-1|12.5|||||ART_message(D5G2;)S3-terminal NMOS device for 3.3V I/O pads
1300 Ngeneric:Invisible-Pin|pin@5||-1|7.5|||||ART_message(D5G2;)Sminimum length for 3.3V thick-oxide devices is 7.6
1301 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1302 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1303 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1304 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1305 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1306 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1307 Ed||D5G2;|conn@1|y|B
1308 Eg||D5G2;|conn@2|a|I
1309 Es||D5G2;|conn@0|y|B
1310 X
1311
1312 # Cell NMOSf_low;1{ic}
1313 CNMOSf_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1314 Ngeneric:Facet-Center|art@0||0|0||||AV
1315 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1316 NPin|pin@1||-1|0|1|1|RR|
1317 NPin|pin@2||-2.5|0|||RR|
1318 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
1319 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1320 NPin|pin@5||0|-2||||
1321 NPin|pin@6||-1|1|1|1||
1322 NPin|pin@7||-1|-1|1|1||
1323 NPin|pin@8||0|-1||||
1324 NPin|pin@9||-0.75|-1|1|1||
1325 NPin|pin@10||-0.75|1|1|1||
1326 NPin|pin@11||0|1||||
1327 NPin|pin@12||0|2||||
1328 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1329 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-1|0|ART_color()I74
1330 AThicker|net@2|||FS900|pin@6||-1|1|pin@7||-1|-1|ART_color()I74
1331 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1332 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1333 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1334 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1335 Ed||D5G1;|pin@4||B
1336 Eg||D5G1;|pin@3||I
1337 Es||D5G1;|pin@0||B
1338 X
1339
1340 # Cell NMOSf_low;1{sch}
1341 CNMOSf_low;1{sch}||schematic|1021415734000|1159313266395||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX1.5;Y-30.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX2;Y-28.5;)StransistorType VTL-N-Transistor|ATTR_SPICE_template(D5G1;NTX2;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-32.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1342 INMOSf_low;1{ic}|NMOSf@0||28|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
1343 Ngeneric:Facet-Center|art@0||0|0||||AV
1344 NOff-Page|conn@0||6|-16.5||||
1345 NOff-Page|conn@1||6.5|0||||
1346 NOff-Page|conn@2||-16.5|-8||||
1347 NGround|gnd@0||5|-11||||
1348 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_lvt
1349 NWire_Pin|pin@0||0|-16.5||||
1350 NWire_Pin|pin@1||0|0||||
1351 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOSf_low
1352 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal low threshold NMOS device
1353 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1354 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1355 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1356 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1357 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1358 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1359 Ed||D5G2;|conn@1|y|B
1360 Eg||D5G2;|conn@2|a|I
1361 Es||D5G2;|conn@0|y|B
1362 X
1363
1364 # Cell NMOSf_native;1{ic}
1365 CNMOSf_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S4|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S10|prototype_center()I[0,-8000]
1366 Ngeneric:Facet-Center|art@0||0|0||||AV
1367 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1368 NPin|pin@1||-0.75|0|1|1|RR|
1369 NPin|pin@2||-2.5|0|||RR|
1370 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
1371 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1372 NPin|pin@5||0|-2||||
1373 NPin|pin@8||0|-1||||
1374 NPin|pin@9||-0.75|-1|1|1||
1375 NPin|pin@10||-0.75|1|1|1||
1376 NPin|pin@11||0|1||||
1377 NPin|pin@12||0|2||||
1378 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
1379 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-0.75|0|ART_color()I74
1380 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1381 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
1382 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
1383 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1384 Ed||D5G1;|pin@4||B
1385 Eg||D5G1;|pin@3||I
1386 Es||D5G1;|pin@0||B
1387 X
1388
1389 # Cell NMOSf_native;1{sch}
1390 CNMOSf_native;1{sch}||schematic|1021415734000|1158099348261||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX-1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-0.5;Y-28.5;)StransistorType  NT-N-Transistor|ATTR_SPICE_template(D5G1;NTY-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-33.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1391 INMOSf_native;1{ic}|NMOSf@0||27|7.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S10
1392 Ngeneric:Facet-Center|art@0||0|0||||AV
1393 NOff-Page|conn@0||6|-16.5||||
1394 NOff-Page|conn@1||6.5|0||||
1395 NOff-Page|conn@2||-16.5|-8||||
1396 NGround|gnd@0||5|-11||||
1397 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-4;)Snch_na
1398 NWire_Pin|pin@0||0|-16.5||||
1399 NWire_Pin|pin@1||0|0||||
1400 Ngeneric:Invisible-Pin|pin@2||-3.5|20|||||ART_message(D5G6;)SNMOSf_native
1401 Ngeneric:Invisible-Pin|pin@3||-3.5|13|||||ART_message(D5G2;)S3-terminal native NMOS device
1402 Ngeneric:Invisible-Pin|pin@4||-3.5|8|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=4"]
1403 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1404 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1405 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1406 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1407 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1408 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1409 Ed||D5G2;|conn@1|y|B
1410 Eg||D5G2;|conn@2|a|I
1411 Es||D5G2;|conn@0|y|B
1412 X
1413
1414 # Cell NMOSf_native_od18;1{ic}
1415 CNMOSf_native_od18;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S24|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S10|prototype_center()I[0,-8000]
1416 Ngeneric:Facet-Center|art@0||0|0||||AV
1417 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1418 NPin|pin@1||-1.75|0|1|1|RR|
1419 NPin|pin@2||-3|0|||RR|
1420 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1421 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1422 NPin|pin@5||0|-2||||
1423 NPin|pin@8||0|-1||||
1424 NPin|pin@9||-1.75|-1|1|1||
1425 NPin|pin@10||-1.75|1|1|1||
1426 NPin|pin@11||0|1||||
1427 NPin|pin@12||0|2||||
1428 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
1429 AThicker|net@0|||FS2700|pin@9||-1.75|-1|pin@10||-1.75|1|ART_color()I74
1430 AThicker|net@1|||FS0|pin@1||-1.75|0|pin@2||-3|0|ART_color()I74
1431 AThicker|net@3|||FS2700|pin@5||0|-2|pin@8||0|-1|ART_color()I74
1432 AThicker|net@4|||FS0|pin@8||0|-1|pin@9||-1.75|-1|ART_color()I74
1433 AThicker|net@5|||FS1800|pin@10||-1.75|1|pin@11||0|1|ART_color()I74
1434 AThicker|net@6|||FS2700|pin@11||0|1|pin@12||0|2|ART_color()I74
1435 Ed||D5G1;|pin@4||B
1436 Eg||D5G1;|pin@3||I
1437 Es||D5G1;|pin@0||B
1438 X
1439
1440 # Cell NMOSf_native_od18;1{sch}
1441 CNMOSf_native_od18;1{sch}||schematic|1021415734000|1158082635019||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S24|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX2.5;Y-31.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX2;Y-29;)StransistorType  NT-OD18-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na18 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-34;)SM$(node_name) $(d) $(g) $(s) gnd nch_na18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_na18 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX3;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1442 INMOSf_native_od18;1{ic}|NMOSf@0||27|7.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S24|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S10
1443 Ngeneric:Facet-Center|art@0||0|0||||AV
1444 NOff-Page|conn@0||6|-16.5||||
1445 NOff-Page|conn@1||6.5|0||||
1446 NOff-Page|conn@2||-16.5|-8||||
1447 NGround|gnd@0||5|-11||||
1448 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4;)Snch_na18
1449 NWire_Pin|pin@0||0|-16.5||||
1450 NWire_Pin|pin@1||0|0||||
1451 Ngeneric:Invisible-Pin|pin@2||-3.5|20|||||ART_message(D5G6;)SNMOSf_native_od18
1452 Ngeneric:Invisible-Pin|pin@3||-3.5|13|||||ART_message(D5G2;)S3-terminal 1.8V native NMOS device
1453 Ngeneric:Invisible-Pin|pin@4||-3.5|8|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=24"]
1454 Awire|net@0|||1800|pin@1||0|0|conn@1|a|4.5|0
1455 Awire|net@1|||1800|conn@2|y|-14.5|-8|nmos4p@0|g|-3|-8
1456 Awire|net@2|||2700|pin@0||0|-16.5|nmos4p@0|s|0|-10
1457 Awire|net@3|||0|conn@0|a|4|-16.5|pin@0||0|-16.5
1458 Awire|net@4|||2700|nmos4p@0|d|0|-6|pin@1||0|0
1459 Awire|net@5|||0|gnd@0||5|-9|nmos4p@0|b|0|-9
1460 Ed||D5G2;|conn@1|y|B
1461 Eg||D5G2;|conn@2|a|I
1462 Es||D5G2;|conn@0|y|B
1463 X
1464
1465 # Cell NMOSf_native_od25;1{ic}
1466 CNMOSf_native_od25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S24|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S10|prototype_center()I[0,-8000]
1467 Ngeneric:Facet-Center|art@0||0|0||||AV
1468 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1469 NPin|pin@1||-1.75|0|1|1|RR|
1470 NPin|pin@2||-3|0|||RR|
1471 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1472 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1473 NPin|pin@5||0|-2||||
1474 NPin|pin@8||0|-1||||
1475 NPin|pin@9||-1.75|-1|1|1||
1476 NPin|pin@10||-1.75|1|1|1||
1477 NPin|pin@11||0|1||||
1478 NPin|pin@12||0|2||||
1479 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S2.5V
1480 AThicker|net@0|||FS900|pin@10||-1.75|1|pin@9||-1.75|-1|ART_color()I74
1481 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.75|0|ART_color()I74
1482 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
1483 AThicker|net@4|||FS1800|pin@9||-1.75|-1|pin@8||0|-1|ART_color()I74
1484 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-1.75|1|ART_color()I74
1485 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
1486 Ed||D5G1;|pin@4||B
1487 Eg||D5G1;|pin@3||I
1488 Es||D5G1;|pin@0||B
1489 X
1490
1491 # Cell NMOSf_native_od25;1{sch}
1492 CNMOSf_native_od25;1{sch}||schematic|1021415734000|1158082583609||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5G1;HNOLPX-9;Y-13.5;)S24|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX4;Y-31.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX2.5;Y-29;)StransistorType  NT-OD25-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na25 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX3.5;Y-34;)SM$(node_name) $(d) $(g) $(s) gnd nch_na25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_na25 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1.5;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1493 INMOSf_native_od25;1{ic}|NMOSf@0||27|7.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S24|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S10
1494 Ngeneric:Facet-Center|art@0||0|0||||AV
1495 NOff-Page|conn@0||6|-16.5||||
1496 NOff-Page|conn@1||6.5|0||||
1497 NOff-Page|conn@2||-16.5|-8||||
1498 NGround|gnd@0||5|-11||||
1499 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4;)Snch_na25
1500 NWire_Pin|pin@0||0|-16.5||||
1501 NWire_Pin|pin@1||0|0||||
1502 Ngeneric:Invisible-Pin|pin@2||-3.5|20|||||ART_message(D5G6;)SNMOSf_native_od25
1503 Ngeneric:Invisible-Pin|pin@3||-3.5|13|||||ART_message(D5G2;)S3-terminal 2.5V native NMOS device
1504 Ngeneric:Invisible-Pin|pin@4||-3.5|8|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=24"]
1505 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
1506 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
1507 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1508 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1509 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1510 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1511 Ed||D5G2;|conn@1|y|B
1512 Eg||D5G2;|conn@2|a|I
1513 Es||D5G2;|conn@0|y|B
1514 X
1515
1516 # Cell NMOSf_native_od33;1{ic}
1517 CNMOSf_native_od33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S24|ATTR_W(D6G1;HNOLPX2;Y1;)S10|prototype_center()I[0,-8000]
1518 Ngeneric:Facet-Center|art@0||0|0||||AV
1519 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1520 NPin|pin@1||-1.75|0|1|1|RR|
1521 NPin|pin@2||-3|0|||RR|
1522 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1523 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1524 NPin|pin@5||0|-2||||
1525 NPin|pin@8||0|-1||||
1526 NPin|pin@9||-1.75|-1|1|1||
1527 NPin|pin@10||-1.75|1|1|1||
1528 NPin|pin@11||0|1||||
1529 NPin|pin@12||0|2||||
1530 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S3.3V
1531 AThicker|net@0|||FS2700|pin@9||-1.75|-1|pin@10||-1.75|1|ART_color()I74
1532 AThicker|net@1|||FS0|pin@1||-1.75|0|pin@2||-3|0|ART_color()I74
1533 AThicker|net@3|||FS2700|pin@5||0|-2|pin@8||0|-1|ART_color()I74
1534 AThicker|net@4|||FS0|pin@8||0|-1|pin@9||-1.75|-1|ART_color()I74
1535 AThicker|net@5|||FS1800|pin@10||-1.75|1|pin@11||0|1|ART_color()I74
1536 AThicker|net@6|||FS2700|pin@11||0|1|pin@12||0|2|ART_color()I74
1537 Ed||D5G1;|pin@4||B
1538 Eg||D5G1;|pin@3||I
1539 Es||D5G1;|pin@0||B
1540 X
1541
1542 # Cell NMOSf_native_od33;1{sch}
1543 CNMOSf_native_od33;1{sch}||schematic|1021415734000|1158082542097||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5G1;HNOLPX-9;Y-13.5;)S24|ATTR_W(D5G1;HNOLPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX3;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_na33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-28.5;)StransistorType  NT-OD33-N-Transistor|ATTR_SPICE_template(D5G1;NTX2;Y-24.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na33 W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2.5;Y-33.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2.5;Y-22;)SM$(node_name) $(d) $(g) $(s) gnd nch_na33 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1.5;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1544 INMOSf_native_od33;1{ic}|NMOSf@0||27|7.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S24|ATTR_W(D6G1;NOLPX2;Y1;)S10
1545 Ngeneric:Facet-Center|art@0||0|0||||AV
1546 NOff-Page|conn@0||6|-16.5||||
1547 NOff-Page|conn@1||6.5|0||||
1548 NOff-Page|conn@2||-16.5|-8||||
1549 NGround|gnd@0||5|-11||||
1550 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4.5;)Snch_na33
1551 NWire_Pin|pin@0||0|-16.5||||
1552 NWire_Pin|pin@1||0|0||||
1553 Ngeneric:Invisible-Pin|pin@2||-3.5|20|||||ART_message(D5G6;)SNMOSf_native_od33
1554 Ngeneric:Invisible-Pin|pin@3||-3.5|13|||||ART_message(D5G2;)S3-terminal 3.3V native NMOS device
1555 Ngeneric:Invisible-Pin|pin@4||-3.5|8|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=24"]
1556 Awire|net@0|||1800|pin@1||0|0|conn@1|a|4.5|0
1557 Awire|net@1|||1800|conn@2|y|-14.5|-8|nmos4p@0|g|-3|-8
1558 Awire|net@2|||2700|pin@0||0|-16.5|nmos4p@0|s|0|-10
1559 Awire|net@3|||0|conn@0|a|4|-16.5|pin@0||0|-16.5
1560 Awire|net@4|||2700|nmos4p@0|d|0|-6|pin@1||0|0
1561 Awire|net@5|||0|gnd@0||5|-9|nmos4p@0|b|0|-9
1562 Ed||D5G2;|conn@1|y|B
1563 Eg||D5G2;|conn@2|a|I
1564 Es||D5G2;|conn@0|y|B
1565 X
1566
1567 # Cell NMOSfwk;1{ic}
1568 CNMOSfwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1569 Ngeneric:Facet-Center|art@0||0|0||||AV
1570 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
1571 Ngeneric:Invisible-Pin|pin@1||0|-2||||
1572 NPin|pin@2||-1.25|0|1|1|RR|
1573 NPin|pin@3||-3|0|||RR|
1574 Nschematic:Bus_Pin|pin@4||-3|0|-2|-2||
1575 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
1576 NPin|pin@6||0|-2||||
1577 NPin|pin@7||-1.25|0.75|1|1||
1578 NPin|pin@8||-1.25|-0.75|1|1||
1579 NPin|pin@9||0|-0.75||||
1580 NPin|pin@10||-0.75|-0.75|1|1||
1581 NPin|pin@11||-0.75|0.75|1|1||
1582 NPin|pin@12||0|0.75||||
1583 NPin|pin@13||0|2||||
1584 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I74
1585 AThicker|net@1|||FS1800|pin@3||-3|0|pin@2||-1.25|0|ART_color()I74
1586 AThicker|net@2|||FS900|pin@7||-1.25|0.75|pin@8||-1.25|-0.75|ART_color()I74
1587 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I74
1588 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I74
1589 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I74
1590 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I74
1591 Ed||D5G1;|pin@5||B
1592 Eg||D5G1;|pin@4||I
1593 Es||D5G1;|pin@1||B
1594 X
1595
1596 # Cell NMOSfwk;1{sch}
1597 CNMOSfwk;1{sch}||schematic|1021415734000|1159313356852||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTX-1;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-0.5;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1598 INMOSfwk;1{ic}|NMOSfwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
1599 Ngeneric:Facet-Center|art@0||0|0||||AV
1600 NOff-Page|conn@0||6|-16.5||||
1601 NOff-Page|conn@1||4.5|0||||
1602 NOff-Page|conn@2||-10|-8||||
1603 NGround|gnd@0||5|-11||||
1604 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-2.5;)Snch
1605 NWire_Pin|pin@0||0|-16.5||||
1606 NWire_Pin|pin@1||0|0||||
1607 Ngeneric:Invisible-Pin|pin@2||0|13.5|||||ART_message(D5G6;)S[NMOSfwk]
1608 Ngeneric:Invisible-Pin|pin@3||2|7|||||ART_message(D5G2;)S3-terminal standard threshold weak NMOS device
1609 Awire|net@0|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1610 Awire|net@1|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1611 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
1612 Awire|net@3|||1800|conn@2|y|-8|-8|nmos4p@0|g|-3|-8
1613 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1614 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1615 Ed||D5G2;|conn@1|y|B
1616 Eg||D5G2;|conn@2|a|I
1617 Es||D5G2;|conn@0|y|B
1618 X
1619
1620 # Cell NMOSfwk_high;1{ic}
1621 CNMOSfwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S2|ATTR_W(D6G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1622 Ngeneric:Facet-Center|art@0||0|0||||AV
1623 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
1624 Ngeneric:Invisible-Pin|pin@1||0|-2||||
1625 NPin|pin@2||-1.75|0|1|1|RR|
1626 NPin|pin@3||-3|0|||RR|
1627 Nschematic:Bus_Pin|pin@4||-3|0|-2|-2||
1628 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
1629 NPin|pin@6||0|-2||||
1630 NPin|pin@7||-1.75|0.75|1|1||
1631 NPin|pin@8||-1.75|-0.75|1|1||
1632 NPin|pin@9||0|-0.75||||
1633 NPin|pin@10||-0.75|-0.75|1|1||
1634 NPin|pin@11||-0.75|0.75|1|1||
1635 NPin|pin@12||0|0.75||||
1636 NPin|pin@13||0|2||||
1637 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I74
1638 AThicker|net@1|||FS1800|pin@3||-3|0|pin@2||-1.75|0|ART_color()I74
1639 AThicker|net@2|||FS900|pin@7||-1.75|0.75|pin@8||-1.75|-0.75|ART_color()I74
1640 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I74
1641 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I74
1642 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I74
1643 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I74
1644 Ed||D5G1;|pin@5||B
1645 Eg||D5G1;|pin@4||I
1646 Es||D5G1;|pin@1||B
1647 X
1648
1649 # Cell NMOSfwk_high;1{sch}
1650 CNMOSfwk_high;1{sch}||schematic|1021415734000|1159313313027||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTY-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTY-28.75;)StransistorType VTH-N-Transistor|ATTR_SPICE_template(D5G1;NTX1.5;Y-24;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-33;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1651 INMOSfwk_high;1{ic}|NMOSfwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S2|ATTR_W(D6G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
1652 Ngeneric:Facet-Center|art@0||0|0||||AV
1653 NOff-Page|conn@0||6|-16.5||||
1654 NOff-Page|conn@1||4.5|0||||
1655 NOff-Page|conn@2||-10|-8||||
1656 NGround|gnd@0||5|-11||||
1657 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-3.5;)Snch_hvt
1658 NWire_Pin|pin@0||0|-16.5||||
1659 NWire_Pin|pin@1||0|0||||
1660 Ngeneric:Invisible-Pin|pin@2||0|13.5|||||ART_message(D5G6;)SNMOSfwk_high
1661 Ngeneric:Invisible-Pin|pin@3||2|7|||||ART_message(D5G2;)S3-terminal high-threshold weak NMOS device
1662 Awire|net@0|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1663 Awire|net@1|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1664 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
1665 Awire|net@3|||1800|conn@2|y|-8|-8|nmos4p@0|g|-3|-8
1666 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1667 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1668 Ed||D5G2;|conn@1|y|B
1669 Eg||D5G2;|conn@2|a|I
1670 Es||D5G2;|conn@0|y|B
1671 X
1672
1673 # Cell NMOSfwk_low;1{ic}
1674 CNMOSfwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,-8000]
1675 Ngeneric:Facet-Center|art@0||0|0||||AV
1676 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
1677 Ngeneric:Invisible-Pin|pin@1||0|-2||||
1678 NPin|pin@2||-1|0|1|1|RR|
1679 NPin|pin@3||-2.5|0|||RR|
1680 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
1681 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
1682 NPin|pin@6||0|-2||||
1683 NPin|pin@7||-1|0.75|1|1||
1684 NPin|pin@8||-1|-0.75|1|1||
1685 NPin|pin@9||0|-0.75||||
1686 NPin|pin@10||-0.75|-0.75|1|1||
1687 NPin|pin@11||-0.75|0.75|1|1||
1688 NPin|pin@12||0|0.75||||
1689 NPin|pin@13||0|2||||
1690 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I74
1691 AThicker|net@1|||FS1800|pin@3||-2.5|0|pin@2||-1|0|ART_color()I74
1692 AThicker|net@2|||FS900|pin@7||-1|0.75|pin@8||-1|-0.75|ART_color()I74
1693 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I74
1694 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I74
1695 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I74
1696 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I74
1697 Ed||D5G1;|pin@5||B
1698 Eg||D5G1;|pin@4||I
1699 Es||D5G1;|pin@1||B
1700 X
1701
1702 # Cell NMOSfwk_low;1{sch}
1703 CNMOSfwk_low;1{sch}||schematic|1021415734000|1159313378128||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S3|ATTR_CDL_template(D5G1;NTY-31.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-29;)StransistorType VTL-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-33.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1704 INMOSfwk_low;1{ic}|NMOSfwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
1705 Ngeneric:Facet-Center|art@0||0|0||||AV
1706 NOff-Page|conn@0||6|-16.5||||
1707 NOff-Page|conn@1||4.5|0||||
1708 NOff-Page|conn@2||-10|-8||||
1709 NGround|gnd@0||5|-11||||
1710 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-3.5;)Snch_lvt
1711 NWire_Pin|pin@0||0|-16.5||||
1712 NWire_Pin|pin@1||0|0||||
1713 Ngeneric:Invisible-Pin|pin@2||0|13.5|||||ART_message(D5G6;)SNMOSfwk_low
1714 Ngeneric:Invisible-Pin|pin@3||2|7|||||ART_message(D5G2;)S3-terminal low-threshold weak NMOS device
1715 Awire|net@0|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1716 Awire|net@1|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1717 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
1718 Awire|net@3|||1800|conn@2|y|-8|-8|nmos4p@0|g|-3|-8
1719 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1720 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1721 Ed||D5G2;|conn@1|y|B
1722 Eg||D5G2;|conn@2|a|I
1723 Es||D5G2;|conn@0|y|B
1724 X
1725
1726 # Cell NMOSfwk_native;1{ic}
1727 CNMOSfwk_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S4|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S10|prototype_center()I[0,-8000]
1728 Ngeneric:Facet-Center|art@0||0|0||||AV
1729 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
1730 Ngeneric:Invisible-Pin|pin@1||0|-2||||
1731 NPin|pin@2||-0.75|0|1|1|RR|
1732 NPin|pin@3||-2.5|0|||RR|
1733 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
1734 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
1735 NPin|pin@6||0|-2||||
1736 NPin|pin@9||0|-0.75||||
1737 NPin|pin@10||-0.75|-0.75|1|1||
1738 NPin|pin@11||-0.75|0.75|1|1||
1739 NPin|pin@12||0|0.75||||
1740 NPin|pin@13||0|2||||
1741 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I74
1742 AThicker|net@1|||FS1800|pin@3||-2.5|0|pin@2||-0.75|0|ART_color()I74
1743 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I74
1744 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I74
1745 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I74
1746 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I74
1747 Ed||D5G1;|pin@5||B
1748 Eg||D5G1;|pin@4||I
1749 Es||D5G1;|pin@1||B
1750 X
1751
1752 # Cell NMOSfwk_native;1{sch}
1753 CNMOSfwk_native;1{sch}||schematic|1021415734000|1158099882605||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-13.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-8.5;Y-12.5;)S10|ATTR_CDL_template(D5G1;NTX1.5;Y-31.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-1;Y-29;)StransistorType  NT-N-Transistor|ATTR_SPICE_template(D5G1;NTX4;Y-24;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*(1+ABN/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0N/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-34;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-21.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Srtranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1754 INMOSfwk_native;1{ic}|NMOSfwk@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S10|ATTR_GEO(T)I0|ATTR_M(T)I1
1755 Ngeneric:Facet-Center|art@0||0|0||||AV
1756 NOff-Page|conn@0||6|-16.5||||
1757 NOff-Page|conn@1||4.5|0||||
1758 NOff-Page|conn@2||-10|-8||||
1759 NGround|gnd@0||5|-11||||
1760 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3.5;)Snch_na
1761 NWire_Pin|pin@0||0|-16.5||||
1762 NWire_Pin|pin@1||0|0||||
1763 Ngeneric:Invisible-Pin|pin@2||0|19.5|||||ART_message(D5G6;)SNMOSfwk_native
1764 Ngeneric:Invisible-Pin|pin@3||2|13|||||ART_message(D5G2;)S3-terminal native weak NMOS device
1765 Ngeneric:Invisible-Pin|pin@4||2.5|7|||||ART_message(D5G2;)S[note that the minimum allowed native,"device dimensions are W=10, L=4"]
1766 Awire|net@0|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
1767 Awire|net@1|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
1768 Awire|net@2|||1800|pin@1||0|0|conn@1|a|2.5|0
1769 Awire|net@3|||1800|conn@2|y|-8|-8|nmos4p@0|g|-3|-8
1770 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
1771 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
1772 Ed||D5G2;|conn@1|y|B
1773 Eg||D5G2;|conn@2|a|I
1774 Es||D5G2;|conn@0|y|B
1775 X
1776
1777 # Cell NMOSx;1{ic}
1778 CNMOSx;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
1779 Ngeneric:Facet-Center|art@0||0|0||||AV
1780 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1781 NPin|pin@1||-1.5|0|1|1|RR|
1782 NPin|pin@2||-3|0|||RR|
1783 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1784 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1785 NPin|pin@5||0|-2||||
1786 NPin|pin@6||-1.5|1|1|1||
1787 NPin|pin@7||-1.5|-1|1|1||
1788 NPin|pin@8||0|-1||||
1789 NPin|pin@9||-0.75|-1|1|1||
1790 NPin|pin@10||-0.75|1|1|1||
1791 NPin|pin@11||0|1||||
1792 NPin|pin@12||0|2||||
1793 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
1794 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I10
1795 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I10
1796 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
1797 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
1798 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
1799 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
1800 Ed||D5G1;|pin@4||B
1801 Eg||D5G1;|pin@3||I
1802 Es||D5G1;|pin@0||B
1803 X
1804
1805 # Cell NMOSx;1{sch}
1806 CNMOSx;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
1807 INMOSx;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
1808 INMOSf;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (2-0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
1809 Ngeneric:Facet-Center|art@0||0|0||||AV
1810 NOff-Page|conn@0||6|-16.5||||
1811 NOff-Page|conn@1||5.5|0||||
1812 NOff-Page|conn@2||-18.5|-8||||
1813 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
1814 NWire_Pin|pin@1||0|-16.5||||
1815 NWire_Pin|pin@2||0|0||||
1816 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx
1817 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
1818 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Sstandard-threshold strength-based NMOS device
1819 Awire|net@0|||0|NMOSf@0|g|-3|-8|conn@2|y|-16.5|-8
1820 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
1821 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
1822 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
1823 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
1824 Ed||D5G2;|conn@1|y|B
1825 Eg||D5G2;|conn@2|a|I
1826 Es||D5G2;|conn@0|y|B
1827 X
1828
1829 # Cell NMOSx_high;1{ic}
1830 CNMOSx_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
1831 Ngeneric:Facet-Center|art@0||0|0||||AV
1832 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1833 NPin|pin@1||-2|0|1|1|RR|
1834 NPin|pin@2||-3.5|0|||RR|
1835 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
1836 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1837 NPin|pin@5||0|-2||||
1838 NPin|pin@6||-2|1|1|1||
1839 NPin|pin@7||-2|-1|1|1||
1840 NPin|pin@8||0|-1||||
1841 NPin|pin@9||-0.75|-1|1|1||
1842 NPin|pin@10||-0.75|1|1|1||
1843 NPin|pin@11||0|1||||
1844 NPin|pin@12||0|2||||
1845 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
1846 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I10
1847 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I10
1848 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
1849 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
1850 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
1851 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
1852 Ed||D5G1;|pin@4||B
1853 Eg||D5G1;|pin@3||I
1854 Es||D5G1;|pin@0||B
1855 X
1856
1857 # Cell NMOSx_high;1{sch}
1858 CNMOSx_high;1{sch}||schematic|1021415734000|1158100931062||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
1859 INMOSx_high;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
1860 INMOSf_high;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (2-0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
1861 Ngeneric:Facet-Center|art@0||0|0||||AV
1862 NOff-Page|conn@0||6|-16.5||||
1863 NOff-Page|conn@1||5.5|0||||
1864 NOff-Page|conn@2||-18.5|-8||||
1865 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
1866 NWire_Pin|pin@1||0|-16.5||||
1867 NWire_Pin|pin@2||0|0||||
1868 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_high
1869 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
1870 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Shigh-threshold strength-based NMOS device
1871 Awire|net@0|||0|NMOSf@0|g|-3.5|-8|conn@2|y|-16.5|-8
1872 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
1873 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
1874 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
1875 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
1876 Ed||D5G2;|conn@1|y|B
1877 Eg||D5G2;|conn@2|a|I
1878 Es||D5G2;|conn@0|y|B
1879 X
1880
1881 # Cell NMOSx_low;1{ic}
1882 CNMOSx_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
1883 Ngeneric:Facet-Center|art@0||0|0||||AV
1884 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1885 NPin|pin@1||-1|0|1|1|RR|
1886 NPin|pin@2||-2.5|0|||RR|
1887 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
1888 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1889 NPin|pin@5||0|-2||||
1890 NPin|pin@6||-1|1|1|1||
1891 NPin|pin@7||-1|-1|1|1||
1892 NPin|pin@8||0|-1||||
1893 NPin|pin@9||-0.75|-1|1|1||
1894 NPin|pin@10||-0.75|1|1|1||
1895 NPin|pin@11||0|1||||
1896 NPin|pin@12||0|2||||
1897 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
1898 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-1|0|ART_color()I10
1899 AThicker|net@2|||FS900|pin@6||-1|1|pin@7||-1|-1|ART_color()I10
1900 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
1901 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
1902 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
1903 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
1904 Ed||D5G1;|pin@4||B
1905 Eg||D5G1;|pin@3||I
1906 Es||D5G1;|pin@0||B
1907 X
1908
1909 # Cell NMOSx_low;1{sch}
1910 CNMOSx_low;1{sch}||schematic|1021415734000|1158100938709||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
1911 INMOSx_low;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
1912 INMOSf_low;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (2-0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 3.0*@X : 3
1913 Ngeneric:Facet-Center|art@0||0|0||||AV
1914 NOff-Page|conn@0||6|-16.5||||
1915 NOff-Page|conn@1||5.5|0||||
1916 NOff-Page|conn@2||-18.5|-8||||
1917 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
1918 NWire_Pin|pin@1||0|-16.5||||
1919 NWire_Pin|pin@2||0|0||||
1920 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_low
1921 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
1922 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Slow-threshold strength-based NMOS device
1923 Awire|net@0|||0|NMOSf@0|g|-2.5|-8|conn@2|y|-16.5|-8
1924 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
1925 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
1926 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
1927 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
1928 Ed||D5G2;|conn@1|y|B
1929 Eg||D5G2;|conn@2|a|I
1930 Es||D5G2;|conn@0|y|B
1931 X
1932
1933 # Cell NMOSx_native;1{ic}
1934 CNMOSx_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
1935 Ngeneric:Facet-Center|art@0||0|0||||AV
1936 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1937 NPin|pin@1||-0.75|0|1|1|RR|
1938 NPin|pin@2||-2.5|0|||RR|
1939 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
1940 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1941 NPin|pin@5||0|-2||||
1942 NPin|pin@8||0|-1||||
1943 NPin|pin@9||-0.75|-1|1|1||
1944 NPin|pin@10||-0.75|1|1|1||
1945 NPin|pin@11||0|1||||
1946 NPin|pin@12||0|2||||
1947 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
1948 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-0.75|0|ART_color()I10
1949 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
1950 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
1951 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
1952 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
1953 Ed||D5G1;|pin@4||B
1954 Eg||D5G1;|pin@3||I
1955 Es||D5G1;|pin@0||B
1956 X
1957
1958 # Cell NMOSx_native;1{sch}
1959 CNMOSx_native;1{sch}||schematic|1021415734000|1158100942644||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
1960 INMOSx_native;1{ic}|NMOS@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
1961 INMOSf_native;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (4-0.4) / @X + 0.4) : 4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 10.0*@X : 10.0
1962 Ngeneric:Facet-Center|art@0||0|0||||AV
1963 NOff-Page|conn@0||6|-16.5||||
1964 NOff-Page|conn@1||5.5|0||||
1965 NOff-Page|conn@2||-18.5|-8||||
1966 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
1967 NWire_Pin|pin@1||0|-16.5||||
1968 NWire_Pin|pin@2||0|0||||
1969 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_native
1970 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
1971 Ngeneric:Invisible-Pin|pin@5||0|6|||||ART_message(D5G2;)S3 terminal native strength-based NMOS device
1972 Awire|net@0|||0|NMOSf@0|g|-2.5|-8|conn@2|y|-16.5|-8
1973 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
1974 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
1975 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
1976 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
1977 Ed||D5G2;|conn@1|y|B
1978 Eg||D5G2;|conn@2|a|I
1979 Es||D5G2;|conn@0|y|B
1980 X
1981
1982 # Cell NMOSx_native_od18;1{ic}
1983 CNMOSx_native_od18;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
1984 Ngeneric:Facet-Center|art@0||0|0||||AV
1985 Ngeneric:Invisible-Pin|pin@0||0|-2||||
1986 NPin|pin@1||-1.75|0|1|1|RR|
1987 NPin|pin@2||-3|0|||RR|
1988 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
1989 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
1990 NPin|pin@5||0|-2||||
1991 NPin|pin@8||0|-1||||
1992 NPin|pin@9||-1.75|-1|1|1||
1993 NPin|pin@10||-1.75|1|1|1||
1994 NPin|pin@11||0|1||||
1995 NPin|pin@12||0|2||||
1996 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
1997 AThicker|net@0|||FS2700|pin@9||-1.75|-1|pin@10||-1.75|1|ART_color()I10
1998 AThicker|net@1|||FS0|pin@1||-1.75|0|pin@2||-3|0|ART_color()I10
1999 AThicker|net@3|||FS2700|pin@5||0|-2|pin@8||0|-1|ART_color()I10
2000 AThicker|net@4|||FS0|pin@8||0|-1|pin@9||-1.75|-1|ART_color()I10
2001 AThicker|net@5|||FS1800|pin@10||-1.75|1|pin@11||0|1|ART_color()I10
2002 AThicker|net@6|||FS2700|pin@11||0|1|pin@12||0|2|ART_color()I10
2003 Ed||D5G1;|pin@4||B
2004 Eg||D5G1;|pin@3||I
2005 Es||D5G1;|pin@0||B
2006 X
2007
2008 # Cell NMOSx_native_od18;1{sch}
2009 CNMOSx_native_od18;1{sch}||schematic|1021415734000|1158100918384||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
2010 INMOSx_native_od18;1{ic}|NMOS@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2011 INMOSf_native_od18;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (24-0.4) / @X + 0.4) : 24|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 10.0*@X : 10.0
2012 Ngeneric:Facet-Center|art@0||0|0||||AV
2013 NOff-Page|conn@0||6|-16.5||||
2014 NOff-Page|conn@1||5.5|0||||
2015 NOff-Page|conn@2||-18.5|-8||||
2016 NWire_Pin|pin@1||0|-16.5||||
2017 NWire_Pin|pin@2||0|0||||
2018 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_native_od18
2019 Ngeneric:Invisible-Pin|pin@5||0|6|||||ART_message(D5G2;)S3 terminal 1.8V native strength-based NMOS device
2020 Awire|net@0|||0|NMOSf@0|g|-3|-8|conn@2|y|-16.5|-8
2021 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
2022 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
2023 Awire|net@3|||0|conn@0|a|4|-16.5|pin@1||0|-16.5
2024 Awire|net@4|||0|conn@1|a|3.5|0|pin@2||0|0
2025 Ed||D5G2;|conn@1|y|B
2026 Eg||D5G2;|conn@2|a|I
2027 Es||D5G2;|conn@0|y|B
2028 X
2029
2030 # Cell NMOSx_native_od25;1{ic}
2031 CNMOSx_native_od25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2032 Ngeneric:Facet-Center|art@0||0|0||||AV
2033 Ngeneric:Invisible-Pin|pin@0||0|-2||||
2034 NPin|pin@1||-1.75|0|1|1|RR|
2035 NPin|pin@2||-3|0|||RR|
2036 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
2037 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
2038 NPin|pin@5||0|-2||||
2039 NPin|pin@8||0|-1||||
2040 NPin|pin@9||-1.75|-1|1|1||
2041 NPin|pin@10||-1.75|1|1|1||
2042 NPin|pin@11||0|1||||
2043 NPin|pin@12||0|2||||
2044 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S2.5V
2045 AThicker|net@0|||FS900|pin@10||-1.75|1|pin@9||-1.75|-1|ART_color()I10
2046 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.75|0|ART_color()I10
2047 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
2048 AThicker|net@4|||FS1800|pin@9||-1.75|-1|pin@8||0|-1|ART_color()I10
2049 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-1.75|1|ART_color()I10
2050 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
2051 Ed||D5G1;|pin@4||B
2052 Eg||D5G1;|pin@3||I
2053 Es||D5G1;|pin@0||B
2054 X
2055
2056 # Cell NMOSx_native_od25;1{sch}
2057 CNMOSx_native_od25;1{sch}||schematic|1021415734000|1158100915657||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
2058 INMOSx_native_od25;1{ic}|NMOS@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2059 INMOSf_native_od25;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (24-0.4) / @X + 0.4) : 24|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 10.0*@X : 10.0
2060 Ngeneric:Facet-Center|art@0||0|0||||AV
2061 NOff-Page|conn@0||6|-16.5||||
2062 NOff-Page|conn@1||5.5|0||||
2063 NOff-Page|conn@2||-18.5|-8||||
2064 NWire_Pin|pin@1||0|-16.5||||
2065 NWire_Pin|pin@2||0|0||||
2066 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_native_od25
2067 Ngeneric:Invisible-Pin|pin@5||0|6|||||ART_message(D5G2;)S3 terminal 2.5V native strength-based NMOS device
2068 Awire|net@0|||0|NMOSf@0|g|-3|-8|conn@2|y|-16.5|-8
2069 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
2070 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
2071 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
2072 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
2073 Ed||D5G2;|conn@1|y|B
2074 Eg||D5G2;|conn@2|a|I
2075 Es||D5G2;|conn@0|y|B
2076 X
2077
2078 # Cell NMOSx_native_od33;1{ic}
2079 CNMOSx_native_od33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2080 Ngeneric:Facet-Center|art@0||0|0||||AV
2081 Ngeneric:Invisible-Pin|pin@0||0|-2||||
2082 NPin|pin@1||-1.75|0|1|1|RR|
2083 NPin|pin@2||-3|0|||RR|
2084 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
2085 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
2086 NPin|pin@5||0|-2||||
2087 NPin|pin@8||0|-1||||
2088 NPin|pin@9||-1.75|-1|1|1||
2089 NPin|pin@10||-1.75|1|1|1||
2090 NPin|pin@11||0|1||||
2091 NPin|pin@12||0|2||||
2092 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S3.3V
2093 AThicker|net@0|||FS2700|pin@9||-1.75|-1|pin@10||-1.75|1|ART_color()I10
2094 AThicker|net@1|||FS0|pin@1||-1.75|0|pin@2||-3|0|ART_color()I10
2095 AThicker|net@3|||FS2700|pin@5||0|-2|pin@8||0|-1|ART_color()I10
2096 AThicker|net@4|||FS0|pin@8||0|-1|pin@9||-1.75|-1|ART_color()I10
2097 AThicker|net@5|||FS1800|pin@10||-1.75|1|pin@11||0|1|ART_color()I10
2098 AThicker|net@6|||FS2700|pin@11||0|1|pin@12||0|2|ART_color()I10
2099 Ed||D5G1;|pin@4||B
2100 Eg||D5G1;|pin@3||I
2101 Es||D5G1;|pin@0||B
2102 X
2103
2104 # Cell NMOSx_native_od33;1{sch}
2105 CNMOSx_native_od33;1{sch}||schematic|1021415734000|1158100912091||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-11.25;)S1|prototype_center()I[0,0]
2106 INMOSx_native_od33;1{ic}|NMOS@0||20|0|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
2107 INMOSf_native_od33;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (24-0.4) / @X + 0.4) : 24|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 1 ? 10.0*@X : 10.0
2108 Ngeneric:Facet-Center|art@0||0|0||||AV
2109 NOff-Page|conn@0||6|-16.5||||
2110 NOff-Page|conn@1||5.5|0||||
2111 NOff-Page|conn@2||-18.5|-8||||
2112 NWire_Pin|pin@1||0|-16.5||||
2113 NWire_Pin|pin@2||0|0||||
2114 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)SNMOSx_native_od33
2115 Ngeneric:Invisible-Pin|pin@5||0|6|||||ART_message(D5G2;)S3 terminal 3.3V native strength-based NMOS device
2116 Awire|net@0|||0|NMOSf@0|g|-3|-8|conn@2|y|-16.5|-8
2117 Awire|net@1|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
2118 Awire|net@2|||900|pin@2||0|0|NMOSf@0|d|0|-6
2119 Awire|net@3|||0|conn@0|a|4|-16.5|pin@1||0|-16.5
2120 Awire|net@4|||0|conn@1|a|3.5|0|pin@2||0|0
2121 Ed||D5G2;|conn@1|y|B
2122 Eg||D5G2;|conn@2|a|I
2123 Es||D5G2;|conn@0|y|B
2124 X
2125
2126 # Cell NMOSxwk;1{ic}
2127 CNMOSxwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2128 Ngeneric:Facet-Center|art@0||0|0||||AV
2129 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
2130 Ngeneric:Invisible-Pin|pin@1||0|-2||||
2131 NPin|pin@2||-1.25|0|1|1|RR|
2132 NPin|pin@3||-3|0|||RR|
2133 Nschematic:Bus_Pin|pin@4||-3|0|-2|-2||
2134 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
2135 NPin|pin@6||0|-2||||
2136 NPin|pin@7||-1.25|0.75|1|1||
2137 NPin|pin@8||-1.25|-0.75|1|1||
2138 NPin|pin@9||0|-0.75||||
2139 NPin|pin@10||-0.75|-0.75|1|1||
2140 NPin|pin@11||-0.75|0.75|1|1||
2141 NPin|pin@12||0|0.75||||
2142 NPin|pin@13||0|2||||
2143 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I10
2144 AThicker|net@1|||FS1800|pin@3||-3|0|pin@2||-1.25|0|ART_color()I10
2145 AThicker|net@2|||FS900|pin@7||-1.25|0.75|pin@8||-1.25|-0.75|ART_color()I10
2146 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I10
2147 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I10
2148 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I10
2149 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I10
2150 Ed||D5G1;|pin@5||B
2151 Eg||D5G1;|pin@4||I
2152 Es||D5G1;|pin@1||B
2153 X
2154
2155 # Cell NMOSxwk;1{sch}
2156 CNMOSxwk;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-13.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-12.25;)S1|prototype_center()I[0,0]
2157 INMOSfwk;1{ic}|NMOSfwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X==0 ? 0 : (@X<1) ? (1*(2-0.4)/@X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X>1 ? 3.0*@X : 3|ATTR_GEO()I0
2158 INMOSxwk;1{ic}|NMOSwk@0||23|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2159 Ngeneric:Facet-Center|art@0||0|0||||AV
2160 NOff-Page|conn@0||6|-16.5||||
2161 NOff-Page|conn@1||4.5|0||||
2162 NOff-Page|conn@2||-10|-8||||
2163 NWire_Pin|pin@0||0|-16.5||||
2164 NWire_Pin|pin@1||0|0||||
2165 Ngeneric:Invisible-Pin|pin@2||2|11.5|||||ART_message(D5G6;)SNMOSxwk
2166 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S3-terminal standard threshold weak strength based NMOS device
2167 Awire|net@0|||2700|pin@0||0|-16.5|NMOSfwk@0|s|0|-10
2168 Awire|net@1|||900|pin@1||0|0|NMOSfwk@0|d|0|-6
2169 Awire|net@2|||1800|conn@2|y|-8|-8|NMOSfwk@0|g|-3|-8
2170 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
2171 Awire|net@4|||1800|pin@1||0|0|conn@1|a|2.5|0
2172 Ed||D5G2;|conn@1|y|B
2173 Eg||D5G2;|conn@2|a|I
2174 Es||D5G2;|conn@0|y|B
2175 X
2176
2177 # Cell NMOSxwk_high;1{ic}
2178 CNMOSxwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2179 Ngeneric:Facet-Center|art@0||0|0||||AV
2180 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
2181 Ngeneric:Invisible-Pin|pin@1||0|-2||||
2182 NPin|pin@2||-1.75|0|1|1|RR|
2183 NPin|pin@3||-3|0|||RR|
2184 Nschematic:Bus_Pin|pin@4||-3|0|-2|-2||
2185 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
2186 NPin|pin@6||0|-2||||
2187 NPin|pin@7||-1.75|0.75|1|1||
2188 NPin|pin@8||-1.75|-0.75|1|1||
2189 NPin|pin@9||0|-0.75||||
2190 NPin|pin@10||-0.75|-0.75|1|1||
2191 NPin|pin@11||-0.75|0.75|1|1||
2192 NPin|pin@12||0|0.75||||
2193 NPin|pin@13||0|2||||
2194 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I10
2195 AThicker|net@1|||FS1800|pin@3||-3|0|pin@2||-1.75|0|ART_color()I10
2196 AThicker|net@2|||FS900|pin@7||-1.75|0.75|pin@8||-1.75|-0.75|ART_color()I10
2197 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I10
2198 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I10
2199 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I10
2200 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I10
2201 Ed||D5G1;|pin@5||B
2202 Eg||D5G1;|pin@4||I
2203 Es||D5G1;|pin@1||B
2204 X
2205
2206 # Cell NMOSxwk_high;1{sch}
2207 CNMOSxwk_high;1{sch}||schematic|1021415734000|1158100946251||ATTR_Delay(D5G1;HNPX-8.5;Y-13.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-12.25;)S1|prototype_center()I[0,0]
2208 INMOSfwk_high;1{ic}|NMOSfwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X==0 ? 0 : (@X<1) ? (1*(2-0.4)/@X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X>1 ? 3.0*@X : 3|ATTR_GEO()I0
2209 INMOSxwk_high;1{ic}|NMOSwk@0||23|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2210 Ngeneric:Facet-Center|art@0||0|0||||AV
2211 NOff-Page|conn@0||6|-16.5||||
2212 NOff-Page|conn@1||4.5|0||||
2213 NOff-Page|conn@2||-10|-8||||
2214 NWire_Pin|pin@0||0|-16.5||||
2215 NWire_Pin|pin@1||0|0||||
2216 Ngeneric:Invisible-Pin|pin@2||2|11.5|||||ART_message(D5G6;)SNMOSxwk_high
2217 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S3-terminal high-threshold weak strength based NMOS device
2218 Awire|net@0|||2700|pin@0||0|-16.5|NMOSfwk@0|s|0|-10
2219 Awire|net@1|||900|pin@1||0|0|NMOSfwk@0|d|0|-6
2220 Awire|net@2|||1800|conn@2|y|-8|-8|NMOSfwk@0|g|-3|-8
2221 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
2222 Awire|net@4|||1800|pin@1||0|0|conn@1|a|2.5|0
2223 Ed||D5G2;|conn@1|y|B
2224 Eg||D5G2;|conn@2|a|I
2225 Es||D5G2;|conn@0|y|B
2226 X
2227
2228 # Cell NMOSxwk_low;1{ic}
2229 CNMOSxwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2230 Ngeneric:Facet-Center|art@0||0|0||||AV
2231 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
2232 Ngeneric:Invisible-Pin|pin@1||0|-2||||
2233 NPin|pin@2||-1|0|1|1|RR|
2234 NPin|pin@3||-2.5|0|||RR|
2235 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2236 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
2237 NPin|pin@6||0|-2||||
2238 NPin|pin@9||0|-0.75||||
2239 NPin|pin@10||-0.75|-0.75|1|1||
2240 NPin|pin@11||-0.75|0.75|1|1||
2241 NPin|pin@12||0|0.75||||
2242 NPin|pin@13||0|2||||
2243 NPin|pin@14||-1|-0.75|1|1||
2244 NPin|pin@15||-1|0.75|1|1||
2245 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I10
2246 AThicker|net@1|||FS1800|pin@3||-2.5|0|pin@2||-1|0|ART_color()I10
2247 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I10
2248 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I10
2249 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I10
2250 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I10
2251 AThicker|net@7|||FS900|pin@15||-1|0.75|pin@14||-1|-0.75|ART_color()I10
2252 Ed||D5G1;|pin@5||B
2253 Eg||D5G1;|pin@4||I
2254 Es||D5G1;|pin@1||B
2255 X
2256
2257 # Cell NMOSxwk_low;1{sch}
2258 CNMOSxwk_low;1{sch}||schematic|1021415734000|1158100950827||ATTR_Delay(D5G1;HNPX-8.5;Y-13.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-12.25;)S1|prototype_center()I[0,0]
2259 INMOSfwk_low;1{ic}|NMOSfwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X==0 ? 0 : (@X<1) ? (1*(2-0.4)/@X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X>1 ? 3.0*@X : 3|ATTR_GEO()I0
2260 INMOSxwk_low;1{ic}|NMOSwk@0||23|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2261 Ngeneric:Facet-Center|art@0||0|0||||AV
2262 NOff-Page|conn@0||6|-16.5||||
2263 NOff-Page|conn@1||4.5|0||||
2264 NOff-Page|conn@2||-10|-8||||
2265 NWire_Pin|pin@0||0|-16.5||||
2266 NWire_Pin|pin@1||0|0||||
2267 Ngeneric:Invisible-Pin|pin@2||2|11.5|||||ART_message(D5G6;)SNMOSxwk_low
2268 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S3-terminal low-threshold weak strength based NMOS device
2269 Awire|net@0|||2700|pin@0||0|-16.5|NMOSfwk@0|s|0|-10
2270 Awire|net@1|||900|pin@1||0|0|NMOSfwk@0|d|0|-6
2271 Awire|net@2|||1800|conn@2|y|-8|-8|NMOSfwk@0|g|-2.5|-8
2272 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
2273 Awire|net@4|||1800|pin@1||0|0|conn@1|a|2.5|0
2274 Ed||D5G2;|conn@1|y|B
2275 Eg||D5G2;|conn@2|a|I
2276 Es||D5G2;|conn@0|y|B
2277 X
2278
2279 # Cell NMOSxwk_native;1{ic}
2280 CNMOSxwk_native;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[0,-8000]
2281 Ngeneric:Facet-Center|art@0||0|0||||AV
2282 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
2283 Ngeneric:Invisible-Pin|pin@1||0|-2||||
2284 NPin|pin@2||-0.75|0|1|1|RR|
2285 NPin|pin@3||-2.5|0|||RR|
2286 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2287 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
2288 NPin|pin@6||0|-2||||
2289 NPin|pin@9||0|-0.75||||
2290 NPin|pin@10||-0.75|-0.75|1|1||
2291 NPin|pin@11||-0.75|0.75|1|1||
2292 NPin|pin@12||0|0.75||||
2293 NPin|pin@13||0|2||||
2294 AThicker|net@0|||FS900|pin@11||-0.75|0.75|pin@10||-0.75|-0.75|ART_color()I10
2295 AThicker|net@1|||FS1800|pin@3||-2.5|0|pin@2||-0.75|0|ART_color()I10
2296 AThicker|net@3|||FS900|pin@9||0|-0.75|pin@6||0|-2|ART_color()I10
2297 AThicker|net@4|||FS1800|pin@10||-0.75|-0.75|pin@9||0|-0.75|ART_color()I10
2298 AThicker|net@5|||FS0|pin@12||0|0.75|pin@11||-0.75|0.75|ART_color()I10
2299 AThicker|net@6|||FS900|pin@13||0|2|pin@12||0|0.75|ART_color()I10
2300 Ed||D5G1;|pin@5||B
2301 Eg||D5G1;|pin@4||I
2302 Es||D5G1;|pin@1||B
2303 X
2304
2305 # Cell NMOSxwk_native;2{sch}
2306 CNMOSxwk_native;2{sch}||schematic|1021415734000|1158100953810||ATTR_Delay(D5G1;HNPX-8.5;Y-13.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y-12.25;)S1|prototype_center()I[0,0]
2307 INMOSfwk_native;1{ic}|NMOSfwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X==0 ? 0 : (@X<1) ? (1*(4-0.4)/@X + 0.4) : 4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X>1 ? 10.0*@X : 10.0|ATTR_GEO()I0
2308 INMOSxwk_native;1{ic}|NMOSwk@0||23|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
2309 Ngeneric:Facet-Center|art@0||0|0||||AV
2310 NOff-Page|conn@0||6|-16.5||||
2311 NOff-Page|conn@1||4.5|0||||
2312 NOff-Page|conn@2||-10|-8||||
2313 NWire_Pin|pin@0||0|-16.5||||
2314 NWire_Pin|pin@1||0|0||||
2315 Ngeneric:Invisible-Pin|pin@2||2|11.5|||||ART_message(D5G6;)SNMOSxwk_native
2316 Ngeneric:Invisible-Pin|pin@3||1|5.5|||||ART_message(D5G2;)S3-terminal native weak strength based NMOS device
2317 Awire|net@0|||2700|pin@0||0|-16.5|NMOSfwk@0|s|0|-10
2318 Awire|net@1|||900|pin@1||0|0|NMOSfwk@0|d|0|-6
2319 Awire|net@2|||1800|conn@2|y|-8|-8|NMOSfwk@0|g|-2.5|-8
2320 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
2321 Awire|net@4|||1800|pin@1||0|0|conn@1|a|2.5|0
2322 Ed||D5G2;|conn@1|y|B
2323 Eg||D5G2;|conn@2|a|I
2324 Es||D5G2;|conn@0|y|B
2325 X
2326
2327 # Cell PMOS4f;1{ic}
2328 CPMOS4f;1{ic}||artwork|1021415734000|1204327262057|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|ATTR_goop(D5G1;HNOLPX3.5;Y-3;)S1|prototype_center()I[0,0]
2329 Ngeneric:Facet-Center|art@0||0|0||||AV
2330 NThick-Circle|art@1||-2|0|1|1|||ART_color()I74
2331 NPin|pin@0||-0.5|0.25|1|1|YRR|
2332 NPin|pin@1||-0.5|0.75|1|1|YRR|
2333 NPin|pin@2||-0.75|0.5|1|1|Y|
2334 NPin|pin@3||0|0.5||||
2335 Ngeneric:Invisible-Pin|pin@4||0|2||||
2336 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2337 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2338 Nschematic:Bus_Pin|pin@7||-3|0|-2|-2||
2339 NPin|pin@8||0|1||||
2340 NPin|pin@9||-0.75|1|1|1||
2341 NPin|pin@10||-0.75|-1|1|1||
2342 NPin|pin@11||0|-1||||
2343 NPin|pin@12||0|-2||||
2344 NPin|pin@13||-3|0|||RR|
2345 NPin|pin@14||-2.5|0|1|1|RR|
2346 NPin|pin@15||0|2||||
2347 NPin|pin@16||-1.5|-1|1|1||
2348 NPin|pin@17||-1.5|1|1|1||
2349 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2350 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2351 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2352 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2353 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2354 AThicker|net@5|||FS1800|pin@13||-3|0|pin@14||-2.5|0|ART_color()I74
2355 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2356 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2357 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2358 AThicker|net@9|||FS900|pin@17||-1.5|1|pin@16||-1.5|-1|ART_color()I74
2359 Eb||D5G1;|pin@5||B
2360 Ed||D5G1;|pin@6||B
2361 Eg||D5G1;|pin@7||I
2362 Es||D5G1;|pin@4||B
2363 X
2364
2365 # Cell PMOS4f;1{sch}
2366 CPMOS4f;1{sch}||schematic|1021415734000|1217451702506||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_goop(D5G1;HNOLPX-2;Y-17;)S1|ATTR_CDL_template(D5G1;NTX2;Y-13;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))' M='$(goop)'|ATTR_SPICE_template_calibre(D5G1;NTX2.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)' L='$(L)' M='$(goop)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2367 IPMOS4f;1{ic}|PMOS4f@0||17.25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
2368 Ngeneric:Facet-Center|art@0||0|0||||AV
2369 NOff-Page|conn@0||5|11.5||||
2370 NOff-Page|conn@1||5|8||||
2371 NOff-Page|conn@2||-12|7||||
2372 NOff-Page|conn@3||5|1||||
2373 NWire_Pin|pin@0||0|11.5||||
2374 NWire_Pin|pin@1||0|1||||
2375 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)SPMOS4f
2376 Ngeneric:Invisible-Pin|pin@3||-1.5|18.5|||||ART_message(D5G2;)S4-terminal standard-threshold PMOS device
2377 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Spch
2378 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2379 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2380 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2381 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2382 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2383 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2384 Eb||D5G2;|conn@1|y|B
2385 Ed||D5G2;|conn@3|y|B
2386 Eg||D5G2;|conn@2|a|I
2387 Es||D5G2;|conn@0|y|B
2388 X
2389
2390 # Cell PMOS4f_high;1{ic}
2391 CPMOS4f_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.75;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.25;Y-0.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
2392 Ngeneric:Facet-Center|art@0||0|0||||AV
2393 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
2394 NPin|pin@0||-0.5|0.25|1|1|YRR|
2395 NPin|pin@1||-0.5|0.75|1|1|YRR|
2396 NPin|pin@2||-0.75|0.5|1|1|Y|
2397 NPin|pin@3||0|0.5||||
2398 Ngeneric:Invisible-Pin|pin@4||0|2||||
2399 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2400 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2401 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
2402 NPin|pin@8||0|1||||
2403 NPin|pin@9||-0.75|1|1|1||
2404 NPin|pin@10||-0.75|-1|1|1||
2405 NPin|pin@11||0|-1||||
2406 NPin|pin@12||0|-2||||
2407 NPin|pin@13||-3.5|0|||RR|
2408 NPin|pin@14||-3|0|1|1|RR|
2409 NPin|pin@15||0|2||||
2410 NPin|pin@16||-2|-1|1|1||
2411 NPin|pin@17||-2|1|1|1||
2412 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2413 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2414 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2415 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2416 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2417 AThicker|net@5|||FS1800|pin@13||-3.5|0|pin@14||-3|0|ART_color()I74
2418 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2419 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2420 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2421 AThicker|net@9|||FS900|pin@17||-2|1|pin@16||-2|-1|ART_color()I74
2422 Eb||D5G1;|pin@5||B
2423 Ed||D5G1;|pin@6||B
2424 Eg||D5G1;|pin@7||I
2425 Es||D5G1;|pin@4||B
2426 X
2427
2428 # Cell PMOS4f_high;1{sch}
2429 CPMOS4f_high;1{sch}||schematic|1021415734000|1159313486964||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  VTH-P-Transistor|ATTR_SPICE_template(D5G1;NTX0.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2430 IPMOS4f_high;1{ic}|PMOS4f@0||17.25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.25;Y-0.25;)S2|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
2431 Ngeneric:Facet-Center|art@0||0|0||||AV
2432 NOff-Page|conn@0||5|11.5||||
2433 NOff-Page|conn@1||5|8||||
2434 NOff-Page|conn@2||-12|7||||
2435 NOff-Page|conn@3||5|1||||
2436 NWire_Pin|pin@0||0|11.5||||
2437 NWire_Pin|pin@1||0|1||||
2438 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)SPMOS4f_high
2439 Ngeneric:Invisible-Pin|pin@3||-1.5|18.5|||||ART_message(D5G2;)S4-terminal high-threshold PMOS device
2440 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_hvt
2441 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2442 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2443 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2444 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2445 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2446 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2447 Eb||D5G2;|conn@1|y|B
2448 Ed||D5G2;|conn@3|y|B
2449 Eg||D5G2;|conn@2|a|I
2450 Es||D5G2;|conn@0|y|B
2451 X
2452
2453 # Cell PMOS4f_io18;1{ic}
2454 CPMOS4f_io18;1{ic}||artwork|1021415734000|1204528157020|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|ATTR_goop(D5G1;HNPX3.25;Y-3.5;)I1|prototype_center()I[0,0]
2455 Ngeneric:Facet-Center|art@0||0|0||||AV
2456 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
2457 NPin|pin@0||-0.5|0.25|1|1|YRR|
2458 NPin|pin@1||-0.5|0.75|1|1|YRR|
2459 NPin|pin@2||-0.75|0.5|1|1|Y|
2460 NPin|pin@3||0|0.5||||
2461 Ngeneric:Invisible-Pin|pin@4||0|2||||
2462 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2463 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2464 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
2465 NPin|pin@8||0|1||||
2466 NPin|pin@9||-0.75|1|1|1||
2467 NPin|pin@10||-0.75|-1|1|1||
2468 NPin|pin@11||0|-1||||
2469 NPin|pin@12||0|-2||||
2470 NPin|pin@13||-3.5|0|||RR|
2471 NPin|pin@14||-3|0|1|1|RR|
2472 NPin|pin@15||0|2||||
2473 NPin|pin@16||-2|-1|1|1||
2474 NPin|pin@17||-2|1|1|1||
2475 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S1.8V
2476 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2477 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2478 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2479 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2480 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2481 AThicker|net@5|||FS1800|pin@13||-3.5|0|pin@14||-3|0|ART_color()I74
2482 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2483 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2484 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2485 AThicker|net@9|||FS900|pin@17||-2|1|pin@16||-2|-1|ART_color()I74
2486 Eb||D5G1;|pin@5||B
2487 Ed||D5G1;|pin@6||B
2488 Eg||D5G1;|pin@7||I
2489 Es||D5G1;|pin@4||B
2490 X
2491
2492 # Cell PMOS4f_io18;1{sch}
2493 CPMOS4f_io18;1{sch}||schematic|1021415734000|1217450448856||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_goop(D5G1;HNPX-8.75;Y-2.5;)I1|ATTR_CDL_template(D5G1;NTX1;Y-15.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD18-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))' M='$(goop)'|ATTR_SPICE_template_calibre(D5G1;NTX1.5;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)' L='$(L)' M='$(goop)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2494 IPMOS4f_io18;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
2495 Ngeneric:Facet-Center|art@0||0|0||||AV
2496 NOff-Page|conn@0||5|11.5||||
2497 NOff-Page|conn@1||5|8||||
2498 NOff-Page|conn@2||-12|7||||
2499 NOff-Page|conn@3||5|1||||
2500 NWire_Pin|pin@0||0|11.5||||
2501 NWire_Pin|pin@1||0|1||||
2502 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOS4f_io18
2503 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S4-terminal PMOS device for 1.8V I/O pads
2504 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 4
2505 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3.5;)Spch_18
2506 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2507 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2508 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2509 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2510 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2511 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2512 Eb||D5G2;|conn@1|y|B
2513 Ed||D5G2;|conn@3|y|B
2514 Eg||D5G2;|conn@2|a|I
2515 Es||D5G2;|conn@0|y|B
2516 X
2517
2518 # Cell PMOS4f_io25;1{ic}
2519 CPMOS4f_io25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
2520 Ngeneric:Facet-Center|art@0||0|0||||AV
2521 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
2522 NPin|pin@0||-0.5|0.25|1|1|YRR|
2523 NPin|pin@1||-0.5|0.75|1|1|YRR|
2524 NPin|pin@2||-0.75|0.5|1|1|Y|
2525 NPin|pin@3||0|0.5||||
2526 Ngeneric:Invisible-Pin|pin@4||0|2||||
2527 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2528 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2529 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
2530 NPin|pin@8||0|1||||
2531 NPin|pin@9||-0.75|1|1|1||
2532 NPin|pin@10||-0.75|-1|1|1||
2533 NPin|pin@11||0|-1||||
2534 NPin|pin@12||0|-2||||
2535 NPin|pin@13||-3.5|0|||RR|
2536 NPin|pin@14||-3|0|1|1|RR|
2537 NPin|pin@15||0|2||||
2538 NPin|pin@16||-2|-1|1|1||
2539 NPin|pin@17||-2|1|1|1||
2540 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S2.5V
2541 AThicker|net@0|||FS1350|pin@2||-0.75|0.5|pin@0||-0.5|0.25|ART_color()I74
2542 AThicker|net@1|||FS2250|pin@2||-0.75|0.5|pin@1||-0.5|0.75|ART_color()I74
2543 AThicker|net@2|||FS1800|pin@2||-0.75|0.5|pin@3||0|0.5|ART_color()I74
2544 AThicker|net@3|||FS1800|pin@9||-0.75|1|pin@8||0|1|ART_color()I74
2545 AThicker|net@4|||FS0|pin@11||0|-1|pin@10||-0.75|-1|ART_color()I74
2546 AThicker|net@5|||FS0|pin@14||-3|0|pin@13||-3.5|0|ART_color()I74
2547 AThicker|net@6|||FS900|pin@15||0|2|pin@8||0|1|ART_color()I74
2548 AThicker|net@7|||FS2700|pin@12||0|-2|pin@11||0|-1|ART_color()I74
2549 AThicker|net@8|||FS2700|pin@10||-0.75|-1|pin@9||-0.75|1|ART_color()I74
2550 AThicker|net@9|||FS2700|pin@16||-2|-1|pin@17||-2|1|ART_color()I74
2551 Eb||D5G1;|pin@5||B
2552 Ed||D5G1;|pin@6||B
2553 Eg||D5G1;|pin@7||I
2554 Es||D5G1;|pin@4||B
2555 X
2556
2557 # Cell PMOS4f_io25;1{sch}
2558 CPMOS4f_io25;1{sch}||schematic|1021415734000|1159313450692||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-15.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD25-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_25 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_25 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2559 IPMOS4f_io25;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S5.6|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
2560 Ngeneric:Facet-Center|art@0||0|0||||AV
2561 NOff-Page|conn@0||5|11.5||||
2562 NOff-Page|conn@1||5|8||||
2563 NOff-Page|conn@2||-12|7||||
2564 NOff-Page|conn@3||5|1||||
2565 NWire_Pin|pin@0||0|11.5||||
2566 NWire_Pin|pin@1||0|1||||
2567 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOS4f_io25
2568 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S4-terminal PMOS device for 2.5V I/O pads
2569 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 2.5V thick-oxide devices is 5.6
2570 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-4;)Spch_25
2571 Awire|net@0|||1800|conn@2|y|-10|7|pmos4p@0|g|-3|7
2572 Awire|net@1|||0|conn@0|a|3|11.5|pin@0||0|11.5
2573 Awire|net@2|||900|pin@0||0|11.5|pmos4p@0|s|0|9
2574 Awire|net@3|||0|conn@1|a|3|8|pmos4p@0|b|0|8
2575 Awire|net@4|||1800|pin@1||0|1|conn@3|a|3|1
2576 Awire|net@5|||900|pmos4p@0|d|0|5|pin@1||0|1
2577 Eb||D5G2;|conn@1|y|B
2578 Ed||D5G2;|conn@3|y|B
2579 Eg||D5G2;|conn@2|a|I
2580 Es||D5G2;|conn@0|y|B
2581 X
2582
2583 # Cell PMOS4f_io33;1{ic}
2584 CPMOS4f_io33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
2585 Ngeneric:Facet-Center|art@0||0|0||||AV
2586 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
2587 NPin|pin@0||-0.5|0.25|1|1|YRR|
2588 NPin|pin@1||-0.5|0.75|1|1|YRR|
2589 NPin|pin@2||-0.75|0.5|1|1|Y|
2590 NPin|pin@3||0|0.5||||
2591 Ngeneric:Invisible-Pin|pin@4||0|2||||
2592 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2593 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2594 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
2595 NPin|pin@8||0|1||||
2596 NPin|pin@9||-0.75|1|1|1||
2597 NPin|pin@10||-0.75|-1|1|1||
2598 NPin|pin@11||0|-1||||
2599 NPin|pin@12||0|-2||||
2600 NPin|pin@13||-3.5|0|||RR|
2601 NPin|pin@14||-3|0|1|1|RR|
2602 NPin|pin@15||0|2||||
2603 NPin|pin@16||-2|-1|1|1||
2604 NPin|pin@17||-2|1|1|1||
2605 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S3.3V
2606 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2607 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2608 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2609 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2610 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2611 AThicker|net@5|||FS1800|pin@13||-3.5|0|pin@14||-3|0|ART_color()I74
2612 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2613 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2614 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2615 AThicker|net@9|||FS900|pin@17||-2|1|pin@16||-2|-1|ART_color()I74
2616 Eb||D5G1;|pin@5||B
2617 Ed||D5G1;|pin@6||B
2618 Eg||D5G1;|pin@7||I
2619 Es||D5G1;|pin@4||B
2620 X
2621
2622 # Cell PMOS4f_io33;1{sch}
2623 CPMOS4f_io33;1{sch}||schematic|1021415734000|1159313431087||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-15.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD33-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_33 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_33 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2624 IPMOS4f_io33;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S7.6|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
2625 Ngeneric:Facet-Center|art@0||0|0||||AV
2626 NOff-Page|conn@0||5|11.5||||
2627 NOff-Page|conn@1||5|8||||
2628 NOff-Page|conn@2||-12|7||||
2629 NOff-Page|conn@3||5|1||||
2630 NWire_Pin|pin@0||0|11.5||||
2631 NWire_Pin|pin@1||0|1||||
2632 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOS4f_io33
2633 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S4-terminal PMOS device for 3.3V I/O pads
2634 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 3.3V thick-oxide devices is 7.6
2635 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_33
2636 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2637 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2638 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2639 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2640 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2641 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2642 Eb||D5G2;|conn@1|y|B
2643 Ed||D5G2;|conn@3|y|B
2644 Eg||D5G2;|conn@2|a|I
2645 Es||D5G2;|conn@0|y|B
2646 X
2647
2648 # Cell PMOS4f_low;1{ic}
2649 CPMOS4f_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,0]
2650 Ngeneric:Facet-Center|art@0||0|0||||AV
2651 NThick-Circle|art@1||-1.5|0|1|1|||ART_color()I74
2652 NPin|pin@0||-0.5|0.25|1|1|YRR|
2653 NPin|pin@1||-0.5|0.75|1|1|YRR|
2654 NPin|pin@2||-0.75|0.5|1|1|Y|
2655 NPin|pin@3||0|0.5||||
2656 Ngeneric:Invisible-Pin|pin@4||0|2||||
2657 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2658 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2659 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
2660 NPin|pin@8||0|1||||
2661 NPin|pin@9||-0.75|1|1|1||
2662 NPin|pin@10||-0.75|-1|1|1||
2663 NPin|pin@11||0|-1||||
2664 NPin|pin@12||0|-2||||
2665 NPin|pin@13||-2.5|0|||RR|
2666 NPin|pin@14||-2|0|1|1|RR|
2667 NPin|pin@15||0|2||||
2668 NPin|pin@16||-1|-1|1|1||
2669 NPin|pin@17||-1|1|1|1||
2670 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2671 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2672 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2673 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2674 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2675 AThicker|net@5|||FS1800|pin@13||-2.5|0|pin@14||-2|0|ART_color()I74
2676 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2677 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2678 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2679 AThicker|net@9|||FS900|pin@17||-1|1|pin@16||-1|-1|ART_color()I74
2680 Eb||D5G1;|pin@5||B
2681 Ed||D5G1;|pin@6||B
2682 Eg||D5G1;|pin@7||I
2683 Es||D5G1;|pin@4||B
2684 X
2685
2686 # Cell PMOS4f_low;1{sch}
2687 CPMOS4f_low;1{sch}||schematic|1021415734000|1158015601561||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX1;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  VTL-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1.5;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2688 IPMOS4f_low;1{ic}|PMOS4f@0||17.25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
2689 Ngeneric:Facet-Center|art@0||0|0||||AV
2690 NOff-Page|conn@0||5|11.5||||
2691 NOff-Page|conn@1||5|8||||
2692 NOff-Page|conn@2||-12|7||||
2693 NOff-Page|conn@3||5|1||||
2694 NWire_Pin|pin@0||0|11.5||||
2695 NWire_Pin|pin@1||0|1||||
2696 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)SPMOS4f_low
2697 Ngeneric:Invisible-Pin|pin@3||-1.5|18.5|||||ART_message(D5G2;)S4-terminal low-threshold PMOS device
2698 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Spch_lvt
2699 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2700 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2701 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2702 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2703 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2704 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2705 Eb||D5G2;|conn@1|y|B
2706 Ed||D5G2;|conn@3|y|B
2707 Eg||D5G2;|conn@2|a|I
2708 Es||D5G2;|conn@0|y|B
2709 X
2710
2711 # Cell PMOS4fwk;1{ic}
2712 CPMOS4fwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,0]
2713 Ngeneric:Facet-Center|art@0||0|0||||AV
2714 NThick-Circle|art@1||-1.5|0|0.5|0.5|RR||ART_color()I74
2715 Nschematic:Bus_Pin|pin@0||0|0.5||||
2716 NPin|pin@1||-0.75|0.5|1|1|Y|
2717 NPin|pin@2||0|0.5||||
2718 NPin|pin@3||-0.5|0.25|1|1|YRR|
2719 NPin|pin@4||-0.75|0.5|1|1|Y|
2720 NPin|pin@5||-0.5|0.75|1|1|YRR|
2721 NPin|pin@6||-0.75|0.5|1|1|Y|
2722 NPin|pin@7||-1.25|-0.75|1|1|Y|
2723 NPin|pin@8||-1.25|0.75|1|1|Y|
2724 NPin|pin@9||0|2||||
2725 NPin|pin@10||-1.75|0|1|1|RRR|
2726 NPin|pin@11||-3|0|||RR|
2727 NPin|pin@12||0|-2||||
2728 NPin|pin@13||0|-0.75||||
2729 NPin|pin@14||-0.75|-0.75|1|1||
2730 NPin|pin@15||-0.75|0.75|1|1||
2731 NPin|pin@16||0|0.75||||
2732 Nschematic:Bus_Pin|pin@17||-3|0|-2|-2||
2733 Nschematic:Bus_Pin|pin@18||0|-2|-2|-2||
2734 Ngeneric:Invisible-Pin|pin@19||0|2||||
2735 Ngeneric:Invisible-Pin|pin@20||-0.5|0|||||ART_message(D5G1;)S[wk]
2736 AThicker|net@0|||FS0|pin@2||0|0.5|pin@1||-0.75|0.5|ART_color()I74
2737 AThicker|net@1|||FS3150|pin@3||-0.5|0.25|pin@4||-0.75|0.5|ART_color()I74
2738 AThicker|net@2|||FS450|pin@5||-0.5|0.75|pin@6||-0.75|0.5|ART_color()I74
2739 AThicker|net@3|||FS2700|pin@7||-1.25|-0.75|pin@8||-1.25|0.75|ART_color()I74
2740 AThicker|net@4|||FS900|pin@15||-0.75|0.75|pin@14||-0.75|-0.75|ART_color()I74
2741 AThicker|net@5|||FS900|pin@13||0|-0.75|pin@12||0|-2|ART_color()I74
2742 AThicker|net@6|||FS2700|pin@16||0|0.75|pin@9||0|2|ART_color()I74
2743 AThicker|net@7|||FS1800|pin@11||-3|0|pin@10||-1.75|0|ART_color()I74
2744 AThicker|net@8|||FS1800|pin@14||-0.75|-0.75|pin@13||0|-0.75|ART_color()I74
2745 AThicker|net@9|||FS0|pin@16||0|0.75|pin@15||-0.75|0.75|ART_color()I74
2746 Eb||D5G1;|pin@0||B
2747 Ed||D8G1;|pin@18||B
2748 Eg||D6G1;|pin@17||I
2749 Es||D2G1;|pin@19||B
2750 X
2751
2752 # Cell PMOS4fwk;1{sch}
2753 CPMOS4fwk;1{sch}||schematic|1021415734000|1159313551113||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX-2;Y-12.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX-2;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-14.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-5.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-2.5;Y-10;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2754 IPMOS4fwk;1{ic}|PMOS4fwk@0||23.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
2755 Ngeneric:Facet-Center|art@0||0|0||||AV
2756 NOff-Page|conn@0||5|8||||
2757 NOff-Page|conn@1||5|1||||
2758 NOff-Page|conn@2||-8|7||||
2759 NOff-Page|conn@3||5|11.5||||
2760 Ngeneric:Invisible-Pin|pin@0||-1.5|19.5|||||ART_message(D5G2;)S4-terminal standard threshold weak PMOS device
2761 Ngeneric:Invisible-Pin|pin@1||-1|26|||||ART_message(D5G6;)SPMOS4fwk
2762 NWire_Pin|pin@2||0|1||||
2763 NWire_Pin|pin@3||0|11.5||||
2764 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch|SIM_weak_node(D5G1;)SWeak
2765 Awire|net@0|||1800|pmos4p@0|b|0|8|conn@0|a|3|8
2766 Awire|net@1|||1800|conn@2|y|-6|7|pmos4p@0|g|-3|7
2767 Awire|net@2|||2700|pin@2||0|1|pmos4p@0|d|0|5
2768 Awire|net@3|||0|conn@1|a|3|1|pin@2||0|1
2769 Awire|net@4|||2700|pmos4p@0|s|0|9|pin@3||0|11.5
2770 Awire|net@5|||1800|pin@3||0|11.5|conn@3|a|3|11.5
2771 Eb||D5G2;|conn@0|y|B
2772 Ed||D5G2;|conn@1|y|B
2773 Eg||D5G2;|conn@2|a|I
2774 Es||D5G2;|conn@3|y|B
2775 X
2776
2777 # Cell PMOS4fwk_high;1{ic}
2778 CPMOS4fwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,0]
2779 Ngeneric:Facet-Center|art@0||0|0||||AV
2780 NThick-Circle|art@1||-1.75|0|0.5|0.5|RR||ART_color()I74
2781 Nschematic:Bus_Pin|pin@0||0|0.5||||
2782 NPin|pin@1||-0.75|0.5|1|1|Y|
2783 NPin|pin@2||0|0.5||||
2784 NPin|pin@3||-0.5|0.25|1|1|YRR|
2785 NPin|pin@4||-0.75|0.5|1|1|Y|
2786 NPin|pin@5||-0.5|0.75|1|1|YRR|
2787 NPin|pin@6||-0.75|0.5|1|1|Y|
2788 NPin|pin@7||-1.5|-0.75|1|1|Y|
2789 NPin|pin@8||-1.5|0.75|1|1|Y|
2790 NPin|pin@9||0|2||||
2791 NPin|pin@10||-2|0|1|1|RRR|
2792 NPin|pin@11||-3|0|||RR|
2793 NPin|pin@12||0|-2||||
2794 NPin|pin@13||0|-0.75||||
2795 NPin|pin@14||-0.75|-0.75|1|1||
2796 NPin|pin@15||-0.75|0.75|1|1||
2797 NPin|pin@16||0|0.75||||
2798 Nschematic:Bus_Pin|pin@17||-3|0|-2|-2||
2799 Nschematic:Bus_Pin|pin@18||0|-2|-2|-2||
2800 Ngeneric:Invisible-Pin|pin@19||0|2||||
2801 Ngeneric:Invisible-Pin|pin@20||-0.5|0|||||ART_message(D5G1;)S[wk]
2802 AThicker|net@0|||FS0|pin@2||0|0.5|pin@1||-0.75|0.5|ART_color()I74
2803 AThicker|net@1|||FS3150|pin@3||-0.5|0.25|pin@4||-0.75|0.5|ART_color()I74
2804 AThicker|net@2|||FS450|pin@5||-0.5|0.75|pin@6||-0.75|0.5|ART_color()I74
2805 AThicker|net@3|||FS2700|pin@7||-1.5|-0.75|pin@8||-1.5|0.75|ART_color()I74
2806 AThicker|net@4|||FS900|pin@15||-0.75|0.75|pin@14||-0.75|-0.75|ART_color()I74
2807 AThicker|net@5|||FS900|pin@13||0|-0.75|pin@12||0|-2|ART_color()I74
2808 AThicker|net@6|||FS2700|pin@16||0|0.75|pin@9||0|2|ART_color()I74
2809 AThicker|net@7|||FS1800|pin@11||-3|0|pin@10||-2|0|ART_color()I74
2810 AThicker|net@8|||FS1800|pin@14||-0.75|-0.75|pin@13||0|-0.75|ART_color()I74
2811 AThicker|net@9|||FS0|pin@16||0|0.75|pin@15||-0.75|0.75|ART_color()I74
2812 Eb||D5G1;|pin@0||B
2813 Ed||D8G1;|pin@18||B
2814 Eg||D6G1;|pin@17||I
2815 Es||D2G1;|pin@19||B
2816 X
2817
2818 # Cell PMOS4fwk_high;1{sch}
2819 CPMOS4fwk_high;1{sch}||schematic|1021415734000|1159313533312||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX-0.5;Y-14;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTY-12;)StransistorType  VTH-P-Transistor|ATTR_SPICE_template(D5G1;NTX1.5;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTY-16;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-5.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-3;Y-10;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2820 IPMOS4fwk_high;1{ic}|PMOS4fwk@0||23.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
2821 Ngeneric:Facet-Center|art@0||0|0||||AV
2822 NOff-Page|conn@0||5|8||||
2823 NOff-Page|conn@1||5|1||||
2824 NOff-Page|conn@2||-8|7||||
2825 NOff-Page|conn@3||5|11.5||||
2826 Ngeneric:Invisible-Pin|pin@0||-1.5|19.5|||||ART_message(D5G2;)S4-terminal high-threshold weak PMOS device
2827 Ngeneric:Invisible-Pin|pin@1||-1|26|||||ART_message(D5G6;)SPMOS4wk_high
2828 NWire_Pin|pin@2||0|1||||
2829 NWire_Pin|pin@3||0|11.5||||
2830 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_hvt|SIM_weak_node(D5G1;)SWeak
2831 Awire|net@0|||1800|pmos4p@0|b|0|8|conn@0|a|3|8
2832 Awire|net@1|||1800|conn@2|y|-6|7|pmos4p@0|g|-3|7
2833 Awire|net@2|||2700|pin@2||0|1|pmos4p@0|d|0|5
2834 Awire|net@3|||0|conn@1|a|3|1|pin@2||0|1
2835 Awire|net@4|||2700|pmos4p@0|s|0|9|pin@3||0|11.5
2836 Awire|net@5|||1800|pin@3||0|11.5|conn@3|a|3|11.5
2837 Eb||D5G2;|conn@0|y|B
2838 Ed||D5G2;|conn@1|y|B
2839 Eg||D5G2;|conn@2|a|I
2840 Es||D5G2;|conn@3|y|B
2841 X
2842
2843 # Cell PMOS4fwk_io18;1{ic}
2844 CPMOS4fwk_io18;1{ic}||artwork|1021415734000|1213400548226|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|ATTR_goop(D5G1;HNPX3.25;Y-3.5;)I1|prototype_center()I[0,0]
2845 Ngeneric:Facet-Center|art@0||0|0||||AV
2846 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
2847 NPin|pin@0||-0.5|0.25|1|1|YRR|
2848 NPin|pin@1||-0.5|0.75|1|1|YRR|
2849 NPin|pin@2||-0.75|0.5|1|1|Y|
2850 NPin|pin@3||0|0.5||||
2851 Ngeneric:Invisible-Pin|pin@4||0|2||||
2852 Ngeneric:Invisible-Pin|pin@5||0|0.5||||
2853 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
2854 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
2855 NPin|pin@8||0|1||||
2856 NPin|pin@9||-0.75|1|1|1||
2857 NPin|pin@10||-0.75|-1|1|1||
2858 NPin|pin@11||0|-1||||
2859 NPin|pin@12||0|-2||||
2860 NPin|pin@13||-3.5|0|||RR|
2861 NPin|pin@14||-3|0|1|1|RR|
2862 NPin|pin@15||0|2||||
2863 NPin|pin@16||-2|-1|1|1||
2864 NPin|pin@17||-2|1|1|1||
2865 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S1.8V
2866 Ngeneric:Invisible-Pin|pin@19||0|-0.5|||||ART_message(D5G1;)Swk
2867 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I74
2868 AThicker|net@1|||FS450|pin@1||-0.5|0.75|pin@2||-0.75|0.5|ART_color()I74
2869 AThicker|net@2|||FS0|pin@3||0|0.5|pin@2||-0.75|0.5|ART_color()I74
2870 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
2871 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
2872 AThicker|net@5|||FS1800|pin@13||-3.5|0|pin@14||-3|0|ART_color()I74
2873 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
2874 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
2875 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
2876 AThicker|net@9|||FS900|pin@17||-2|1|pin@16||-2|-1|ART_color()I74
2877 Eb||D5G1;|pin@5||B
2878 Ed||D5G1;|pin@6||B
2879 Eg||D5G1;|pin@7||I
2880 Es||D5G1;|pin@4||B
2881 X
2882
2883 # Cell PMOS4fwk_io18;1{sch}
2884 CPMOS4fwk_io18;1{sch}||schematic|1021415734000|1213400840108||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_goop(D5G1;HNPX-8.75;Y-2.5;)I1|ATTR_CDL_template(D5G1;NTX1;Y-15.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD18-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1.5;Y-17.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.05u' L='$(L)*0.05u' M='$(goop)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2885 IPMOS4fwk_io18;1{ic}|PMOS4fwk@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
2886 Ngeneric:Facet-Center|art@0||0|0||||AV
2887 NOff-Page|conn@0||5|11.5||||
2888 NOff-Page|conn@1||5|8||||
2889 NOff-Page|conn@2||-12|7||||
2890 NOff-Page|conn@3||5|1||||
2891 NWire_Pin|pin@0||0|11.5||||
2892 NWire_Pin|pin@1||0|1||||
2893 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOS4fwk_io18
2894 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S4-terminal PMOS device for 1.8V I/O pads
2895 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 4
2896 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3.5;)Spch_18
2897 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
2898 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
2899 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
2900 Awire|net@3|||1800|pmos4p@0|b|0|8|conn@1|a|3|8
2901 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
2902 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
2903 Eb||D5G2;|conn@1|y|B
2904 Ed||D5G2;|conn@3|y|B
2905 Eg||D5G2;|conn@2|a|I
2906 Es||D5G2;|conn@0|y|B
2907 X
2908
2909 # Cell PMOS4fwk_low;1{ic}
2910 CPMOS4fwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[0,0]
2911 Ngeneric:Facet-Center|art@0||0|0||||AV
2912 NThick-Circle|art@1||-1.25|0|0.5|0.5|RR||ART_color()I74
2913 Nschematic:Bus_Pin|pin@0||0|0.5||||
2914 NPin|pin@1||-0.75|0.5|1|1|Y|
2915 NPin|pin@2||0|0.5||||
2916 NPin|pin@3||-0.5|0.25|1|1|YRR|
2917 NPin|pin@4||-0.75|0.5|1|1|Y|
2918 NPin|pin@5||-0.5|0.75|1|1|YRR|
2919 NPin|pin@6||-0.75|0.5|1|1|Y|
2920 NPin|pin@7||-1|-0.75|1|1|Y|
2921 NPin|pin@8||-1|0.75|1|1|Y|
2922 NPin|pin@9||0|2||||
2923 NPin|pin@10||-1.5|0|1|1|RRR|
2924 NPin|pin@11||-2.5|0|||RR|
2925 NPin|pin@12||0|-2||||
2926 NPin|pin@13||0|-0.75||||
2927 NPin|pin@14||-0.75|-0.75|1|1||
2928 NPin|pin@15||-0.75|0.75|1|1||
2929 NPin|pin@16||0|0.75||||
2930 Nschematic:Bus_Pin|pin@17||-2.5|0|-2|-2||
2931 Nschematic:Bus_Pin|pin@18||0|-2|-2|-2||
2932 Ngeneric:Invisible-Pin|pin@19||0|2||||
2933 Ngeneric:Invisible-Pin|pin@20||-0.5|0|||||ART_message(D5G1;)S[wk]
2934 AThicker|net@0|||FS0|pin@2||0|0.5|pin@1||-0.75|0.5|ART_color()I74
2935 AThicker|net@1|||FS3150|pin@3||-0.5|0.25|pin@4||-0.75|0.5|ART_color()I74
2936 AThicker|net@2|||FS450|pin@5||-0.5|0.75|pin@6||-0.75|0.5|ART_color()I74
2937 AThicker|net@3|||FS2700|pin@7||-1|-0.75|pin@8||-1|0.75|ART_color()I74
2938 AThicker|net@4|||FS900|pin@15||-0.75|0.75|pin@14||-0.75|-0.75|ART_color()I74
2939 AThicker|net@5|||FS900|pin@13||0|-0.75|pin@12||0|-2|ART_color()I74
2940 AThicker|net@6|||FS2700|pin@16||0|0.75|pin@9||0|2|ART_color()I74
2941 AThicker|net@7|||FS1800|pin@11||-2.5|0|pin@10||-1.5|0|ART_color()I74
2942 AThicker|net@8|||FS1800|pin@14||-0.75|-0.75|pin@13||0|-0.75|ART_color()I74
2943 AThicker|net@9|||FS0|pin@16||0|0.75|pin@15||-0.75|0.75|ART_color()I74
2944 Eb||D5G1;|pin@0||B
2945 Ed||D8G1;|pin@18||B
2946 Eg||D6G1;|pin@17||I
2947 Es||D2G1;|pin@19||B
2948 X
2949
2950 # Cell PMOS4fwk_low;1{sch}
2951 CPMOS4fwk_low;1{sch}||schematic|1021415734000|1159313568663||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX-2;Y-14.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-2;Y-12;)StransistorType  VTL-P-Transistor|ATTR_SPICE_template(D5G1;NTX-2.5;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-16.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX-2;Y-5.5;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-3;Y-10;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
2952 IPMOS4fwk_low;1{ic}|PMOS4fwk@0||23.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
2953 Ngeneric:Facet-Center|art@0||0|0||||AV
2954 NOff-Page|conn@0||5|8||||
2955 NOff-Page|conn@1||5|1||||
2956 NOff-Page|conn@2||-8|7||||
2957 NOff-Page|conn@3||5|11.5||||
2958 Ngeneric:Invisible-Pin|pin@0||-1.5|19.5|||||ART_message(D5G2;)S4-terminal low-threshold weak PMOS device
2959 Ngeneric:Invisible-Pin|pin@1||-1|26|||||ART_message(D5G6;)SPMOS4fwk_low
2960 NWire_Pin|pin@2||0|1||||
2961 NWire_Pin|pin@3||0|11.5||||
2962 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Spch_lvt|SIM_weak_node(D5G1;)SWeak
2963 Awire|net@0|||1800|pmos4p@0|b|0|8|conn@0|a|3|8
2964 Awire|net@1|||1800|conn@2|y|-6|7|pmos4p@0|g|-3|7
2965 Awire|net@2|||2700|pin@2||0|1|pmos4p@0|d|0|5
2966 Awire|net@3|||0|conn@1|a|3|1|pin@2||0|1
2967 Awire|net@4|||2700|pmos4p@0|s|0|9|pin@3||0|11.5
2968 Awire|net@5|||1800|pin@3||0|11.5|conn@3|a|3|11.5
2969 Eb||D5G2;|conn@0|y|B
2970 Ed||D5G2;|conn@1|y|B
2971 Eg||D5G2;|conn@2|a|I
2972 Es||D5G2;|conn@3|y|B
2973 X
2974
2975 # Cell PMOS4x;1{ic}
2976 CPMOS4x;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
2977 Ngeneric:Facet-Center|art@0||0|0||||AV
2978 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I10
2979 NPin|pin@0||0|0.5||||
2980 NPin|pin@1||-0.75|0.5|1|1|Y|
2981 NPin|pin@2||-0.75|0.5|1|1|Y|
2982 NPin|pin@3||-0.5|0.25|1|1|YRR|
2983 NPin|pin@4||-0.75|0.5|1|1|Y|
2984 NPin|pin@5||-0.5|0.75|1|1|YRR|
2985 Nschematic:Bus_Pin|pin@6||0|0.5||||
2986 NPin|pin@7||-1.5|-1|1|1|Y|
2987 NPin|pin@8||-1.5|1|1|1|Y|
2988 NPin|pin@9||0|2||||
2989 NPin|pin@10||-2.5|0|1|1|RRR|
2990 NPin|pin@11||-3|0|||RR|
2991 NPin|pin@12||0|-2||||
2992 NPin|pin@13||0|-1||||
2993 NPin|pin@14||-0.75|-1|1|1||
2994 NPin|pin@15||-0.75|1|1|1||
2995 NPin|pin@16||0|1||||
2996 Nschematic:Bus_Pin|pin@17||-3|0|-2|-2||
2997 Nschematic:Bus_Pin|pin@18||0|-2|-2|-2||
2998 Ngeneric:Invisible-Pin|pin@19||0|2||||
2999 AThicker|net@0|||FS3150|pin@3||-0.5|0.25|pin@2||-0.75|0.5|ART_color()I10
3000 AThicker|net@1|||FS450|pin@5||-0.5|0.75|pin@4||-0.75|0.5|ART_color()I10
3001 AThicker|net@2|||FS0|pin@0||0|0.5|pin@1||-0.75|0.5|ART_color()I10
3002 AThicker|net@3|||FS2700|pin@7||-1.5|-1|pin@8||-1.5|1|ART_color()I10
3003 AThicker|net@4|||FS900|pin@15||-0.75|1|pin@14||-0.75|-1|ART_color()I10
3004 AThicker|net@5|||FS900|pin@13||0|-1|pin@12||0|-2|ART_color()I10
3005 AThicker|net@6|||FS2700|pin@16||0|1|pin@9||0|2|ART_color()I10
3006 AThicker|net@7|||FS1800|pin@11||-3|0|pin@10||-2.5|0|ART_color()I10
3007 AThicker|net@8|||FS1800|pin@14||-0.75|-1|pin@13||0|-1|ART_color()I10
3008 AThicker|net@9|||FS0|pin@16||0|1|pin@15||-0.75|1|ART_color()I10
3009 Epower|b|D5G1;|pin@6||P
3010 Ed||D8G1;|pin@18||B
3011 Eg||D6G1;|pin@17||I
3012 Es||D2G1;|pin@19||B
3013 X
3014
3015 # Cell PMOS4x;1{sch}
3016 CPMOS4x;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3017 IPMOS4x;1{ic}|PMOS4@0||18.75|14|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3018 IPMOS4f;1{ic}|PMOS4f@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3019 Ngeneric:Facet-Center|art@0||0|0||||AV
3020 NOff-Page|conn@0||12.5|7.5|||YRR|
3021 NOff-Page|conn@1||5|1||||
3022 NOff-Page|conn@2||-17.5|7||||
3023 NOff-Page|conn@3||5|11.5||||
3024 Ngeneric:Invisible-Pin|pin@0||-0.5|18.5|||||ART_message(D5G2;)S[4 terminal strength-based PMOS device]
3025 Ngeneric:Invisible-Pin|pin@1||-0.5|23.5|||||ART_message(D5G6;)SPMOS4x
3026 NWire_Pin|pin@2||0|1||||
3027 NWire_Pin|pin@3||0|11.5||||
3028 Awire|net@0|||1800|PMOS4f@0|b|0|7.5|conn@0|y|10.5|7.5
3029 Awire|net@1|||900|pin@3||0|11.5|PMOS4f@0|s|0|9
3030 Awire|net@2|||0|PMOS4f@0|g|-3|7|conn@2|y|-15.5|7
3031 Awire|net@3|||2700|pin@2||0|1|PMOS4f@0|d|0|5
3032 Awire|net@4|||0|conn@1|a|3|1|pin@2||0|1
3033 Awire|net@5|||1800|pin@3||0|11.5|conn@3|a|3|11.5
3034 Epower|b|D4G2;|conn@0|a|P
3035 Ed||D5G2;|conn@1|y|B
3036 Eg||D5G2;|conn@2|a|I
3037 Es||D5G2;|conn@3|y|B
3038 X
3039
3040 # Cell PMOS4x_io18;1{ic}
3041 CPMOS4x_io18;1{ic}||artwork|1021415734000|1213379062394|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3042 Ngeneric:Facet-Center|art@0||0|0||||AV
3043 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I10
3044 Ngeneric:Invisible-Pin|pin@0||0|2||||
3045 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3046 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
3047 NPin|pin@3||0|1||||
3048 NPin|pin@4||-0.75|1|1|1||
3049 NPin|pin@5||-0.75|-1|1|1||
3050 NPin|pin@6||0|-1||||
3051 NPin|pin@7||0|-2||||
3052 NPin|pin@8||-3.5|0|||RR|
3053 NPin|pin@9||-3|0|1|1|RRR|
3054 NPin|pin@10||0|2||||
3055 NPin|pin@11||-2|1|1|1|Y|
3056 NPin|pin@12||-2|-1|1|1|Y|
3057 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
3058 Nschematic:Bus_Pin|pin@14||0|0.5|-2|-2||
3059 NPin|pin@15||0|0.5|1|1||
3060 NPin|pin@16||-0.75|0.5|1|1||
3061 NPin|pin@17||-0.5|0.75|1|1||
3062 NPin|pin@18||-0.5|0.25|1|1||
3063 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
3064 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
3065 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I10
3066 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
3067 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
3068 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
3069 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I10
3070 AThicker|net@7|||FS0|pin@15||0|0.5|pin@16||-0.75|0.5|ART_color()I10
3071 AThicker|net@9|||FS2250|pin@16||-0.75|0.5|pin@17||-0.5|0.75|ART_color()I10
3072 AThicker|net@10|||FS1350|pin@16||-0.75|0.5|pin@18||-0.5|0.25|ART_color()I10
3073 Eb||D8G1;|pin@14||B
3074 Ed||D8G1;|pin@1||B
3075 Eg||D6G1;|pin@2||I
3076 Es||D2G1;|pin@0||B
3077 X
3078
3079 # Cell PMOS4x_io18;1{sch}
3080 CPMOS4x_io18;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3081 IPMOS4x_io18;1{ic}|PMOS@0||18.5|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3082 IPMOS4f_io18;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (4 - 0.4) / @X + 0.4) : 4|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3083 Ngeneric:Facet-Center|art@0||0|0||||AV
3084 NOff-Page|conn@0||5|11.5||||
3085 NOff-Page|conn@1||-9.5|7||||
3086 NOff-Page|conn@2||5|1||||
3087 NOff-Page|conn@3||5|7.5||||
3088 NWire_Pin|pin@1||0|11.5||||
3089 NWire_Pin|pin@2||0|1||||
3090 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOS4x_io18
3091 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S4 terminal strength-based PMOS device for 1.8V I/O pads
3092 Awire|net@0|||0|PMOSf@0|g|-3.5|7|conn@1|y|-7.5|7
3093 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3094 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3095 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
3096 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
3097 Awire|net@8|||0|conn@3|a|3|7.5|PMOSf@0|b|0|7.5
3098 Eb||D5G2;|conn@3|y|B
3099 Ed||D5G2;|conn@2|y|B
3100 Eg||D5G2;|conn@1|a|I
3101 Es||D5G2;|conn@0|y|B
3102 X
3103
3104 # Cell PMOS4x_io25;1{ic}
3105 CPMOS4x_io25;1{ic}||artwork|1021415734000|1213379062398|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3106 Ngeneric:Facet-Center|art@0||0|0||||AV
3107 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I10
3108 Ngeneric:Invisible-Pin|pin@0||0|2||||
3109 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3110 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
3111 NPin|pin@3||0|1||||
3112 NPin|pin@4||-0.75|1|1|1||
3113 NPin|pin@5||-0.75|-1|1|1||
3114 NPin|pin@6||0|-1||||
3115 NPin|pin@7||0|-2||||
3116 NPin|pin@8||-3.5|0|||RR|
3117 NPin|pin@9||-3|0|1|1|RRR|
3118 NPin|pin@10||0|2||||
3119 NPin|pin@11||-2|1|1|1|Y|
3120 NPin|pin@12||-2|-1|1|1|Y|
3121 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S2.5V
3122 Nschematic:Bus_Pin|pin@14||0|0.5|-2|-2||
3123 NPin|pin@15||0|0.5|1|1||
3124 NPin|pin@16||-0.75|0.5|1|1||
3125 NPin|pin@17||-0.5|0.75|1|1||
3126 NPin|pin@18||-0.5|0.25|1|1||
3127 AThicker|net@0|||FS1800|pin@4||-0.75|1|pin@3||0|1|ART_color()I10
3128 AThicker|net@1|||FS0|pin@6||0|-1|pin@5||-0.75|-1|ART_color()I10
3129 AThicker|net@2|||FS0|pin@9||-3|0|pin@8||-3.5|0|ART_color()I10
3130 AThicker|net@3|||FS900|pin@10||0|2|pin@3||0|1|ART_color()I10
3131 AThicker|net@4|||FS2700|pin@7||0|-2|pin@6||0|-1|ART_color()I10
3132 AThicker|net@5|||FS2700|pin@5||-0.75|-1|pin@4||-0.75|1|ART_color()I10
3133 AThicker|net@6|||FS900|pin@11||-2|1|pin@12||-2|-1|ART_color()I10
3134 AThicker|net@7|||FS1800|pin@16||-0.75|0.5|pin@15||0|0.5|ART_color()I10
3135 AThicker|net@9|||FS450|pin@17||-0.5|0.75|pin@16||-0.75|0.5|ART_color()I10
3136 AThicker|net@10|||FS3150|pin@18||-0.5|0.25|pin@16||-0.75|0.5|ART_color()I10
3137 Eb||D8G1;|pin@14||B
3138 Ed||D8G1;|pin@1||B
3139 Eg||D6G1;|pin@2||I
3140 Es||D2G1;|pin@0||B
3141 X
3142
3143 # Cell PMOS4x_io25;1{sch}
3144 CPMOS4x_io25;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3145 IPMOS4x_io25;1{ic}|PMOS@0||18.5|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3146 IPMOS4f_io25;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (5.6 - 0.4) / @X + 0.4) : 5.6|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3147 Ngeneric:Facet-Center|art@0||0|0||||AV
3148 NOff-Page|conn@0||7|11.5||||
3149 NOff-Page|conn@1||-9.5|7||||
3150 NOff-Page|conn@2||7|1||||
3151 NOff-Page|conn@3||7|7.5||||
3152 NWire_Pin|pin@1||0|11.5||||
3153 NWire_Pin|pin@2||0|1||||
3154 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOS4x_io25
3155 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S4 terminal strength-based PMOS device for 2.5V I/O pads
3156 Awire|net@0|||0|PMOSf@0|g|-3.5|7|conn@1|y|-7.5|7
3157 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3158 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3159 Awire|net@3|||0|conn@0|a|5|11.5|pin@1||0|11.5
3160 Awire|net@4|||1800|pin@2||0|1|conn@2|a|5|1
3161 Awire|net@8|||0|conn@3|a|5|7.5|PMOSf@0|b|0|7.5
3162 Eb||D5G2;|conn@3|y|B
3163 Ed||D5G2;|conn@2|y|B
3164 Eg||D5G2;|conn@1|a|I
3165 Es||D5G2;|conn@0|y|B
3166 X
3167
3168 # Cell PMOS4x_io33;1{ic}
3169 CPMOS4x_io33;1{ic}||artwork|1021415734000|1213379062400|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3170 Ngeneric:Facet-Center|art@0||0|0||||AV
3171 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I10
3172 Ngeneric:Invisible-Pin|pin@0||0|2||||
3173 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3174 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
3175 NPin|pin@3||0|1||||
3176 NPin|pin@4||-0.75|1|1|1||
3177 NPin|pin@5||-0.75|-1|1|1||
3178 NPin|pin@6||0|-1||||
3179 NPin|pin@7||0|-2||||
3180 NPin|pin@8||-3.5|0|||RR|
3181 NPin|pin@9||-3|0|1|1|RRR|
3182 NPin|pin@10||0|2||||
3183 NPin|pin@11||-2|1|1|1|Y|
3184 NPin|pin@12||-2|-1|1|1|Y|
3185 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S3.3V
3186 Nschematic:Bus_Pin|pin@14||0|0.5|-2|-2||
3187 NPin|pin@15||0|0.5|1|1||
3188 NPin|pin@16||-0.75|0.5|1|1||
3189 NPin|pin@17||-0.5|0.75|1|1||
3190 NPin|pin@18||-0.5|0.25|1|1||
3191 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
3192 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
3193 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I10
3194 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
3195 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
3196 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
3197 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I10
3198 AThicker|net@7|||FS0|pin@15||0|0.5|pin@16||-0.75|0.5|ART_color()I10
3199 AThicker|net@9|||FS2250|pin@16||-0.75|0.5|pin@17||-0.5|0.75|ART_color()I10
3200 AThicker|net@10|||FS1350|pin@16||-0.75|0.5|pin@18||-0.5|0.25|ART_color()I10
3201 Eb||D8G1;|pin@14||B
3202 Ed||D8G1;|pin@1||B
3203 Eg||D6G1;|pin@2||I
3204 Es||D2G1;|pin@0||B
3205 X
3206
3207 # Cell PMOS4x_io33;1{sch}
3208 CPMOS4x_io33;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3209 IPMOS4x_io33;1{ic}|PMOS@0||18.5|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3210 IPMOS4f_io33;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (7.6 - 0.4) / @X + 0.4) : 7.6|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3211 Ngeneric:Facet-Center|art@0||0|0||||AV
3212 NOff-Page|conn@0||7|11.5||||
3213 NOff-Page|conn@1||-9.5|7||||
3214 NOff-Page|conn@2||7|1||||
3215 NOff-Page|conn@3||7|7.5||||
3216 NWire_Pin|pin@1||0|11.5||||
3217 NWire_Pin|pin@2||0|1||||
3218 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOS4x_io33
3219 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S4 terminal strength-based PMOS device for 3.3V I/O pads
3220 Awire|net@0|||0|PMOSf@0|g|-3.5|7|conn@1|y|-7.5|7
3221 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3222 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3223 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|5|11.5
3224 Awire|net@4|||0|conn@2|a|5|1|pin@2||0|1
3225 Awire|net@8|||0|conn@3|a|5|7.5|PMOSf@0|b|0|7.5
3226 Eb||D5G2;|conn@3|y|B
3227 Ed||D5G2;|conn@2|y|B
3228 Eg||D5G2;|conn@1|a|I
3229 Es||D5G2;|conn@0|y|B
3230 X
3231
3232 # Cell PMOS4xwk;1{ic}
3233 CPMOS4xwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[-8000,16000]
3234 Ngeneric:Facet-Center|art@0||0|0||||AV
3235 NThick-Circle|art@1||-1.5|0|0.5|0.5|RR||ART_color()I10
3236 NPin|pin@0||-0.5|0.25|1|1|YRR|
3237 NPin|pin@1||-0.75|0.5|1|1|Y|
3238 NPin|pin@2||-0.5|0.75|1|1|YRR|
3239 NPin|pin@3||-0.75|0.5|1|1|Y|
3240 NPin|pin@4||-0.75|0.5|1|1|Y|
3241 NPin|pin@5||0|0.5||||
3242 Nschematic:Bus_Pin|pin@6||0|0.5||||
3243 Ngeneric:Invisible-Pin|pin@7||0|2||||
3244 Nschematic:Bus_Pin|pin@8||0|-2|-2|-2||
3245 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
3246 NPin|pin@10||0|0.75||||
3247 NPin|pin@11||-0.75|0.75|1|1||
3248 NPin|pin@12||-0.75|-0.75|1|1||
3249 NPin|pin@13||0|-0.75||||
3250 NPin|pin@14||0|-2||||
3251 NPin|pin@15||-3|0|||RR|
3252 NPin|pin@16||-1.75|0|1|1|RRR|
3253 NPin|pin@17||0|2||||
3254 NPin|pin@18||-1.25|0.75|1|1|Y|
3255 NPin|pin@19||-1.25|-0.75|1|1|Y|
3256 Ngeneric:Invisible-Pin|pin@20||-0.5|0|||||ART_message(D5G1;)S[wk]
3257 AThicker|net@0|||FS3150|pin@0||-0.5|0.25|pin@1||-0.75|0.5|ART_color()I10
3258 AThicker|net@1|||FS0|pin@5||0|0.5|pin@4||-0.75|0.5|ART_color()I10
3259 AThicker|net@2|||FS450|pin@2||-0.5|0.75|pin@3||-0.75|0.5|ART_color()I10
3260 AThicker|net@3|||FS0|pin@10||0|0.75|pin@11||-0.75|0.75|ART_color()I10
3261 AThicker|net@4|||FS1800|pin@12||-0.75|-0.75|pin@13||0|-0.75|ART_color()I10
3262 AThicker|net@5|||FS1800|pin@15||-3|0|pin@16||-1.75|0|ART_color()I10
3263 AThicker|net@6|||FS2700|pin@10||0|0.75|pin@17||0|2|ART_color()I10
3264 AThicker|net@7|||FS900|pin@13||0|-0.75|pin@14||0|-2|ART_color()I10
3265 AThicker|net@8|||FS900|pin@11||-0.75|0.75|pin@12||-0.75|-0.75|ART_color()I10
3266 AThicker|net@9|||FS2700|pin@19||-1.25|-0.75|pin@18||-1.25|0.75|ART_color()I10
3267 Ed||D8G1;|pin@8||B
3268 Eg||D6G1;|pin@9||I
3269 Epower||D5G1;|pin@6||P
3270 Es||D2G1;|pin@7||B
3271 X
3272
3273 # Cell PMOS4xwk;1{sch}
3274 CPMOS4xwk;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5G1;HNPX-8.5;Y1.25;)I1|prototype_center()I[0,0]
3275 IPMOS4fwk;1{ic}|PMOS4fwk@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6G1;NOJPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3276 IPMOS4xwk;1{ic}|PMOS4wk@0||23.25|15.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
3277 Ngeneric:Facet-Center|art@0||0|0||||AV
3278 NOff-Page|conn@0||9.5|7.5|||YRR|
3279 NOff-Page|conn@1||5|11.5||||
3280 NOff-Page|conn@2||-8|7||||
3281 NOff-Page|conn@3||5|1||||
3282 NWire_Pin|pin@0||0|11.5||||
3283 NWire_Pin|pin@1||0|1||||
3284 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)S[PMOS4wk]
3285 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S[4 terminal weak PMOS device]
3286 Awire|net@0|||1800|PMOS4fwk@0|b|0|7.5|conn@0|y|7.5|7.5
3287 Awire|net@1|||900|pin@0||0|11.5|PMOS4fwk@0|s|0|9
3288 Awire|net@2|||1800|conn@2|y|-6|7|PMOS4fwk@0|g|-3|7
3289 Awire|net@3|||2700|pin@1||0|1|PMOS4fwk@0|d|0|5
3290 Awire|net@4|||1800|pin@0||0|11.5|conn@1|a|3|11.5
3291 Awire|net@5|||0|conn@3|a|3|1|pin@1||0|1
3292 Ed||D5G2;|conn@3|y|B
3293 Eg||D5G2;|conn@2|a|I
3294 Epower||D4G2;|conn@0|a|P
3295 Es||D5G2;|conn@1|y|B
3296 X
3297
3298 # Cell PMOSf;1{ic}
3299 CPMOSf;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3300 Ngeneric:Facet-Center|art@0||0|0||||AV
3301 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I74
3302 Ngeneric:Invisible-Pin|pin@0||0|2||||
3303 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3304 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
3305 NPin|pin@3||0|1||||
3306 NPin|pin@4||-0.75|1|1|1||
3307 NPin|pin@5||-0.75|-1|1|1||
3308 NPin|pin@6||0|-1||||
3309 NPin|pin@7||0|-2||||
3310 NPin|pin@8||-3|0|||RR|
3311 NPin|pin@9||-2.5|0|1|1|RRR|
3312 NPin|pin@10||0|2||||
3313 NPin|pin@11||-1.5|1|1|1|Y|
3314 NPin|pin@12||-1.5|-1|1|1|Y|
3315 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
3316 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
3317 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I74
3318 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
3319 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
3320 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
3321 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I74
3322 Ed||D8G1;|pin@1||B
3323 Eg||D6G1;|pin@2||I
3324 Es||D2G1;|pin@0||B
3325 X
3326
3327 # Cell PMOSf;1{sch}
3328 CPMOSf;1{sch}||schematic|1021415734000|1159313495771||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3329 IPMOSf;1{ic}|PMOSf@0||26.75|20.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
3330 Ngeneric:Facet-Center|art@0||0|0||||AV
3331 NOff-Page|conn@0||8|12.5||||
3332 NOff-Page|conn@1||-14|7||||
3333 NOff-Page|conn@2||8.5|0||||
3334 NWire_Pin|pin@0||0|12.5||||
3335 NWire_Pin|pin@1||0|0||||
3336 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)S[PMOSf]
3337 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal standard threshold PMOS device
3338 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch
3339 NPower|pwr@0||6|8||||
3340 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
3341 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
3342 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
3343 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
3344 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
3345 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
3346 Ed||D5G2;|conn@2|y|B
3347 Eg||D5G2;|conn@1|a|I
3348 Es||D5G2;|conn@0|y|B
3349 X
3350
3351 # Cell PMOSf_high;1{ic}
3352 CPMOSf_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3353 Ngeneric:Facet-Center|art@0||0|0||||AV
3354 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I74
3355 Ngeneric:Invisible-Pin|pin@0||0|2||||
3356 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3357 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
3358 NPin|pin@3||0|1||||
3359 NPin|pin@4||-0.75|1|1|1||
3360 NPin|pin@5||-0.75|-1|1|1||
3361 NPin|pin@6||0|-1||||
3362 NPin|pin@7||0|-2||||
3363 NPin|pin@8||-3.5|0|||RR|
3364 NPin|pin@9||-3|0|1|1|RRR|
3365 NPin|pin@10||0|2||||
3366 NPin|pin@11||-2|1|1|1|Y|
3367 NPin|pin@12||-2|-1|1|1|Y|
3368 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
3369 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
3370 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I74
3371 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
3372 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
3373 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
3374 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I74
3375 Ed||D8G1;|pin@1||B
3376 Eg||D6G1;|pin@2||I
3377 Es||D2G1;|pin@0||B
3378 X
3379
3380 # Cell PMOSf_high;1{sch}
3381 CPMOSf_high;1{sch}||schematic|1021415734000|1159313478011||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX-3.5;Y-16.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-3;Y-14.5;)StransistorType  VTH-P-Transistor|ATTR_SPICE_template(D5G1;NTX1.5;Y-10.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-3;Y-18.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-8.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-3.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3382 IPMOSf_high;1{ic}|PMOSf@0||26.75|20.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
3383 Ngeneric:Facet-Center|art@0||0|0||||AV
3384 NOff-Page|conn@0||8|12.5||||
3385 NOff-Page|conn@1||-14|7||||
3386 NOff-Page|conn@2||8.5|0||||
3387 NWire_Pin|pin@0||0|12.5||||
3388 NWire_Pin|pin@1||0|0||||
3389 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOSf_high
3390 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal high-threshold PMOS device
3391 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-3;)Spch_hvt
3392 NPower|pwr@0||6|8||||
3393 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
3394 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
3395 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
3396 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
3397 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
3398 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
3399 Ed||D5G2;|conn@2|y|B
3400 Eg||D5G2;|conn@1|a|I
3401 Es||D5G2;|conn@0|y|B
3402 X
3403
3404 # Cell PMOSf_io18;1{ic}
3405 CPMOSf_io18;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
3406 Ngeneric:Facet-Center|art@0||0|0||||AV
3407 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
3408 Ngeneric:Invisible-Pin|pin@4||0|2||||
3409 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
3410 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
3411 NPin|pin@8||0|1||||
3412 NPin|pin@9||-0.75|1|1|1||
3413 NPin|pin@10||-0.75|-1|1|1||
3414 NPin|pin@11||0|-1||||
3415 NPin|pin@12||0|-2||||
3416 NPin|pin@13||-3.5|0|||RR|
3417 NPin|pin@14||-3|0|1|1|RR|
3418 NPin|pin@15||0|2||||
3419 NPin|pin@16||-2|-1|1|1||
3420 NPin|pin@17||-2|1|1|1||
3421 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S1.8V
3422 AThicker|net@3|||FS1800|pin@9||-0.75|1|pin@8||0|1|ART_color()I74
3423 AThicker|net@4|||FS0|pin@11||0|-1|pin@10||-0.75|-1|ART_color()I74
3424 AThicker|net@5|||FS0|pin@14||-3|0|pin@13||-3.5|0|ART_color()I74
3425 AThicker|net@6|||FS900|pin@15||0|2|pin@8||0|1|ART_color()I74
3426 AThicker|net@7|||FS2700|pin@12||0|-2|pin@11||0|-1|ART_color()I74
3427 AThicker|net@8|||FS2700|pin@10||-0.75|-1|pin@9||-0.75|1|ART_color()I74
3428 AThicker|net@9|||FS2700|pin@16||-2|-1|pin@17||-2|1|ART_color()I74
3429 Ed||D5G1;|pin@6||B
3430 Eg||D5G1;|pin@7||I
3431 Es||D5G1;|pin@4||B
3432 X
3433
3434 # Cell PMOSf_io18;1{sch}
3435 CPMOSf_io18;1{sch}||schematic|1021415734000|1159313459905||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S4|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX1.5;Y-15.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD18-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3436 IPMOSf_io18;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S4|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
3437 Ngeneric:Facet-Center|art@0||0|0||||AV
3438 NOff-Page|conn@0||5|11.5||||
3439 NOff-Page|conn@2||-12|7||||
3440 NOff-Page|conn@3||5|1||||
3441 NWire_Pin|pin@0||0|11.5||||
3442 NWire_Pin|pin@1||0|1||||
3443 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOSf_io18
3444 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S3-terminal PMOS device for 1.8V I/O pads
3445 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 4
3446 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_18
3447 NPower|pwr@0||7|8||||
3448 Awire|net@0|||1800|conn@2|y|-10|7|pmos4p@0|g|-3|7
3449 Awire|net@1|||0|conn@0|a|3|11.5|pin@0||0|11.5
3450 Awire|net@2|||900|pin@0||0|11.5|pmos4p@0|s|0|9
3451 Awire|net@4|||1800|pin@1||0|1|conn@3|a|3|1
3452 Awire|net@5|||900|pmos4p@0|d|0|5|pin@1||0|1
3453 Awire|net@6|||0|pwr@0||7|8|pmos4p@0|b|0|8
3454 Ed||D5G2;|conn@3|y|B
3455 Eg||D5G2;|conn@2|a|I
3456 Es||D5G2;|conn@0|y|B
3457 X
3458
3459 # Cell PMOSf_io25;1{ic}
3460 CPMOSf_io25;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
3461 Ngeneric:Facet-Center|art@0||0|0||||AV
3462 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
3463 Ngeneric:Invisible-Pin|pin@4||0|2||||
3464 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
3465 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
3466 NPin|pin@8||0|1||||
3467 NPin|pin@9||-0.75|1|1|1||
3468 NPin|pin@10||-0.75|-1|1|1||
3469 NPin|pin@11||0|-1||||
3470 NPin|pin@12||0|-2||||
3471 NPin|pin@13||-3.5|0|||RR|
3472 NPin|pin@14||-3|0|1|1|RR|
3473 NPin|pin@15||0|2||||
3474 NPin|pin@16||-2|-1|1|1||
3475 NPin|pin@17||-2|1|1|1||
3476 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S2.5V
3477 AThicker|net@3|||FS0|pin@8||0|1|pin@9||-0.75|1|ART_color()I74
3478 AThicker|net@4|||FS1800|pin@10||-0.75|-1|pin@11||0|-1|ART_color()I74
3479 AThicker|net@5|||FS1800|pin@13||-3.5|0|pin@14||-3|0|ART_color()I74
3480 AThicker|net@6|||FS2700|pin@8||0|1|pin@15||0|2|ART_color()I74
3481 AThicker|net@7|||FS900|pin@11||0|-1|pin@12||0|-2|ART_color()I74
3482 AThicker|net@8|||FS900|pin@9||-0.75|1|pin@10||-0.75|-1|ART_color()I74
3483 AThicker|net@9|||FS900|pin@17||-2|1|pin@16||-2|-1|ART_color()I74
3484 Ed||D5G1;|pin@6||B
3485 Eg||D5G1;|pin@7||I
3486 Es||D5G1;|pin@4||B
3487 X
3488
3489 # Cell PMOSf_io25;1{sch}
3490 CPMOSf_io25;1{sch}||schematic|1021415734000|1159313441380||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S5.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX2.5;Y-15.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD25-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) vdd pch_25 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX3;Y-17.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_25 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) vdd pch_25 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3491 IPMOSf_io25;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S5.6|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
3492 Ngeneric:Facet-Center|art@0||0|0||||AV
3493 NOff-Page|conn@0||5|11.5||||
3494 NOff-Page|conn@2||-12|7||||
3495 NOff-Page|conn@3||5|1||||
3496 NWire_Pin|pin@0||0|11.5||||
3497 NWire_Pin|pin@1||0|1||||
3498 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOSf_io25
3499 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S3-terminal PMOS device for 2.5V I/O pads
3500 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 2.5V thick-oxide devices is 5.6
3501 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_25
3502 NPower|pwr@0||7|8||||
3503 Awire|net@0|||0|pmos4p@0|g|-3|7|conn@2|y|-10|7
3504 Awire|net@1|||1800|pin@0||0|11.5|conn@0|a|3|11.5
3505 Awire|net@2|||2700|pmos4p@0|s|0|9|pin@0||0|11.5
3506 Awire|net@4|||0|conn@3|a|3|1|pin@1||0|1
3507 Awire|net@5|||2700|pin@1||0|1|pmos4p@0|d|0|5
3508 Awire|net@6|||0|pwr@0||7|8|pmos4p@0|b|0|8
3509 Ed||D5G2;|conn@3|y|B
3510 Eg||D5G2;|conn@2|a|I
3511 Es||D5G2;|conn@0|y|B
3512 X
3513
3514 # Cell PMOSf_io33;1{ic}
3515 CPMOSf_io33;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX3;Y1;)S3|prototype_center()I[0,0]
3516 Ngeneric:Facet-Center|art@0||0|0||||AV
3517 NThick-Circle|art@1||-2.5|0|1|1|||ART_color()I74
3518 Ngeneric:Invisible-Pin|pin@4||0|2||||
3519 Nschematic:Bus_Pin|pin@6||0|-2|-2|-2||
3520 Nschematic:Bus_Pin|pin@7||-3.5|0|-2|-2||
3521 NPin|pin@8||0|1||||
3522 NPin|pin@9||-0.75|1|1|1||
3523 NPin|pin@10||-0.75|-1|1|1||
3524 NPin|pin@11||0|-1||||
3525 NPin|pin@12||0|-2||||
3526 NPin|pin@13||-3.5|0|||RR|
3527 NPin|pin@14||-3|0|1|1|RR|
3528 NPin|pin@15||0|2||||
3529 NPin|pin@16||-2|-1|1|1||
3530 NPin|pin@17||-2|1|1|1||
3531 Ngeneric:Invisible-Pin|pin@18||-2.25|1.75|||||ART_message(D5G1;)S3.3V
3532 AThicker|net@3|||FS1800|pin@9||-0.75|1|pin@8||0|1|ART_color()I74
3533 AThicker|net@4|||FS0|pin@11||0|-1|pin@10||-0.75|-1|ART_color()I74
3534 AThicker|net@5|||FS0|pin@14||-3|0|pin@13||-3.5|0|ART_color()I74
3535 AThicker|net@6|||FS900|pin@15||0|2|pin@8||0|1|ART_color()I74
3536 AThicker|net@7|||FS2700|pin@12||0|-2|pin@11||0|-1|ART_color()I74
3537 AThicker|net@8|||FS2700|pin@10||-0.75|-1|pin@9||-0.75|1|ART_color()I74
3538 AThicker|net@9|||FS2700|pin@16||-2|-1|pin@17||-2|1|ART_color()I74
3539 Ed||D5G1;|pin@6||B
3540 Eg||D5G1;|pin@7||I
3541 Es||D5G1;|pin@4||B
3542 X
3543
3544 # Cell PMOSf_io33;1{sch}
3545 CPMOSf_io33;1{sch}||schematic|1021415734000|1159313419552||ATTR_Delay(D5G1;HNPX-8.5;Y-1.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y0.25;)S7.6|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y2;)S3|ATTR_CDL_template(D5G1;NTX1;Y-15.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-13;)StransistorType  OD33-P-Transistor|ATTR_SPICE_template(D5G1;NTX3.5;Y-9;)SM$(node_name) $(d) $(g) $(s) vdd pch_33 W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1.5;Y-17.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_33 W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-7;)SM$(node_name) $(d) $(g) $(s) vdd pch_33 W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-11;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3546 IPMOSf_io33;1{ic}|PMOS4f@0||23.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S7.6|ATTR_W(D5FLeave alone;G1;NOLPX3;Y1;)S3
3547 Ngeneric:Facet-Center|art@0||0|0||||AV
3548 NOff-Page|conn@0||5|11.5||||
3549 NOff-Page|conn@2||-12|7||||
3550 NOff-Page|conn@3||5|1||||
3551 NWire_Pin|pin@0||0|11.5||||
3552 NWire_Pin|pin@1||0|1||||
3553 Ngeneric:Invisible-Pin|pin@2||-1|27|||||ART_message(D5G6;)SPMOSf_io33
3554 Ngeneric:Invisible-Pin|pin@3||-1.5|21.5|||||ART_message(D5G2;)S3-terminal PMOS device for 3.3V I/O pads
3555 Ngeneric:Invisible-Pin|pin@4||-1|17.5|||||ART_message(D5G2;)Sminimum length for 3.3V thick-oxide devices is 7.6
3556 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3.5;)Spch_33
3557 NPower|pwr@0||7|8||||
3558 Awire|net@0|||1800|conn@2|y|-10|7|pmos4p@0|g|-3|7
3559 Awire|net@1|||0|conn@0|a|3|11.5|pin@0||0|11.5
3560 Awire|net@2|||900|pin@0||0|11.5|pmos4p@0|s|0|9
3561 Awire|net@4|||1800|pin@1||0|1|conn@3|a|3|1
3562 Awire|net@5|||900|pmos4p@0|d|0|5|pin@1||0|1
3563 Awire|net@6|||0|pwr@0||7|8|pmos4p@0|b|0|8
3564 Ed||D5G2;|conn@3|y|B
3565 Eg||D5G2;|conn@2|a|I
3566 Es||D5G2;|conn@0|y|B
3567 X
3568
3569 # Cell PMOSf_low;1{ic}
3570 CPMOSf_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3571 Ngeneric:Facet-Center|art@0||0|0||||AV
3572 NThick-Circle|art@1||-1.5|0|1|1|RR||ART_color()I74
3573 Ngeneric:Invisible-Pin|pin@0||0|2||||
3574 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3575 Nschematic:Bus_Pin|pin@2||-2.5|0|-2|-2||
3576 NPin|pin@3||0|1||||
3577 NPin|pin@4||-0.75|1|1|1||
3578 NPin|pin@5||-0.75|-1|1|1||
3579 NPin|pin@6||0|-1||||
3580 NPin|pin@7||0|-2||||
3581 NPin|pin@8||-2.5|0|||RR|
3582 NPin|pin@9||-2|0|1|1|RRR|
3583 NPin|pin@10||0|2||||
3584 NPin|pin@11||-1|1|1|1|Y|
3585 NPin|pin@12||-1|-1|1|1|Y|
3586 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
3587 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
3588 AThicker|net@2|||FS1800|pin@8||-2.5|0|pin@9||-2|0|ART_color()I74
3589 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
3590 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
3591 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
3592 AThicker|net@6|||FS2700|pin@12||-1|-1|pin@11||-1|1|ART_color()I74
3593 Ed||D8G1;|pin@1||B
3594 Eg||D6G1;|pin@2||I
3595 Es||D2G1;|pin@0||B
3596 X
3597
3598 # Cell PMOSf_low;1{sch}
3599 CPMOSf_low;1{sch}||schematic|1021415734000|1159313513376||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;Y3;)S3|ATTR_CDL_template(D5G1;NTX-2;Y-16.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-3;Y-14.5;)StransistorType  VTL-P-Transistor|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)'  DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-18.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-8.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-3.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3600 IPMOSf_low;1{ic}|PMOSf@0||26.75|20.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3
3601 Ngeneric:Facet-Center|art@0||0|0||||AV
3602 NOff-Page|conn@0||8|12.5||||
3603 NOff-Page|conn@1||-14|7||||
3604 NOff-Page|conn@2||8.5|0||||
3605 NWire_Pin|pin@0||0|12.5||||
3606 NWire_Pin|pin@1||0|0||||
3607 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOSf_low
3608 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal low-threshold PMOS device
3609 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Spch_lvt
3610 NPower|pwr@0||6|8||||
3611 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
3612 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
3613 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
3614 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
3615 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
3616 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
3617 Ed||D5G2;|conn@2|y|B
3618 Eg||D5G2;|conn@1|a|I
3619 Es||D5G2;|conn@0|y|B
3620 X
3621
3622 # Cell PMOSfwk;1{ic}
3623 CPMOSfwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3624 Ngeneric:Facet-Center|art@0||0|0||||AV
3625 NThick-Circle|art@1||-1.5|0|0.5|0.5|RR||ART_color()I74
3626 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
3627 Ngeneric:Invisible-Pin|pin@1||0|2||||
3628 Nschematic:Bus_Pin|pin@2||0|-2|-2|-2||
3629 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
3630 NPin|pin@4||0|0.75||||
3631 NPin|pin@5||-0.75|0.75|1|1||
3632 NPin|pin@6||-0.75|-0.75|1|1||
3633 NPin|pin@7||0|-0.75||||
3634 NPin|pin@8||0|-2||||
3635 NPin|pin@9||-3|0|||RR|
3636 NPin|pin@10||-1.75|0|1|1|RRR|
3637 NPin|pin@11||0|2||||
3638 NPin|pin@12||-1.25|0.75|1|1|Y|
3639 NPin|pin@13||-1.25|-0.75|1|1|Y|
3640 AThicker|net@0|||FS0|pin@4||0|0.75|pin@5||-0.75|0.75|ART_color()I74
3641 AThicker|net@1|||FS1800|pin@6||-0.75|-0.75|pin@7||0|-0.75|ART_color()I74
3642 AThicker|net@2|||FS1800|pin@9||-3|0|pin@10||-1.75|0|ART_color()I74
3643 AThicker|net@3|||FS2700|pin@4||0|0.75|pin@11||0|2|ART_color()I74
3644 AThicker|net@4|||FS900|pin@7||0|-0.75|pin@8||0|-2|ART_color()I74
3645 AThicker|net@5|||FS900|pin@5||-0.75|0.75|pin@6||-0.75|-0.75|ART_color()I74
3646 AThicker|net@6|||FS2700|pin@13||-1.25|-0.75|pin@12||-1.25|0.75|ART_color()I74
3647 Ed||D8G1;|pin@2||B
3648 Eg||D6G1;|pin@3||I
3649 Es||D2G1;|pin@1||B
3650 X
3651
3652 # Cell PMOSfwk;1{sch}
3653 CPMOSfwk;1{sch}||schematic|1021415734000|1159313542858||ATTR_Delay(D5G1;HNPX-8.5;Y-4.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y-1.75;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;)S3|ATTR_CDL_template(D5G1;NTX-1.5;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template(D5G1;NTX2;Y-12.5;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX-1;Y-19;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2;Y-10;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-2.5;Y-14.5;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3654 IPMOSfwk;1{ic}|PMOSfwk@0||28.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
3655 Ngeneric:Facet-Center|art@0||0|0||||AV
3656 NOff-Page|conn@0||5|8.5||||
3657 NOff-Page|conn@1||-8|4||||
3658 NOff-Page|conn@2||5|-2||||
3659 NWire_Pin|pin@0||0|8.5||||
3660 NWire_Pin|pin@1||0|-2||||
3661 Ngeneric:Invisible-Pin|pin@2||-1|22|||||ART_message(D5G6;)SPMOSfwk
3662 Ngeneric:Invisible-Pin|pin@3||-1.5|16.5|||||ART_message(D5G2;T)S3 terminal standard threshold weak PMOS device
3663 N4-Port-Transistor|pmos4p@0||-2|4|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-2.5;)Spch|SIM_weak_node(D5G1;)SWeak
3664 NPower|pwr@0||6|5||||
3665 Awire|net@0|||1800|pin@0||0|8.5|conn@0|a|3|8.5
3666 Awire|net@1|||2700|pmos4p@0|s|0|6|pin@0||0|8.5
3667 Awire|net@2|||0|conn@2|a|3|-2|pin@1||0|-2
3668 Awire|net@3|||2700|pin@1||0|-2|pmos4p@0|d|0|2
3669 Awire|net@4|||1800|conn@1|y|-6|4|pmos4p@0|g|-3|4
3670 Awire|net@5|||1800|pmos4p@0|b|0|5|pwr@0||6|5
3671 Ed||D5G2;|conn@2|y|B
3672 Eg||D5G2;|conn@1|a|I
3673 Es||D5G2;|conn@0|y|B
3674 X
3675
3676 # Cell PMOSfwk_high;1{ic}
3677 CPMOSfwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3678 Ngeneric:Facet-Center|art@0||0|0||||AV
3679 NThick-Circle|art@1||-1.75|0|0.5|0.5|RR||ART_color()I74
3680 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
3681 Ngeneric:Invisible-Pin|pin@1||0|2||||
3682 Nschematic:Bus_Pin|pin@2||0|-2|-2|-2||
3683 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
3684 NPin|pin@4||0|0.75||||
3685 NPin|pin@5||-0.75|0.75|1|1||
3686 NPin|pin@6||-0.75|-0.75|1|1||
3687 NPin|pin@7||0|-0.75||||
3688 NPin|pin@8||0|-2||||
3689 NPin|pin@9||-3|0|||RR|
3690 NPin|pin@10||-2|0|1|1|RRR|
3691 NPin|pin@11||0|2||||
3692 NPin|pin@12||-1.5|0.75|1|1|Y|
3693 NPin|pin@13||-1.5|-0.75|1|1|Y|
3694 AThicker|net@0|||FS0|pin@4||0|0.75|pin@5||-0.75|0.75|ART_color()I74
3695 AThicker|net@1|||FS1800|pin@6||-0.75|-0.75|pin@7||0|-0.75|ART_color()I74
3696 AThicker|net@2|||FS1800|pin@9||-3|0|pin@10||-2|0|ART_color()I74
3697 AThicker|net@3|||FS2700|pin@4||0|0.75|pin@11||0|2|ART_color()I74
3698 AThicker|net@4|||FS900|pin@7||0|-0.75|pin@8||0|-2|ART_color()I74
3699 AThicker|net@5|||FS900|pin@5||-0.75|0.75|pin@6||-0.75|-0.75|ART_color()I74
3700 AThicker|net@6|||FS2700|pin@13||-1.5|-0.75|pin@12||-1.5|0.75|ART_color()I74
3701 Ed||D8G1;|pin@2||B
3702 Eg||D6G1;|pin@3||I
3703 Es||D2G1;|pin@1||B
3704 X
3705
3706 # Cell PMOSfwk_high;1{sch}
3707 CPMOSfwk_high;1{sch}||schematic|1021415734000|1159313524375||ATTR_Delay(D5G1;HNPX-8.5;Y-4.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y-1.75;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;)S3|ATTR_CDL_template(D5G1;NTX0.5;Y-19;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX-1;Y-16.5;)StransistorType  VTH-P-Transistor|ATTR_SPICE_template(D5G1;NTX0.5;Y-12.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-21;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2;Y-10;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX-2.5;Y-14.5;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3708 IPMOSfwk_high;1{ic}|PMOSfwk@0||28.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
3709 Ngeneric:Facet-Center|art@0||0|0||||AV
3710 NOff-Page|conn@0||5|8.5||||
3711 NOff-Page|conn@1||-8|4||||
3712 NOff-Page|conn@2||5|-2||||
3713 NWire_Pin|pin@0||0|8.5||||
3714 NWire_Pin|pin@1||0|-2||||
3715 Ngeneric:Invisible-Pin|pin@2||-1|22|||||ART_message(D5G6;)SPMOSwk_high
3716 Ngeneric:Invisible-Pin|pin@3||-1.5|16.5|||||ART_message(D5G2;T)S3 terminal high-threshold weak PMOS device
3717 N4-Port-Transistor|pmos4p@0||-2|4|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1;Y-3;)Spch_hvt|SIM_weak_node(D5G1;)SWeak
3718 NPower|pwr@0||6|5||||
3719 Awire|net@0|||1800|pin@0||0|8.5|conn@0|a|3|8.5
3720 Awire|net@1|||2700|pmos4p@0|s|0|6|pin@0||0|8.5
3721 Awire|net@2|||0|conn@2|a|3|-2|pin@1||0|-2
3722 Awire|net@3|||2700|pin@1||0|-2|pmos4p@0|d|0|2
3723 Awire|net@4|||1800|conn@1|y|-6|4|pmos4p@0|g|-3|4
3724 Awire|net@5|||1800|pmos4p@0|b|0|5|pwr@0||6|5
3725 Ed||D5G2;|conn@2|y|B
3726 Eg||D5G2;|conn@1|a|I
3727 Es||D5G2;|conn@0|y|B
3728 X
3729
3730 # Cell PMOSfwk_low;1{ic}
3731 CPMOSfwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;HNOLPX2;Y1;)S3|prototype_center()I[-8000,16000]
3732 Ngeneric:Facet-Center|art@0||0|0||||AV
3733 NThick-Circle|art@1||-1.25|0|0.5|0.5|RR||ART_color()I74
3734 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
3735 Ngeneric:Invisible-Pin|pin@1||0|2||||
3736 Nschematic:Bus_Pin|pin@2||0|-2|-2|-2||
3737 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
3738 NPin|pin@4||0|0.75||||
3739 NPin|pin@5||-0.75|0.75|1|1||
3740 NPin|pin@6||-0.75|-0.75|1|1||
3741 NPin|pin@7||0|-0.75||||
3742 NPin|pin@8||0|-2||||
3743 NPin|pin@9||-2.5|0|||RR|
3744 NPin|pin@10||-1.5|0|1|1|RRR|
3745 NPin|pin@11||0|2||||
3746 NPin|pin@12||-1|0.75|1|1|Y|
3747 NPin|pin@13||-1|-0.75|1|1|Y|
3748 AThicker|net@0|||FS0|pin@4||0|0.75|pin@5||-0.75|0.75|ART_color()I74
3749 AThicker|net@1|||FS1800|pin@6||-0.75|-0.75|pin@7||0|-0.75|ART_color()I74
3750 AThicker|net@2|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I74
3751 AThicker|net@3|||FS2700|pin@4||0|0.75|pin@11||0|2|ART_color()I74
3752 AThicker|net@4|||FS900|pin@7||0|-0.75|pin@8||0|-2|ART_color()I74
3753 AThicker|net@5|||FS900|pin@5||-0.75|0.75|pin@6||-0.75|-0.75|ART_color()I74
3754 AThicker|net@6|||FS2700|pin@13||-1|-0.75|pin@12||-1|0.75|ART_color()I74
3755 Ed||D8G1;|pin@2||B
3756 Eg||D6G1;|pin@3||I
3757 Es||D2G1;|pin@1||B
3758 X
3759
3760 # Cell PMOSfwk_low;1{sch}
3761 CPMOSfwk_low;1{sch}||schematic|1021415734000|1159313559676||ATTR_Delay(D5G1;HNPX-8.5;Y-4.75;)I100|ATTR_L(D5FLeave alone;G1;HNOLPX-8.5;Y-1.75;)S2|ATTR_W(D5FLeave alone;G1;HNOLPX-8.75;)S3|ATTR_CDL_template(D5G1;NTY-19;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_NCC(D5G1;NTX1;Y-16.5;)StransistorType  VTL-P-Transistor|ATTR_SPICE_template(D5G1;NTX3;Y-12.5;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*(1+ABP/sqrt($(W)*$(L)))' L='$(L)' DELVTO='AVT0P/sqrt($(W)*$(L))'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-21;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.05u' L='$(L)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTX2;Y-10;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)' L='$(L)'|ATTR_verilog_template(D5G1;NTX1;Y-14.5;)Srtranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
3762 IPMOSfwk_low;1{ic}|PMOSfwk@0||28.25|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S3|ATTR_GEO(T)I0|ATTR_M(T)I1
3763 Ngeneric:Facet-Center|art@0||0|0||||AV
3764 NOff-Page|conn@0||5|8.5||||
3765 NOff-Page|conn@1||-8|4||||
3766 NOff-Page|conn@2||5|-2||||
3767 NWire_Pin|pin@0||0|8.5||||
3768 NWire_Pin|pin@1||0|-2||||
3769 Ngeneric:Invisible-Pin|pin@2||-1|22|||||ART_message(D5G6;)SPMOSfwk_low
3770 Ngeneric:Invisible-Pin|pin@3||-1.5|16.5|||||ART_message(D5G2;T)S3 terminal low-threshold weak PMOS device
3771 N4-Port-Transistor|pmos4p@0||-2|4|||YR|2|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Spch_lvt|SIM_weak_node(D5G1;)SWeak
3772 NPower|pwr@0||6|5||||
3773 Awire|net@0|||1800|pin@0||0|8.5|conn@0|a|3|8.5
3774 Awire|net@1|||2700|pmos4p@0|s|0|6|pin@0||0|8.5
3775 Awire|net@2|||0|conn@2|a|3|-2|pin@1||0|-2
3776 Awire|net@3|||2700|pin@1||0|-2|pmos4p@0|d|0|2
3777 Awire|net@4|||1800|conn@1|y|-6|4|pmos4p@0|g|-3|4
3778 Awire|net@5|||1800|pmos4p@0|b|0|5|pwr@0||6|5
3779 Ed||D5G2;|conn@2|y|B
3780 Eg||D5G2;|conn@1|a|I
3781 Es||D5G2;|conn@0|y|B
3782 X
3783
3784 # Cell PMOSx;1{ic}
3785 CPMOSx;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3786 Ngeneric:Facet-Center|art@0||0|0||||AV
3787 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I10
3788 Ngeneric:Invisible-Pin|pin@0||0|2||||
3789 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3790 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
3791 NPin|pin@3||0|1||||
3792 NPin|pin@4||-0.75|1|1|1||
3793 NPin|pin@5||-0.75|-1|1|1||
3794 NPin|pin@6||0|-1||||
3795 NPin|pin@7||0|-2||||
3796 NPin|pin@8||-3|0|||RR|
3797 NPin|pin@9||-2.5|0|1|1|RRR|
3798 NPin|pin@10||0|2||||
3799 NPin|pin@11||-1.5|1|1|1|Y|
3800 NPin|pin@12||-1.5|-1|1|1|Y|
3801 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
3802 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
3803 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
3804 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
3805 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
3806 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
3807 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I10
3808 Ed||D8G1;|pin@1||B
3809 Eg||D6G1;|pin@2||I
3810 Es||D2G1;|pin@0||B
3811 X
3812
3813 # Cell PMOSx;1{sch}
3814 CPMOSx;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3815 IPMOSx;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3816 IPMOSf;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3817 Ngeneric:Facet-Center|art@0||0|0||||AV
3818 NOff-Page|conn@0||5|11.5||||
3819 NOff-Page|conn@1||-9.5|7||||
3820 NOff-Page|conn@2||5|1||||
3821 NWire_Pin|pin@1||0|11.5||||
3822 NWire_Pin|pin@2||0|1||||
3823 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOSx
3824 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal standard-threshold strength-based PMOS device
3825 Awire|net@0|||0|PMOSf@0|g|-3|7|conn@1|y|-7.5|7
3826 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3827 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3828 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
3829 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
3830 Ed||D5G2;|conn@2|y|B
3831 Eg||D5G2;|conn@1|a|I
3832 Es||D5G2;|conn@0|y|B
3833 X
3834
3835 # Cell PMOSx_high;1{ic}
3836 CPMOSx_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3837 Ngeneric:Facet-Center|art@0||0|0||||AV
3838 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I10
3839 Ngeneric:Invisible-Pin|pin@0||0|2||||
3840 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3841 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
3842 NPin|pin@3||0|1||||
3843 NPin|pin@4||-0.75|1|1|1||
3844 NPin|pin@5||-0.75|-1|1|1||
3845 NPin|pin@6||0|-1||||
3846 NPin|pin@7||0|-2||||
3847 NPin|pin@8||-3.5|0|||RR|
3848 NPin|pin@9||-3|0|1|1|RRR|
3849 NPin|pin@10||0|2||||
3850 NPin|pin@11||-2|1|1|1|Y|
3851 NPin|pin@12||-2|-1|1|1|Y|
3852 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
3853 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
3854 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I10
3855 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
3856 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
3857 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
3858 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I10
3859 Ed||D8G1;|pin@1||B
3860 Eg||D6G1;|pin@2||I
3861 Es||D2G1;|pin@0||B
3862 X
3863
3864 # Cell PMOSx_high;1{sch}
3865 CPMOSx_high;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3866 IPMOSx_high;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3867 IPMOSf_high;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3868 Ngeneric:Facet-Center|art@0||0|0||||AV
3869 NOff-Page|conn@0||5|11.5||||
3870 NOff-Page|conn@1||-9.5|7||||
3871 NOff-Page|conn@2||5|1||||
3872 NWire_Pin|pin@1||0|11.5||||
3873 NWire_Pin|pin@2||0|1||||
3874 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOSx_high
3875 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal high-threshold strength-based PMOS device
3876 Awire|net@0|||0|PMOSf@0|g|-3.5|7|conn@1|y|-7.5|7
3877 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3878 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3879 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
3880 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
3881 Ed||D5G2;|conn@2|y|B
3882 Eg||D5G2;|conn@1|a|I
3883 Es||D5G2;|conn@0|y|B
3884 X
3885
3886 # Cell PMOSx_low;1{ic}
3887 CPMOSx_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3888 Ngeneric:Facet-Center|art@0||0|0||||AV
3889 NThick-Circle|art@1||-1.5|0|1|1|RR||ART_color()I10
3890 Ngeneric:Invisible-Pin|pin@0||0|2||||
3891 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
3892 Nschematic:Bus_Pin|pin@2||-2.5|0|-2|-2||
3893 NPin|pin@3||0|1||||
3894 NPin|pin@4||-0.75|1|1|1||
3895 NPin|pin@5||-0.75|-1|1|1||
3896 NPin|pin@6||0|-1||||
3897 NPin|pin@7||0|-2||||
3898 NPin|pin@8||-2.5|0|||RR|
3899 NPin|pin@9||-2|0|1|1|RRR|
3900 NPin|pin@10||0|2||||
3901 NPin|pin@11||-1|1|1|1|Y|
3902 NPin|pin@12||-1|-1|1|1|Y|
3903 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
3904 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
3905 AThicker|net@2|||FS1800|pin@8||-2.5|0|pin@9||-2|0|ART_color()I10
3906 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
3907 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
3908 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
3909 AThicker|net@6|||FS2700|pin@12||-1|-1|pin@11||-1|1|ART_color()I10
3910 Ed||D8G1;|pin@1||B
3911 Eg||D6G1;|pin@2||I
3912 Es||D2G1;|pin@0||B
3913 X
3914
3915 # Cell PMOSx_low;1{sch}
3916 CPMOSx_low;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3917 IPMOSx_low;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3918 IPMOSf_low;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
3919 Ngeneric:Facet-Center|art@0||0|0||||AV
3920 NOff-Page|conn@0||5|11.5||||
3921 NOff-Page|conn@1||-9.5|7||||
3922 NOff-Page|conn@2||5|1||||
3923 NWire_Pin|pin@1||0|11.5||||
3924 NWire_Pin|pin@2||0|1||||
3925 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)SPMOSx_low
3926 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal low_threshold strength-based PMOS device
3927 Awire|net@0|||0|PMOSf@0|g|-2.5|7|conn@1|y|-7.5|7
3928 Awire|net@1|||900|pin@1||0|11.5|PMOSf@0|s|0|9
3929 Awire|net@2|||2700|pin@2||0|1|PMOSf@0|d|0|5
3930 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
3931 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
3932 Ed||D5G2;|conn@2|y|B
3933 Eg||D5G2;|conn@1|a|I
3934 Es||D5G2;|conn@0|y|B
3935 X
3936
3937 # Cell PMOSxwk;1{ic}
3938 CPMOSxwk;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3939 Ngeneric:Facet-Center|art@0||0|0||||AV
3940 NThick-Circle|art@1||-1.5|0|0.5|0.5|RR||ART_color()I10
3941 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
3942 NPin|pin@1||-1.25|-0.75|1|1|Y|
3943 NPin|pin@2||-1.25|0.75|1|1|Y|
3944 NPin|pin@3||0|2||||
3945 NPin|pin@4||-1.75|0|1|1|RRR|
3946 NPin|pin@5||-3|0|||RR|
3947 NPin|pin@6||0|-2||||
3948 NPin|pin@7||0|-0.75||||
3949 NPin|pin@8||-0.75|-0.75|1|1||
3950 NPin|pin@9||-0.75|0.75|1|1||
3951 NPin|pin@10||0|0.75||||
3952 Nschematic:Bus_Pin|pin@11||-3|0|-2|-2||
3953 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
3954 Ngeneric:Invisible-Pin|pin@13||0|2||||
3955 AThicker|net@0|||FS2700|pin@1||-1.25|-0.75|pin@2||-1.25|0.75|ART_color()I10
3956 AThicker|net@1|||FS900|pin@9||-0.75|0.75|pin@8||-0.75|-0.75|ART_color()I10
3957 AThicker|net@2|||FS900|pin@7||0|-0.75|pin@6||0|-2|ART_color()I10
3958 AThicker|net@3|||FS2700|pin@10||0|0.75|pin@3||0|2|ART_color()I10
3959 AThicker|net@4|||FS1800|pin@5||-3|0|pin@4||-1.75|0|ART_color()I10
3960 AThicker|net@5|||FS1800|pin@8||-0.75|-0.75|pin@7||0|-0.75|ART_color()I10
3961 AThicker|net@6|||FS0|pin@10||0|0.75|pin@9||-0.75|0.75|ART_color()I10
3962 Ed||D8G1;|pin@12||B
3963 Eg||D6G1;|pin@11||I
3964 Es||D2G1;|pin@13||B
3965 X
3966
3967 # Cell PMOSxwk;1{sch}
3968 CPMOSxwk;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
3969 IPMOSfwk;1{ic}|PMOSfwk@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3|ATTR_GEO()I0
3970 IPMOSxwk;1{ic}|PMOSwk@0||22.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
3971 Ngeneric:Facet-Center|art@0||0|0||||AV
3972 NOff-Page|conn@0||5|1||||
3973 NOff-Page|conn@1||-8|7||||
3974 NOff-Page|conn@2||5|11.5||||
3975 Ngeneric:Invisible-Pin|pin@0||-0.5|18.5|||||ART_message(D5G2;)S3 terminal standard threshold strength based weak PMOS device
3976 Ngeneric:Invisible-Pin|pin@1||-0.5|23.5|||||ART_message(D5G6;)SPMOSxwk
3977 NWire_Pin|pin@2||0|1||||
3978 NWire_Pin|pin@3||0|11.5||||
3979 Awire|net@0|||900|pin@3||0|11.5|PMOSfwk@0|s|0|9
3980 Awire|net@1|||1800|conn@1|y|-6|7|PMOSfwk@0|g|-3|7
3981 Awire|net@2|||2700|pin@2||0|1|PMOSfwk@0|d|0|5
3982 Awire|net@3|||0|conn@0|a|3|1|pin@2||0|1
3983 Awire|net@4|||1800|pin@3||0|11.5|conn@2|a|3|11.5
3984 Ed||D5G2;|conn@0|y|B
3985 Eg||D5G2;|conn@1|a|I
3986 Es||D5G2;|conn@2|y|B
3987 X
3988
3989 # Cell PMOSxwk_high;1{ic}
3990 CPMOSxwk_high;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
3991 Ngeneric:Facet-Center|art@0||0|0||||AV
3992 NThick-Circle|art@1||-2|0|0.5|0.5|RR||ART_color()I10
3993 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
3994 NPin|pin@1||-1.75|-0.75|1|1|Y|
3995 NPin|pin@2||-1.75|0.75|1|1|Y|
3996 NPin|pin@3||0|2||||
3997 NPin|pin@4||-2.25|0|1|1|RRR|
3998 NPin|pin@5||-3|0|||RR|
3999 NPin|pin@6||0|-2||||
4000 NPin|pin@7||0|-0.75||||
4001 NPin|pin@8||-0.75|-0.75|1|1||
4002 NPin|pin@9||-0.75|0.75|1|1||
4003 NPin|pin@10||0|0.75||||
4004 Nschematic:Bus_Pin|pin@11||-3|0|-2|-2||
4005 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
4006 Ngeneric:Invisible-Pin|pin@13||0|2||||
4007 AThicker|net@0|||FS2700|pin@1||-1.75|-0.75|pin@2||-1.75|0.75|ART_color()I10
4008 AThicker|net@1|||FS900|pin@9||-0.75|0.75|pin@8||-0.75|-0.75|ART_color()I10
4009 AThicker|net@2|||FS900|pin@7||0|-0.75|pin@6||0|-2|ART_color()I10
4010 AThicker|net@3|||FS2700|pin@10||0|0.75|pin@3||0|2|ART_color()I10
4011 AThicker|net@4|||FS1800|pin@5||-3|0|pin@4||-2.25|0|ART_color()I10
4012 AThicker|net@5|||FS1800|pin@8||-0.75|-0.75|pin@7||0|-0.75|ART_color()I10
4013 AThicker|net@6|||FS0|pin@10||0|0.75|pin@9||-0.75|0.75|ART_color()I10
4014 Ed||D8G1;|pin@12||B
4015 Eg||D6G1;|pin@11||I
4016 Es||D2G1;|pin@13||B
4017 X
4018
4019 # Cell PMOSxwk_high;1{sch}
4020 CPMOSxwk_high;1{sch}||schematic|1021415734000|1158100857746||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
4021 IPMOSfwk_high;1{ic}|PMOSfwk@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3|ATTR_GEO()I0
4022 IPMOSxwk_high;1{ic}|PMOSwk@0||22.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
4023 Ngeneric:Facet-Center|art@0||0|0||||AV
4024 NOff-Page|conn@0||5|1||||
4025 NOff-Page|conn@1||-8|7||||
4026 NOff-Page|conn@2||5|11.5||||
4027 Ngeneric:Invisible-Pin|pin@0||-0.5|18.5|||||ART_message(D5G2;)S3 terminal high-threshold strength based weak PMOS device
4028 Ngeneric:Invisible-Pin|pin@1||-0.5|23.5|||||ART_message(D5G6;)SPMOSxwk_high
4029 NWire_Pin|pin@2||0|1||||
4030 NWire_Pin|pin@3||0|11.5||||
4031 Awire|net@0|||900|pin@3||0|11.5|PMOSfwk@0|s|0|9
4032 Awire|net@1|||1800|conn@1|y|-6|7|PMOSfwk@0|g|-3|7
4033 Awire|net@2|||2700|pin@2||0|1|PMOSfwk@0|d|0|5
4034 Awire|net@3|||0|conn@0|a|3|1|pin@2||0|1
4035 Awire|net@4|||1800|pin@3||0|11.5|conn@2|a|3|11.5
4036 Ed||D5G2;|conn@0|y|B
4037 Eg||D5G2;|conn@1|a|I
4038 Es||D5G2;|conn@2|y|B
4039 X
4040
4041 # Cell PMOSxwk_low;1{ic}
4042 CPMOSxwk_low;1{ic}||artwork|1021415734000|1204183998562|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3.5;Y0.5;)S1|prototype_center()I[-8000,16000]
4043 Ngeneric:Facet-Center|art@0||0|0||||AV
4044 NThick-Circle|art@1||-1.25|0|0.5|0.5|RR||ART_color()I10
4045 Ngeneric:Invisible-Pin|pin@0||-0.5|0|||||ART_message(D5G1;)S[wk]
4046 NPin|pin@1||-1|-0.75|1|1|Y|
4047 NPin|pin@2||-1|0.75|1|1|Y|
4048 NPin|pin@3||0|2||||
4049 NPin|pin@4||-1.5|0|1|1|RRR|
4050 NPin|pin@5||-2.5|0|||RR|
4051 NPin|pin@6||0|-2||||
4052 NPin|pin@7||0|-0.75||||
4053 NPin|pin@8||-0.75|-0.75|1|1||
4054 NPin|pin@9||-0.75|0.75|1|1||
4055 NPin|pin@10||0|0.75||||
4056 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
4057 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
4058 Ngeneric:Invisible-Pin|pin@13||0|2||||
4059 AThicker|net@0|||FS2700|pin@1||-1|-0.75|pin@2||-1|0.75|ART_color()I10
4060 AThicker|net@1|||FS900|pin@9||-0.75|0.75|pin@8||-0.75|-0.75|ART_color()I10
4061 AThicker|net@2|||FS900|pin@7||0|-0.75|pin@6||0|-2|ART_color()I10
4062 AThicker|net@3|||FS2700|pin@10||0|0.75|pin@3||0|2|ART_color()I10
4063 AThicker|net@4|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I10
4064 AThicker|net@5|||FS1800|pin@8||-0.75|-0.75|pin@7||0|-0.75|ART_color()I10
4065 AThicker|net@6|||FS0|pin@10||0|0.75|pin@9||-0.75|0.75|ART_color()I10
4066 Ed||D8G1;|pin@12||B
4067 Eg||D6G1;|pin@11||I
4068 Es||D2G1;|pin@13||B
4069 X
4070
4071 # Cell PMOSxwk_low;1{sch}
4072 CPMOSxwk_low;1{sch}||schematic|1021415734000|1158100860825||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-8.5;Y1.25;)S1|prototype_center()I[0,0]
4073 IPMOSfwk_low;1{ic}|PMOSfwk@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5FLeave alone;G1;NOLPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6FLeave alone;G1;NOLPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3|ATTR_GEO()I0
4074 IPMOSxwk_low;1{ic}|PMOSwk@0||22.25|13.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S1
4075 Ngeneric:Facet-Center|art@0||0|0||||AV
4076 NOff-Page|conn@0||5|1||||
4077 NOff-Page|conn@1||-8|7||||
4078 NOff-Page|conn@2||5|11.5||||
4079 Ngeneric:Invisible-Pin|pin@0||-0.5|18.5|||||ART_message(D5G2;)S3 terminal low-threshold strength based weak PMOS device
4080 Ngeneric:Invisible-Pin|pin@1||-0.5|23.5|||||ART_message(D5G6;)SPMOSxwk_low
4081 NWire_Pin|pin@2||0|1||||
4082 NWire_Pin|pin@3||0|11.5||||
4083 Awire|net@0|||900|pin@3||0|11.5|PMOSfwk@0|s|0|9
4084 Awire|net@1|||1800|conn@1|y|-6|7|PMOSfwk@0|g|-2.5|7
4085 Awire|net@2|||2700|pin@2||0|1|PMOSfwk@0|d|0|5
4086 Awire|net@3|||0|conn@0|a|3|1|pin@2||0|1
4087 Awire|net@4|||1800|pin@3||0|11.5|conn@2|a|3|11.5
4088 Ed||D5G2;|conn@0|y|B
4089 Eg||D5G2;|conn@1|a|I
4090 Es||D5G2;|conn@2|y|B
4091 X
4092
4093 # Cell R110;1{ic}
4094 CR110;1{ic}||artwork|1047945855000|1213379062425|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S8.8|prototype_center()I[0,0]
4095 Ngeneric:Facet-Center|art@0||0|0||||AV
4096 NPin|pin@0||3|0|1|1|Y|
4097 NPin|pin@1||2|0|1|1|Y|
4098 NPin|pin@2||1.5|-1|1|1|Y|
4099 NPin|pin@3||1|1|1|1|Y|
4100 NPin|pin@4||0.5|-1|1|1|Y|
4101 NPin|pin@5||0|1|1|1|Y|
4102 NPin|pin@6||-0.5|-1|1|1|Y|
4103 NPin|pin@7||-1|1|1|1|Y|
4104 NPin|pin@8||-1.5|-1|1|1|Y|
4105 NPin|pin@9||-2|0|1|1|Y|
4106 NPin|pin@10||-3|0|1|1|Y|
4107 Nschematic:Bus_Pin|pin@11||3|0||||
4108 Nschematic:Bus_Pin|pin@12||-3|0||||
4109 NPin|pin@13||-2.5|-0.75|1|1||
4110 NPin|pin@14||2.5|-0.75|1|1||
4111 NPin|pin@15||0|-1.5|1|1|YRRR|
4112 NPin|pin@16||0|-0.75|1|1|YRRR|
4113 NPin|pin@18||1|-1.5|1|1|YRR|
4114 NPin|pin@19||-1|-1.5|1|1|YRR|
4115 NPin|pin@20||0.5|-2|1|1|YRR|
4116 NPin|pin@21||-0.5|-2|1|1|YRR|
4117 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S110
4118 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
4119 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
4120 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
4121 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
4122 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
4123 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
4124 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
4125 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
4126 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
4127 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
4128 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
4129 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
4130 AThicker|net@12|||FS0|pin@18||1|-1.5|pin@19||-1|-1.5|ART_color()I74
4131 AThicker|net@13|||FS0|pin@20||0.5|-2|pin@21||-0.5|-2|ART_color()I74
4132 Ein||D5G2;|pin@12||I
4133 Eout||D5G2;|pin@11||O
4134 X
4135
4136 # Cell R110;1{sch}
4137 CR110;1{sch}||schematic|1047945706000|1204659990686||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S8.8|ATTR_CDL_template(D5G1;NTX-2.5;Y-14;)SXR$(node_name) $(in) $(out) /rnpolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_NCC(D5G1;NTX-1.5;Y-18.5;)SresistorType  N-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX0.5;Y-23;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-21;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-16.25;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_SPICE_template_smartspice(D5G1;NTY-12;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)' w='$(W)' scale=0.05u|prototype_center()I[0,0]
4138 Ngeneric:Facet-Center|art@0||0|0||||AV
4139 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4140 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4141 NOff-Page|conn@0||10|5||||
4142 NOff-Page|conn@1||-11.5|5||||
4143 IR110;1{ic}|gateResi@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S8.8
4144 NGround|gnd@0||0|-8.5||||
4145 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sn-type unsilicided polysilicon resistor for TSMC90nm process
4146 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)SR110 (rnpolywo)
4147 NWire_Pin|pin@2||-5.5|5||||
4148 NWire_Pin|pin@3||-5.5|-4.5||||
4149 NWire_Pin|pin@4||4.75|5||||
4150 NWire_Pin|pin@5||4.75|-4.5||||
4151 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=2.0um, w=0.44um",target resistance is approx 110 ohm/sq]
4152 NWire_Pin|pin@7||0|-4.5||||
4153 NResistor|res@0||-0.5|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY1.5;)S(@L*110/@W)
4154 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
4155 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
4156 Awire|net@2|||0|res@0|a|-2.5|5|pin@2||-5.5|5
4157 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
4158 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
4159 Awire|net@6|||1800|res@0|b|1.5|5|pin@4||4.75|5
4160 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
4161 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
4162 Awire|net@9|||0|pin@7||0|-4.5|pin@3||-5.5|-4.5
4163 Awire|net@10|||0|pin@5||4.75|-4.5|pin@7||0|-4.5
4164 Awire|net@11|||2700|gnd@0||0|-6.5|pin@7||0|-4.5
4165 Ein||D5G2;|conn@1|y|I
4166 Eout||D5G2;|conn@0|y|O
4167 X
4168
4169 # Cell R440;1{ic}
4170 CR440;1{ic}||artwork|1047945855000|1213379062428|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S8.8|prototype_center()I[0,0]
4171 Ngeneric:Facet-Center|art@0||0|0||||AV
4172 NPin|pin@0||3|0|1|1|Y|
4173 NPin|pin@1||2|0|1|1|Y|
4174 NPin|pin@2||1.5|-1|1|1|Y|
4175 NPin|pin@3||1|1|1|1|Y|
4176 NPin|pin@4||0.5|-1|1|1|Y|
4177 NPin|pin@5||0|1|1|1|Y|
4178 NPin|pin@6||-0.5|-1|1|1|Y|
4179 NPin|pin@7||-1|1|1|1|Y|
4180 NPin|pin@8||-1.5|-1|1|1|Y|
4181 NPin|pin@9||-2|0|1|1|Y|
4182 NPin|pin@10||-3|0|1|1|Y|
4183 Nschematic:Bus_Pin|pin@11||3|0||||
4184 Nschematic:Bus_Pin|pin@12||-3|0||||
4185 NPin|pin@13||-2.5|-0.75|1|1||
4186 NPin|pin@14||2.5|-0.75|1|1||
4187 NPin|pin@15||0|-1.5|1|1|YRRR|
4188 NPin|pin@16||0|-0.75|1|1|YRRR|
4189 NPin|pin@18||1|-1.5|1|1|YRR|
4190 NPin|pin@19||-1|-1.5|1|1|YRR|
4191 NPin|pin@20||0.5|-2|1|1|YRR|
4192 NPin|pin@21||-0.5|-2|1|1|YRR|
4193 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S440
4194 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
4195 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
4196 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
4197 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
4198 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
4199 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
4200 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
4201 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
4202 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
4203 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
4204 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
4205 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
4206 AThicker|net@12|||FS0|pin@18||1|-1.5|pin@19||-1|-1.5|ART_color()I74
4207 AThicker|net@13|||FS0|pin@20||0.5|-2|pin@21||-0.5|-2|ART_color()I74
4208 Ein||D5G2;|pin@12||I
4209 Eout||D5G2;|pin@11||O
4210 X
4211
4212 # Cell R440;1{sch}
4213 CR440;1{sch}||schematic|1047945706000|1204326078774||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S8.8|ATTR_CDL_template(D5G1;NTX-2.5;Y-12.5;)SXR$(node_name) $(in) $(out) /rppolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_NCC(D5G1;NTX-1.5;Y-17;)SresistorType  P-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX-1.5;Y-21;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-19;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-14.75;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)' w='$(W)' scale=0.05u|prototype_center()I[0,0]
4214 Ngeneric:Facet-Center|art@0||0|0||||AV
4215 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4216 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4217 NOff-Page|conn@0||10|5||||
4218 NOff-Page|conn@1||-11.5|5||||
4219 IR440;1{ic}|gateResi@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S8.8
4220 NGround|gnd@0||0|-9||||
4221 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sp-type unsilicided polysilicon resistor for TSMC90nm process
4222 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)SR440 (rppolywo)
4223 NWire_Pin|pin@2||-5.5|5||||
4224 NWire_Pin|pin@3||-5.5|-4.5||||
4225 NWire_Pin|pin@4||4.75|5||||
4226 NWire_Pin|pin@5||4.75|-4.5||||
4227 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=2.0um, w=0.44um",target resistance is approx 440 ohm/sq]
4228 NWire_Pin|pin@7||0|-4.5||||
4229 NResistor|pres@0||0|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY1.5;)S(@L*440/@W)
4230 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
4231 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
4232 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
4233 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
4234 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
4235 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
4236 Awire|net@9|||0|pin@7||0|-4.5|pin@3||-5.5|-4.5
4237 Awire|net@10|||0|pin@5||4.75|-4.5|pin@7||0|-4.5
4238 Awire|net@11|||2700|gnd@0||0|-7|pin@7||0|-4.5
4239 Awire|net@12|||1800|pres@0|b|2|5|pin@4||4.75|5
4240 Awire|net@13|||0|pres@0|a|-2|5|pin@2||-5.5|5
4241 Ein||D5G2;|conn@1|y|I
4242 Eout||D5G2;|conn@0|y|O
4243 X
4244
4245 # Cell R440Pwell;1{ic}
4246 CR440Pwell;1{ic}||artwork|1047945855000|1213379062428|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S8.8|prototype_center()I[0,0]
4247 Ngeneric:Facet-Center|art@0||0|0||||AV
4248 NPin|pin@0||3|0|1|1|Y|
4249 NPin|pin@1||2|0|1|1|Y|
4250 NPin|pin@2||1.5|-1|1|1|Y|
4251 NPin|pin@3||1|1|1|1|Y|
4252 NPin|pin@4||0.5|-1|1|1|Y|
4253 NPin|pin@5||0|1|1|1|Y|
4254 NPin|pin@6||-0.5|-1|1|1|Y|
4255 NPin|pin@7||-1|1|1|1|Y|
4256 NPin|pin@8||-1.5|-1|1|1|Y|
4257 NPin|pin@9||-2|0|1|1|Y|
4258 NPin|pin@10||-3|0|1|1|Y|
4259 Nschematic:Bus_Pin|pin@11||3|0||||
4260 Nschematic:Bus_Pin|pin@12||-3|0||||
4261 NPin|pin@13||-2.5|-0.75|1|1||
4262 NPin|pin@14||2.5|-0.75|1|1||
4263 NPin|pin@15||0|-1.5|1|1|YRRR|
4264 NPin|pin@16||0|-0.75|1|1|YRRR|
4265 NPin|pin@18||1|-1.5|1|1|YRR|
4266 NPin|pin@19||-1|-1.5|1|1|YRR|
4267 NPin|pin@20||0.5|-2|1|1|YRR|
4268 NPin|pin@21||-0.5|-2|1|1|YRR|
4269 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S440
4270 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
4271 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
4272 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
4273 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
4274 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
4275 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
4276 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
4277 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
4278 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
4279 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
4280 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
4281 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
4282 AThicker|net@12|||FS0|pin@18||1|-1.5|pin@19||-1|-1.5|ART_color()I74
4283 AThicker|net@13|||FS0|pin@20||0.5|-2|pin@21||-0.5|-2|ART_color()I74
4284 Ein||D5G2;|pin@12||I
4285 Eout||D5G2;|pin@11||O
4286 X
4287
4288 # Cell R440Pwell;1{sch}
4289 CR440Pwell;1{sch}||schematic|1047945706000|1214600313998||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S8.8|ATTR_CDL_template(D5G1;NTX-2.5;Y-12.5;)SXR$(node_name) $(in) $(out) /rppolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_NCC(D5G1;NTX-1.5;Y-17;)SresistorType  P-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX-1.5;Y-21;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)' w='$(W)' scale=0.05u|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-19;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-14.75;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)' w='$(W)' scale=0.05u|prototype_center()I[0,0]
4290 IR440Pwell;1{ic}|R440Pwel@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S8.8
4291 Ngeneric:Facet-Center|art@0||0|0||||AV
4292 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4293 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4294 NOff-Page|conn@0||10|5||||
4295 NOff-Page|conn@1||-11.5|5||||
4296 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sp-type unsilicided polysilicon resistor for TSMC90nm process
4297 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)SR440 (rppolywo)
4298 NWire_Pin|pin@2||-5.5|5||||
4299 NWire_Pin|pin@3||-5.5|-4.5||||
4300 NWire_Pin|pin@4||4.75|5||||
4301 NWire_Pin|pin@5||4.75|-4.5||||
4302 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=2.0um, w=0.44um",target resistance is approx 440 ohm/sq]
4303 NWire_Pin|pin@10||0|-4.5||||
4304 NResistor|pres@0||0|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY1.5;)S(@L*440/@W)
4305 NPower|pwr@0||0|-8||||
4306 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
4307 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
4308 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
4309 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
4310 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
4311 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
4312 Awire|net@12|||1800|pres@0|b|2|5|pin@4||4.75|5
4313 Awire|net@13|||0|pres@0|a|-2|5|pin@2||-5.5|5
4314 Awire|net@18|||1800|pin@3||-5.5|-4.5|pin@10||0|-4.5
4315 Awire|net@19|||1800|pin@10||0|-4.5|pin@5||4.75|-4.5
4316 Awire|net@20|||2700|pwr@0||0|-8|pin@10||0|-4.5
4317 Ein||D5G2;|conn@1|y|I
4318 Eout||D5G2;|conn@0|y|O
4319 X
4320
4321 # Cell gallery;1{lay}
4322 Cgallery;1{lay}||cmos90|1158345347649|1158345387584|
4323 Ngeneric:Facet-Center|art@0||0|0||||AV
4324 Ipnp2;1{lay}|pnp2@0||-113|6|||D5G4;
4325 Ipnp5;1{lay}|pnp2@1||357|6|||D5G4;
4326 Ipnp10;1{lay}|pnp2@2||905|6|||D5G4;
4327 X
4328
4329 # Cell gallery;1{sch}
4330 Cgallery;1{sch}||schematic|1158082936168|1158346546100|
4331 INMOS4f;1{ic}|NMOS4f@0||-28|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4332 INMOS4f_high;1{ic}|NMOS4f_h@0||-37|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4333 INMOS4f_io18;1{ic}|NMOS4f_i@0||-45.5|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4334 INMOS4f_io25;1{ic}|NMOS4f_i@1||-54.5|50.5|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S5.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4335 INMOS4f_io33;1{ic}|NMOS4f_i@2||-63.5|50.5|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S7.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4336 INMOS4f_low;1{ic}|NMOS4f_l@0||-19|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4337 INMOS4f_native;1{ic}|NMOS4f_n@0||-10|50.5|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)S10
4338 INMOS4fwk;1{ic}|NMOS4fwk@0||11|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4339 INMOS4fwk_low;1{ic}|NMOS4fwk@1||20|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4340 INMOS4fwk_high;1{ic}|NMOS4fwk@2||2|50.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4341 INMOS4fwk_native;1{ic}|NMOS4fwk@3||29|51|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
4342 INMOS4x;1{ic}|NMOS4x@0||-28|74|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
4343 INMOS4x_io25;1{ic}|NMOS4x_i@0||-54.5|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4344 INMOS4x_io33;1{ic}|NMOS4x_i@1||-63|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4345 INMOS4x_io18;1{ic}|NMOS4x_i@2||-45.5|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4346 INMOSf;1{ic}|NMOSf@0||-28|57.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4347 INMOSf_high;1{ic}|NMOSf_hi@0||-37|57.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4348 INMOSf_io25;1{ic}|NMOSf_io@0||-54.5|57.5|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D6G1;NPX2;Y1;)I3
4349 INMOSf_io33;1{ic}|NMOSf_io@1||-63.5|57.5|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D6G1;NPX2;Y1;)I3
4350 INMOSf_io18;1{ic}|NMOSf_io@2||-45.5|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
4351 INMOSf_low;1{ic}|NMOSf_lo@0||-19|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4352 INMOSf_native;1{ic}|NMOSf_na@0||-10|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10
4353 INMOSf_native_od25;1{ic}|NMOSf_na@1||-84.5|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
4354 INMOSf_native_od18;1{ic}|NMOSf_na@2||-75.5|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
4355 INMOSf_native_od33;1{ic}|NMOSf_na@3||-94.5|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
4356 INMOSfwk;1{ic}|NMOSfwk@0||11|57.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4357 INMOSfwk_low;1{ic}|NMOSfwk_@0||20|57.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4358 INMOSfwk_high;1{ic}|NMOSfwk_@1||2|57.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4359 INMOSfwk_native;1{ic}|NMOSfwk_@2||29|57.5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
4360 INMOSx;1{ic}|NMOSx@0||-28|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4361 INMOSx_high;1{ic}|NMOSx_hi@0||-37|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4362 INMOSx_low;1{ic}|NMOSx_lo@0||-19|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4363 INMOSx_native;1{ic}|NMOSx_na@0||-10|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
4364 INMOSx_native_od25;1{ic}|NMOSx_na@1||-84.5|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
4365 INMOSx_native_od18;1{ic}|NMOSx_na@2||-75.5|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
4366 INMOSx_native_od33;1{ic}|NMOSx_na@3||-94.5|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
4367 INMOSxwk;1{ic}|NMOSxwk@0||11|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4368 INMOSxwk_low;1{ic}|NMOSxwk_@0||20|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4369 INMOSxwk_high;1{ic}|NMOSxwk_@1||2|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4370 INMOSxwk_native;1{ic}|NMOSxwk_@2||29|66|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4371 IPMOS4f;1{ic}|PMOS4f@0||-28|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4372 IPMOS4f_high;1{ic}|PMOS4f_h@0||-37|13|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D5G1;NPX3;Y1;)I3
4373 IPMOS4f_io18;1{ic}|PMOS4f_i@0||-45.5|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
4374 IPMOS4f_io25;1{ic}|PMOS4f_i@1||-54.5|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
4375 IPMOS4f_io33;1{ic}|PMOS4f_i@2||-63.5|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
4376 IPMOS4f_low;1{ic}|PMOS4f_l@0||-19|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4377 IPMOS4fwk;1{ic}|PMOS4fwk@0||11|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4378 IPMOS4fwk_high;1{ic}|PMOS4fwk@1||2|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4379 IPMOS4fwk_low;1{ic}|PMOS4fwk@2||20|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4380 IPMOS4x;1{ic}|PMOS4x@0||-28|35.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4381 IPMOS4x_io25;1{ic}|PMOS4x_i@0||-54.5|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
4382 IPMOS4x_io33;1{ic}|PMOS4x_i@1||-63.5|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
4383 IPMOS4x_io18;1{ic}|PMOS4x_i@2||-45.5|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
4384 IPMOSf;1{ic}|PMOSf@0||-28|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4385 IPMOSf_high;1{ic}|PMOSf_hi@0||-37|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4386 IPMOSf_io18;1{ic}|PMOSf_io@0||-45.5|20|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
4387 IPMOSf_io25;1{ic}|PMOSf_io@1||-54.5|20|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
4388 IPMOSf_io33;1{ic}|PMOSf_io@2||-63.5|20|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
4389 IPMOSf_low;1{ic}|PMOSf_lo@0||-18.75|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4390 IPMOSfwk;1{ic}|PMOSfwk@0||11|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4391 IPMOSfwk_high;1{ic}|PMOSfwk_@0||2|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4392 IPMOSfwk_low;1{ic}|PMOSfwk_@1||20|20|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
4393 IPMOSx;1{ic}|PMOSx@0||-28|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4394 IPMOSx_high;1{ic}|PMOSx_hi@0||-37|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4395 IPMOSx_low;1{ic}|PMOSx_lo@0||-19|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4396 IPMOSxwk;1{ic}|PMOSxwk@0||11|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4397 IPMOSxwk_high;1{ic}|PMOSxwk_@0||2|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4398 IPMOSxwk_low;1{ic}|PMOSxwk_@1||20|27.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
4399 IR110;1{ic}|R110@0||-95|17|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
4400 IR440;1{ic}|R440@0||-95|10.5|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
4401 Ngeneric:Facet-Center|art@0||0|0||||AV
4402 IgateResistor;1{ic}|gateResi@0||-95.5|40.5|||D0G4;|ATTR_W(D5G1;NPY-1.5;)I3
4403 Indio;1{ic}|ndio@0||-79|36|||D5G4;
4404 Ngeneric:Invisible-Pin|pin@1||-38|86|||||ART_message(D5G2;R)Shigh-threshold
4405 Ngeneric:Invisible-Pin|pin@2||-19.5|86|||||ART_message(D5G2;R)Slow-threshold
4406 Ngeneric:Invisible-Pin|pin@3||-10.5|86|||||ART_message(D5G2;R)Snative
4407 Ngeneric:Invisible-Pin|pin@4||-28.5|86|||||ART_message(D5G2;R)Sstandard
4408 Ngeneric:Invisible-Pin|pin@5||-46|86|||||ART_message(D5G2;R)S1.8V thick-ox
4409 Ngeneric:Invisible-Pin|pin@6||-55|86|||||ART_message(D5G2;R)S2.5V thick-ox
4410 Ngeneric:Invisible-Pin|pin@7||-64|86|||||ART_message(D5G2;R)S3.3V thick-ox
4411 Ngeneric:Invisible-Pin|pin@8||-76|86|||||ART_message(D5G2;R)S1.8V native
4412 Ngeneric:Invisible-Pin|pin@9||-84.5|86|||||ART_message(D5G2;R)S2.5V native
4413 Ngeneric:Invisible-Pin|pin@10||-95|86|||||ART_message(D5G2;R)S3.3V native
4414 Ngeneric:Invisible-Pin|pin@11||1.5|86|||||ART_message(D5G2;R)Sweak high-threshold
4415 Ngeneric:Invisible-Pin|pin@12||10|86|||||ART_message(D5G2;R)Sweak standard
4416 Ngeneric:Invisible-Pin|pin@13||19.5|86|||||ART_message(D5G2;R)Sweak low-threshold
4417 Ngeneric:Invisible-Pin|pin@14||28.5|86|||||ART_message(D5G2;R)Sweak native
4418 Ipnp5;1{ic}|pnp2@0||-79.5|20|||D5G4;
4419 Ipnp10;1{ic}|pnp2@1||-79.5|13|||D5G4;
4420 Ipnp2;1{ic}|singlepn@2||-79.5|27|||D5G4;
4421 Iwire90;1{ic}|wire90@0||-110.5|37|||D5G4;|ATTR_L(D5G1;PUD)I100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NPY-1;)S2|ATTR_width(D5G1;NPY-2;)S2.8
4422 Iwire90xcpl2;1{ic}|wire90xc@0||-110.5|30|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
4423 Iwire90xcpl3;1{ic}|wire90xc@1||-110.5|23|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
4424 IwireC;1{ic}|wireC@0||-95|26.5|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
4425 IwireR;1{ic}|wireC@1||-95|34|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
4426 Iwire_xcp_gnd;1{ic}|wire_xcp@0||-110.5|17|||D5G4;|ATTR_C(D5G1;NPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;PURY1;)I100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8
4427 Iwire_xcpl_sides;1{ic}|wire_xcp@1||-110.5|12|||D5G4;|ATTR_C(D5G1;NPUCY-1;)S0.0000223p|ATTR_L(D5G1;PUDY1;)I100|ATTR_LEIGNORE(PUD)I1
4428 X
4429
4430 # Cell gateResistor;1{ic}
4431 CgateResistor;1{ic}||artwork|1047945855000|1204183998562|E|ATTR_W(D5G1;HNOLPY-1.5;)S3|prototype_center()I[0,0]
4432 Ngeneric:Facet-Center|art@0||0|0||||AV
4433 NPin|pin@0||3|0|1|1||
4434 NPin|pin@1||2|0|1|1||
4435 NPin|pin@2||1.5|1|1|1||
4436 NPin|pin@3||1|-1|1|1||
4437 NPin|pin@4||0.5|1|1|1||
4438 NPin|pin@5||0|-1|1|1||
4439 NPin|pin@6||-0.5|1|1|1||
4440 NPin|pin@7||-1|-1|1|1||
4441 NPin|pin@8||-1.5|1|1|1||
4442 NPin|pin@9||-2|0|1|1||
4443 NPin|pin@10||-3|0|1|1||
4444 Nschematic:Bus_Pin|pin@11||3|0||||
4445 Nschematic:Bus_Pin|pin@12||-3|0||||
4446 AThicker|net@0|||FS0|pin@0||3|0|pin@1||2|0|ART_color()I74
4447 AThicker|net@1|||FS2966|pin@1||2|0|pin@2||1.5|1|ART_color()I74
4448 AThicker|net@2|||FS760|pin@2||1.5|1|pin@3||1|-1|ART_color()I74
4449 AThicker|net@3|||FS2840|pin@3||1|-1|pin@4||0.5|1|ART_color()I74
4450 AThicker|net@4|||FS760|pin@4||0.5|1|pin@5||0|-1|ART_color()I74
4451 AThicker|net@5|||FS2840|pin@5||0|-1|pin@6||-0.5|1|ART_color()I74
4452 AThicker|net@6|||FS760|pin@6||-0.5|1|pin@7||-1|-1|ART_color()I74
4453 AThicker|net@7|||FS2840|pin@7||-1|-1|pin@8||-1.5|1|ART_color()I74
4454 AThicker|net@8|||FS634|pin@8||-1.5|1|pin@9||-2|0|ART_color()I74
4455 AThicker|net@9|||FS0|pin@9||-2|0|pin@10||-3|0|ART_color()I74
4456 Ein||D5G2;|pin@12||I
4457 Eout||D5G2;|pin@11||O
4458 X
4459
4460 # Cell gateResistor;1{sch}
4461 CgateResistor;1{sch}||schematic|1047945706000|1158010267102||ATTR_W(D5G1;HNOLPX-13;Y-1;)S3|prototype_center()I[0,0]
4462 Ngeneric:Facet-Center|art@0||0|0||||AV
4463 NOff-Page|conn@0||10|3||||
4464 NOff-Page|conn@1||-11.5|3||||
4465 IgateResistor;1{ic}|gateResi@0||18|10.5|||D0G4;|ATTR_W(D5G1;NOLPY-1.5;)S3
4466 Ngeneric:Invisible-Pin|pin@0||0|15.5|||||ART_message(D5G2;)S[models gate resistor in TSMC 180nm technology,where gate resistance is not felt to be important]
4467 Ngeneric:Invisible-Pin|pin@1||1.5|20.5|||||ART_message(D5G5;)S[gateResistor]
4468 NResistor|res@0||-0.5|3|||||SCHEM_resistance(D5G1;OL)S0.0010
4469 Awire|net@0|||1800|conn@1|y|-9.5|3|res@0|a|-2.5|3
4470 Awire|net@1|||0|conn@0|a|8|3|res@0|b|1.5|3
4471 Ein||D5G2;|conn@1|y|I
4472 Eout||D5G2;|conn@0|y|O
4473 X
4474
4475 # Cell ndio;1{ic}
4476 Cndio;1{ic}||artwork|1158345992616|1158346111652|E
4477 Ngeneric:Facet-Center|art@0||0|0||||AV
4478 NFilled-Triangle|art@2||0|1|3|2|Y||ART_color()I-4323839
4479 Ngeneric:Universal-Pin|pin@0||0|-1|-1|-1||
4480 Ngeneric:Universal-Pin|pin@2||0|3|-1|-1||
4481 Ngeneric:Invisible-Pin|pin@3||2|1|||||ART_message(D5G1;)Sndio
4482 NPin|pin@4||0|2|1|1||
4483 NPin|pin@5||0|3|1|1||
4484 NPin|pin@6||0|-1|1|1||
4485 NPin|pin@7||0|0|1|1||
4486 NPin|pin@8||-1.5|0|1|1||
4487 NPin|pin@9||1.5|0|1|1||
4488 AThicker|net@0|||FS2700|pin@4||0|2|pin@5||0|3|ART_color()I-4323839
4489 AThicker|net@1|||FS2700|pin@6||0|-1|pin@7||0|0|ART_color()I-4323839
4490 AThicker|net@2|||FS1800|pin@8||-1.5|0|pin@9||1.5|0|ART_color()I-4323839
4491 Eminus||D5G2;|pin@0||B
4492 Eplus||D5G2;|pin@2||B
4493 X
4494
4495 # Cell ndio;1{sch}
4496 Cndio;1{sch}||schematic|1158345864878|1158346461571||ATTR_CDL_template(D5G1;NTX-7;Y-12.5;)SD$(node_name) $(plus) $(minus) ndio|ATTR_SPICE_template(D5G1;NTX-7;Y-10;)SD$(node_name) $(plus) $(minus) ndio|ATTR_SPICE_template_assura(D5G1;NTX-7;Y-14.5;)SD$(node_name) $(plus) $(minus) ndio|ATTR_SPICE_template_calibre(D5G1;NTX-7;Y-16.5;)SD$(node_name) $(plus) $(minus) ndio
4497 Ngeneric:Facet-Center|art@0||0|0||||AV
4498 NOff-Page|conn@0||-7|11|||RRR|
4499 NOff-Page|conn@1||-7|-3|||YRRR|
4500 NDiode|diode@0||-7|4|||Y||SCHEM_diode(D5G1;)S10
4501 Indio;1{ic}|ndio@0||19|19|||D5G4;
4502 Ngeneric:Invisible-Pin|pin@0||-7|23|||||ART_message(D5G5;)Sndio
4503 Ngeneric:Invisible-Pin|pin@1||-7|18|||||ART_message(D5G2;)Sn-type diode for TSMC90 process
4504 Awire|net@0|||900|conn@0|y|-7|9|diode@0|b|-7|6
4505 Awire|net@1|||2700|conn@1|y|-7|-1|diode@0|a|-7|2
4506 Eminus||D5G2;|conn@1|a|B
4507 Eplus||D5G2;|conn@0|a|B
4508 X
4509
4510 # Cell ndio18;1{ic}
4511 Cndio18;1{ic}||artwork|1158345992616|1204786357596|E|ATTR_L(D5G1;HNOLPX-3.5;Y0.5;)S20|ATTR_W(D5G1;HNOLPX-3.5;Y1.5;)S20|ATTR_area(D5G1;HNOLPX-3.5;Y-0.5;)S400
4512 Ngeneric:Facet-Center|art@0||0|0||||AV
4513 NFilled-Triangle|art@2||0|1|3|2|Y||ART_color()I-4323839
4514 Ngeneric:Universal-Pin|pin@0||0|-1|-1|-1||
4515 Ngeneric:Universal-Pin|pin@2||0|3|-1|-1||
4516 Ngeneric:Invisible-Pin|pin@3||2.5|1|||||ART_message(D5G1;)Sndio18
4517 NPin|pin@4||0|2|1|1||
4518 NPin|pin@5||0|3|1|1||
4519 NPin|pin@6||0|-1|1|1||
4520 NPin|pin@7||0|0|1|1||
4521 NPin|pin@8||-1.5|0|1|1||
4522 NPin|pin@9||1.5|0|1|1||
4523 AThicker|net@0|||FS2700|pin@4||0|2|pin@5||0|3|ART_color()I-4323839
4524 AThicker|net@1|||FS2700|pin@6||0|-1|pin@7||0|0|ART_color()I-4323839
4525 AThicker|net@2|||FS1800|pin@8||-1.5|0|pin@9||1.5|0|ART_color()I-4323839
4526 Eminus||D5G2;|pin@0||B
4527 Eplus||D5G2;|pin@2||B
4528 X
4529
4530 # Cell ndio18;1{sch}
4531 Cndio18;1{sch}||schematic|1158345864878|1204786363159||ATTR_L(D5G1;HNOLPX-32.5;Y-9.5;)S20|ATTR_W(D5G1;HNOLPX-32.5;Y-7.5;)S20|ATTR_area(D5G1;HNOLPX-32.5;Y-11;)S400|ATTR_CDL_template(D5G1;NTX-7;Y-12.5;)SD$(node_name) $(plus) $(minus) ndio_18|ATTR_SPICE_template(D5G1;NTX-7;Y-10;)SD$(node_name) $(plus) $(minus) ndio_18|ATTR_SPICE_template_assura(D5G1;NTX-7;Y-14.5;)SD$(node_name) $(plus) $(minus) ndio_18|ATTR_SPICE_template_calibre(D5G1;NTX-7;Y-16.5;)SD$(node_name) $(plus) $(minus) ndio_18 area='$(W)*$(L)*0.05u*0.05u'
4532 Ngeneric:Facet-Center|art@0||0|0||||AV
4533 NOff-Page|conn@0||-7|11|||RRR|
4534 NOff-Page|conn@1||-7|-3|||YRRR|
4535 NDiode|diode@0||-7|4|||Y||SCHEM_diode(D5G1;OL)S@W*@L
4536 Indio18;1{ic}|ndio@0||23|19|||D5G4;
4537 Ngeneric:Invisible-Pin|pin@0||-7|23|||||ART_message(D5G5;)Sndio18
4538 Ngeneric:Invisible-Pin|pin@1||-7|18|||||ART_message(D5G2;)Sthick-oxide n-type diode for TSMC90 process
4539 Awire|net@0|||900|conn@0|y|-7|9|diode@0|b|-7|6
4540 Awire|net@1|||2700|conn@1|y|-7|-1|diode@0|a|-7|2
4541 Eminus||D5G2;|conn@1|a|B
4542 Eplus||D5G2;|conn@0|a|B
4543 X
4544
4545 # Cell pdio18;1{ic}
4546 Cpdio18;1{ic}||artwork|1158345992616|1204786413364|E|ATTR_L(D5G1;HNOLPX-3.5;Y0.5;)S20|ATTR_W(D5G1;HNOLPX-3.5;Y1.5;)S20|ATTR_area(D5G1;HNOLPX-3.5;Y-0.5;)S400
4547 Ngeneric:Facet-Center|art@0||0|0||||AV
4548 NFilled-Triangle|art@2||0|1|3|2|Y||ART_color()I-4323839
4549 Ngeneric:Universal-Pin|pin@0||0|-1|-1|-1||
4550 Ngeneric:Universal-Pin|pin@2||0|3|-1|-1||
4551 Ngeneric:Invisible-Pin|pin@3||2.5|1|||||ART_message(D5G1;)Spdio18
4552 NPin|pin@4||0|2|1|1||
4553 NPin|pin@5||0|3|1|1||
4554 NPin|pin@6||0|-1|1|1||
4555 NPin|pin@7||0|0|1|1||
4556 NPin|pin@8||-1.5|0|1|1||
4557 NPin|pin@9||1.5|0|1|1||
4558 AThicker|net@0|||FS2700|pin@4||0|2|pin@5||0|3|ART_color()I-4323839
4559 AThicker|net@1|||FS2700|pin@6||0|-1|pin@7||0|0|ART_color()I-4323839
4560 AThicker|net@2|||FS1800|pin@8||-1.5|0|pin@9||1.5|0|ART_color()I-4323839
4561 Eminus||D5G2;|pin@0||B
4562 Eplus||D5G2;|pin@2||B
4563 X
4564
4565 # Cell pdio18;1{sch}
4566 Cpdio18;1{sch}||schematic|1158345864878|1204786544777||ATTR_L(D5G1;HNOLPX-32.5;Y-9.5;)S20|ATTR_W(D5G1;HNOLPX-32.5;Y-7.5;)S20|ATTR_area(D5G1;HNOLPX-32.5;Y-11;)S400|ATTR_CDL_template(D5G1;NTX-7;Y-12.5;)SD$(node_name) $(plus) $(minus) pdio_18|ATTR_SPICE_template(D5G1;NTX-7;Y-10;)SD$(node_name) $(plus) $(minus) pdio_18|ATTR_SPICE_template_assura(D5G1;NTX-7;Y-14.5;)SD$(node_name) $(plus) $(minus) pdio_18|ATTR_SPICE_template_calibre(D5G1;NTX-7;Y-16.5;)SD$(node_name) $(plus) $(minus) pdio_18 area='$(W)*$(L)*0.05u*0.05u'
4567 Ngeneric:Facet-Center|art@0||0|0||||AV
4568 NOff-Page|conn@0||-7|11|||RRR|
4569 NOff-Page|conn@1||-7|-3|||YRRR|
4570 NDiode|diode@0||-7|4|||Y||SCHEM_diode(D5G1;OL)S@W*@L
4571 Ipdio18;1{ic}|ndio@0||23|19|||D5G4;
4572 Ngeneric:Invisible-Pin|pin@0||-7|23|||||ART_message(D5G5;)Spdio18
4573 Ngeneric:Invisible-Pin|pin@1||-7|18|||||ART_message(D5G2;)Sthick-oxide p-type diode for TSMC90 process
4574 Awire|net@0|||900|conn@0|y|-7|9|diode@0|b|-7|6
4575 Awire|net@1|||2700|conn@1|y|-7|-1|diode@0|a|-7|2
4576 Eminus||D5G2;|conn@1|a|B
4577 Eplus||D5G2;|conn@0|a|B
4578 X
4579
4580 # Cell pnp2;1{ic}
4581 Cpnp2;1{ic}||artwork|1075166155000|1158173269713|E|prototype_center()I[0,0]
4582 Ngeneric:Facet-Center|art@0||0|0||||AV
4583 NFilled-Triangle|art@1||1|1|1|2|1350||ART_color()I-4323839
4584 NPin|pin@0||-2|0|1|1||
4585 NPin|pin@1||2|-2|1|1||
4586 NPin|pin@2||0|-2|1|1||
4587 NPin|pin@3||0|2|1|1||
4588 NPin|pin@4||0|0|1|1||
4589 NPin|pin@5||2|2|1|1||
4590 Ngeneric:Invisible-Pin|pin@6||2|2||||
4591 Ngeneric:Invisible-Pin|pin@7||2|-2|||Y|
4592 Ngeneric:Invisible-Pin|pin@8||-2|0|||R|
4593 Ngeneric:Invisible-Pin|pin@9||3|0|||||ART_message(C74;D5G2;)S2X2
4594 AThicker|net@0|||FS0|pin@4||0|0|pin@0||-2|0|ART_color()I-4323839
4595 AThicker|net@1|||FS1350|pin@4||0|0|pin@1||2|-2|ART_color()I-4323839
4596 AThicker|net@2|||FS2700|pin@2||0|-2|pin@3||0|2|ART_color()I-4323839
4597 AThicker|net@3|||FS2250|pin@4||0|0|pin@5||2|2|ART_color()I-4323839
4598 Ebase||D5G2;|pin@8||B
4599 Ecol||D5G2;|pin@7||B
4600 Eemit||D5G2;|pin@6||B
4601 X
4602
4603 # Cell pnp2;1{lay}
4604 Cpnp2;1{lay}||cmos90|1158278317514|1158342712850||DRC_last_good_drc_bit()I6|DRC_last_good_drc_date()G1158342736114
4605 Ngeneric:Facet-Center|art@0||0|0||||AV
4606 NMetal-1-P-Active-Con|contact@0||0|0|34.8|34.8||
4607 NMetal-1-N-Well-Con|contact@2||50|-8|14.8|102.8||
4608 NMetal-1-N-Well-Con|contact@3||0|54|14.8|114.8|R|
4609 NMetal-1-N-Well-Con|contact@4||-50|-8|14.8|102.8||
4610 NMetal-1-P-Well-Con|contact@8||120|0|34.8|274.8||
4611 NMetal-1-P-Well-Con|contact@9||-120|0|34.8|274.8||
4612 NMetal-1-Pin|pin@0||0|0||||
4613 NMetal-1-Pin|pin@1||0|54||||
4614 NMetal-1-Pin|pin@2||-120|0||||
4615 NMetal-1-Pin|pin@3||120|0||||
4616 NBJTDMY-Node|plnode@0||0|0|320|320||
4617 NN-Well-Node|plnode@1||0|0|160|160||A
4618 NP-Select-Node|plnode@2||-120|0|80|320||
4619 NP-Select-Node|plnode@3||120|0|80|320||
4620 NP-Select-Node|plnode@4||0|120|160|80||
4621 NP-Select-Node|plnode@5||0|-120|160|80||
4622 NN-Select-Node|plnode@6||50|0|40|140||
4623 NN-Select-Node|plnode@7||-50|0|40|140||
4624 NN-Select-Node|plnode@8||0|50|60|40||
4625 NN-Select-Node|plnode@9||0|-50|60|40||
4626 NP-Select-Node|plnode@10||0|0|60|60||
4627 NMetal-1-P-Well-Con|well@3||-81|120|34.8|34.8||
4628 NMetal-1-P-Well-Con|well@4||-81|-120|34.8|34.8||
4629 NMetal-1-P-Well-Con|well@5||81|120|34.8|34.8||
4630 NMetal-1-P-Well-Con|well@6||81|-120|34.8|34.8||
4631 Ametal-1|net@8|||S2700|contact@2||47|43.4|contact@3||47|46.6
4632 Ametal-1|net@9|||S900|contact@3||-50|46.6|contact@4||-50|43.4
4633 Ametal-1|net@10||36.6|IJS1800|well@6||98.4|-120|contact@8||102.6|-120
4634 Ametal-1|net@11||36.6|IJS0|well@4||-98.4|-120|contact@9||-102.6|-120
4635 Ametal-1|net@12||36.6|IJS0|well@3||-98.4|120|contact@9||-102.6|120
4636 Ametal-1|net@13||36.6|IJS1800|well@5||98.4|120|contact@8||102.6|120
4637 Ametal-1|net@14|||S0|contact@0||0|0|pin@0||0|0
4638 Ametal-1|net@15|||S0|contact@9||-120|0|pin@2||-120|0
4639 Ametal-1|net@16|||S0|contact@8||120|0|pin@3||120|0
4640 Ametal-1|net@17|||S0|contact@3||0|54|pin@1||0|54
4641 Eemit_1|base|D5G5;|pin@1||B
4642 Eemit_2|col|D5G5;|pin@2||B
4643 Ecol_1||D5G5;|pin@3||B
4644 Eemit||D5G5;|pin@0||B
4645 X
4646
4647 # Cell pnp2;1{sch}
4648 Cpnp2;1{sch}||schematic|1075166061000|1158173414105||ATTR_CDL_template(D5G1;NTX9.5;Y-8;)SQ$(node_name) $(col) $(base) $(emit) pnp2 area=4p|ATTR_NCC(D5G1;NTX9.5;Y-4;)SblackBox does not detect PNPs|ATTR_SPICE_template(D5G1;NTX9.5;Y-6;)SQ$(node_name) $(col) $(base) $(emit) pnp2|ATTR_SPICE_template_assura(D5G1;NTX9;Y-10;)SQ$(node_name) $(col) $(base) $(emit) pnp2 area=4p|ATTR_SPICE_template_calibre(D5G1;NTX10;Y-12;)SQ$(node_name) $(col) $(base) $(emit) pnp2 area=4p|prototype_center()I[0,0]
4649 Ngeneric:Facet-Center|art@0||0|0||||AV
4650 NOff-Page|conn@0||10|13|||RRR|
4651 NOff-Page|conn@1||10|2|||R|
4652 NOff-Page|conn@2||2|8||||
4653 Ngeneric:Invisible-Pin|pin@0||10|26.5|||||ART_message(D5G5;)Spnp2
4654 Ngeneric:Invisible-Pin|pin@1||10|21.5|||||ART_message(D5G2;)S2x2 vertical bipolar transistor
4655 NTransistor|pnp@0||8|8|||YR|4
4656 Ipnp2;1{ic}|singlepn@0||24.75|13|||D0G4;
4657 Awire|net@0|||2700|pnp@0|s|10|10|conn@0|y|10|11
4658 Awire|net@1|||900|pnp@0|d|10|6|conn@1|y|10|4
4659 Awire|net@2|||0|pnp@0|g|7|8|conn@2|y|4|8
4660 Ebase||D4G2;|conn@2|a|B
4661 Ecol||D6G2;X-6;|conn@1|y|B
4662 Eemit||D4G2;|conn@0|a|B
4663 X
4664
4665 # Cell pnp5;1{ic}
4666 Cpnp5;1{ic}||artwork|1075166155000|1158173333366|E|prototype_center()I[0,0]
4667 Ngeneric:Facet-Center|art@0||0|0||||AV
4668 NFilled-Triangle|art@1||1|1|1|2|1350||ART_color()I-4323839
4669 NPin|pin@0||-2|0|1|1||
4670 NPin|pin@1||2|-2|1|1||
4671 NPin|pin@2||0|-2|1|1||
4672 NPin|pin@3||0|2|1|1||
4673 NPin|pin@4||0|0|1|1||
4674 NPin|pin@5||2|2|1|1||
4675 Ngeneric:Invisible-Pin|pin@6||2|2||||
4676 Ngeneric:Invisible-Pin|pin@7||2|-2|||Y|
4677 Ngeneric:Invisible-Pin|pin@8||-2|0|||R|
4678 Ngeneric:Invisible-Pin|pin@9||3|0|||||ART_message(C74;D5G2;)S5X5
4679 AThicker|net@0|||FS0|pin@4||0|0|pin@0||-2|0|ART_color()I-4323839
4680 AThicker|net@1|||FS1350|pin@4||0|0|pin@1||2|-2|ART_color()I-4323839
4681 AThicker|net@2|||FS2700|pin@2||0|-2|pin@3||0|2|ART_color()I-4323839
4682 AThicker|net@3|||FS2250|pin@4||0|0|pin@5||2|2|ART_color()I-4323839
4683 Ebase||D5G2;|pin@8||B
4684 Ecol||D5G2;|pin@7||B
4685 Eemit||D5G2;|pin@6||B
4686 X
4687
4688 # Cell pnp5;1{lay}
4689 Cpnp5;1{lay}||cmos90|1158278317514|1158344578345||DRC_last_good_drc_bit()I6|DRC_last_good_drc_date()G1158344046229
4690 Ngeneric:Facet-Center|art@0||0|0||||AV
4691 NMetal-1-N-Well-Con|contact@2||85|-8|14.8|164.8||
4692 NMetal-1-N-Well-Con|contact@3||0|85|14.8|184.8|R|
4693 NMetal-1-N-Well-Con|contact@4||-85|-8|14.8|164.8||
4694 NMetal-1-P-Well-Con|contact@8||150|0|34.8|334.8||
4695 NMetal-1-P-Well-Con|contact@9||-150|0|34.8|334.8||
4696 NMetal-1-P-Active-Con|contact@10||-30|0|34.8|94.8||
4697 NMetal-1-P-Active-Con|contact@11||30|0|34.8|94.8||
4698 NMetal-1-Pin|pin@0||-30|0||||
4699 NMetal-1-Pin|pin@1||0|85||||
4700 NMetal-1-Pin|pin@2||-148|0||||
4701 NMetal-1-Pin|pin@3||151.5|0||||
4702 NMetal-1-Pin|pin@4||30|0||||
4703 NBJTDMY-Node|plnode@0||0|0|380|380||
4704 NN-Well-Node|plnode@1||0|0|220|220||A
4705 NP-Select-Node|plnode@2||-150|0|80|380||
4706 NP-Select-Node|plnode@3||150|0|80|380||
4707 NP-Select-Node|plnode@4||0|150|220|80||
4708 NP-Select-Node|plnode@5||0|-150|220|80||
4709 NN-Select-Node|plnode@6||85|0|50|220||
4710 NN-Select-Node|plnode@7||-85|0|50|220||
4711 NN-Select-Node|plnode@8||0|85|120|50||
4712 NN-Select-Node|plnode@9||0|-85|120|50||
4713 NP-Select-Node|plnode@11||0|0|120|120||
4714 NMetal-1-P-Well-Con|well@3||-111|150|34.8|34.8||
4715 NMetal-1-P-Well-Con|well@4||-111|-150|34.8|34.8||
4716 NMetal-1-P-Well-Con|well@5||111|150|34.8|34.8||
4717 NMetal-1-P-Well-Con|well@6||111|-150|34.8|34.8||
4718 Ametal-1|net@10||36.6|IJS1800|well@6||128.4|-150|contact@8||132.6|-150
4719 Ametal-1|net@11||36.6|IJS0|well@4||-128.4|-150|contact@9||-132.6|-150
4720 Ametal-1|net@12||36.6|IJS0|well@3||-128.4|150|contact@9||-132.6|150
4721 Ametal-1|net@13||36.6|IJS1800|well@5||128.4|150|contact@8||132.6|150
4722 Ametal-1|net@15|||S0|contact@9||-148|0|pin@2||-148|0
4723 Ametal-1|net@16|||S0|contact@8||151.5|0|pin@3||151.5|0
4724 Ametal-1|net@18|||S0|contact@10||-30|0|pin@0||-30|0
4725 Ametal-1|net@19|||S0|contact@11||30|0|pin@4||30|0
4726 Ametal-1|net@20|||S2700|contact@2||88|74.4|contact@3||88|77.6
4727 Ametal-1|net@21|||S2700|contact@4||-84|74.4|contact@3||-84|77.6
4728 Ametal-1|net@22|||S0|contact@3||0|85|pin@1||0|85
4729 Ebase||D5G5;|pin@1||B
4730 Ecol||D5G5;|pin@2||B
4731 Ecol_1||D5G5;|pin@3||B
4732 Eemit||D5G5;|pin@0||B
4733 Eemit_1||D5G5;|pin@4||B
4734 X
4735
4736 # Cell pnp5;1{sch}
4737 Cpnp5;1{sch}||schematic|1075166061000|1158173400067||ATTR_CDL_template(D5G1;NTX9.5;Y-8;)SQ$(node_name) $(col) $(base) $(emit) pnp5 area=25p|ATTR_NCC(D5G1;NTX9.5;Y-4;)SblackBox does not detect PNPs|ATTR_SPICE_template(D5G1;NTX9.5;Y-6;)SQ$(node_name) $(col) $(base) $(emit) pnp5|ATTR_SPICE_template_assura(D5G1;NTX9;Y-10;)SQ$(node_name) $(col) $(base) $(emit) pnp5 area=25p|ATTR_SPICE_template_calibre(D5G1;NTX10;Y-12;)SQ$(node_name) $(col) $(base) $(emit) pnp5 area=25p|prototype_center()I[0,0]
4738 Ngeneric:Facet-Center|art@0||0|0||||AV
4739 NOff-Page|conn@0||10|13|||RRR|
4740 NOff-Page|conn@1||10|2|||R|
4741 NOff-Page|conn@2||2|8||||
4742 Ngeneric:Invisible-Pin|pin@0||10|26.5|||||ART_message(D5G5;)Spnp2
4743 Ngeneric:Invisible-Pin|pin@1||10|21.5|||||ART_message(D5G2;)S5x5 vertical bipolar transistor
4744 NTransistor|pnp@0||8|8|||YR|4
4745 Ipnp5;1{ic}|singlepn@0||24.75|13|||D0G4;
4746 Awire|net@0|||2700|pnp@0|s|10|10|conn@0|y|10|11
4747 Awire|net@1|||900|pnp@0|d|10|6|conn@1|y|10|4
4748 Awire|net@2|||0|pnp@0|g|7|8|conn@2|y|4|8
4749 Ebase||D4G2;|conn@2|a|B
4750 Ecol||D6G2;X-6;|conn@1|y|B
4751 Eemit||D4G2;|conn@0|a|B
4752 X
4753
4754 # Cell pnp10;1{ic}
4755 Cpnp10;1{ic}||artwork|1075166155000|1158173452448|E|prototype_center()I[0,0]
4756 Ngeneric:Facet-Center|art@0||0|0||||AV
4757 NFilled-Triangle|art@1||1|1|1|2|1350||ART_color()I-4323839
4758 NPin|pin@0||-2|0|1|1||
4759 NPin|pin@1||2|-2|1|1||
4760 NPin|pin@2||0|-2|1|1||
4761 NPin|pin@3||0|2|1|1||
4762 NPin|pin@4||0|0|1|1||
4763 NPin|pin@5||2|2|1|1||
4764 Ngeneric:Invisible-Pin|pin@6||2|2||||
4765 Ngeneric:Invisible-Pin|pin@7||2|-2|||Y|
4766 Ngeneric:Invisible-Pin|pin@8||-2|0|||R|
4767 Ngeneric:Invisible-Pin|pin@9||3|0|||||ART_message(C74;D5G1.5;)S10X10
4768 AThicker|net@0|||FS0|pin@4||0|0|pin@0||-2|0|ART_color()I-4323839
4769 AThicker|net@1|||FS1350|pin@4||0|0|pin@1||2|-2|ART_color()I-4323839
4770 AThicker|net@2|||FS2700|pin@2||0|-2|pin@3||0|2|ART_color()I-4323839
4771 AThicker|net@3|||FS2250|pin@4||0|0|pin@5||2|2|ART_color()I-4323839
4772 Ebase||D5G2;|pin@8||B
4773 Ecol||D5G2;|pin@7||B
4774 Eemit||D5G2;|pin@6||B
4775 X
4776
4777 # Cell pnp10;1{lay}
4778 Cpnp10;1{lay}||cmos90|1158278317514|1158345317356||DRC_last_good_drc_bit()I6|DRC_last_good_drc_date()G1158345327528
4779 Ngeneric:Facet-Center|art@0||0|0||||AV
4780 NMetal-1-N-Well-Con|contact@2||135|-8|14.8|264.8||
4781 NMetal-1-N-Well-Con|contact@3||0|135|14.8|284.8|R|
4782 NMetal-1-N-Well-Con|contact@4||-135|-8|14.8|264.8||
4783 NMetal-1-P-Well-Con|contact@8||200|0|34.8|434.8||
4784 NMetal-1-P-Well-Con|contact@9||-200|0|34.8|434.8||
4785 NMetal-1-P-Active-Con|contact@10||-75|0|49.8|194.8||
4786 NMetal-1-P-Active-Con|contact@11||0|0|49.8|194.8||
4787 NMetal-1-P-Active-Con|contact@12||75|0|49.8|194.8||
4788 NMetal-1-Pin|pin@0||-75|0||||
4789 NMetal-1-Pin|pin@1||0|135||||
4790 NMetal-1-Pin|pin@2||-198|0||||
4791 NMetal-1-Pin|pin@3||201.5|0||||
4792 NMetal-1-Pin|pin@4||0|0||||
4793 NMetal-1-Pin|pin@5||75|0||||
4794 NBJTDMY-Node|plnode@0||0|0|480|480||
4795 NN-Well-Node|plnode@1||0|0|320|320||A
4796 NP-Select-Node|plnode@2||-200|0|80|480||
4797 NP-Select-Node|plnode@3||200|0|80|480||
4798 NP-Select-Node|plnode@4||0|200|320|80||
4799 NP-Select-Node|plnode@5||0|-200|320|80||
4800 NN-Select-Node|plnode@6||135|0|50|320||
4801 NN-Select-Node|plnode@7||-135|0|50|320||
4802 NN-Select-Node|plnode@8||0|135|220|50||
4803 NN-Select-Node|plnode@9||0|-135|220|50||
4804 NP-Select-Node|plnode@11||0|0|220|220||
4805 NMetal-1-P-Well-Con|well@3||-161|200|34.8|34.8||
4806 NMetal-1-P-Well-Con|well@4||-161|-200|34.8|34.8||
4807 NMetal-1-P-Well-Con|well@5||161|200|34.8|34.8||
4808 NMetal-1-P-Well-Con|well@6||161|-200|34.8|34.8||
4809 Ametal-1|net@10||36.6|IJS1800|well@6||178.4|-200|contact@8||182.6|-200
4810 Ametal-1|net@11||36.6|IJS0|well@4||-178.4|-200|contact@9||-182.6|-200
4811 Ametal-1|net@12||36.6|IJS0|well@3||-178.4|200|contact@9||-182.6|200
4812 Ametal-1|net@13||36.6|IJS1800|well@5||178.4|200|contact@8||182.6|200
4813 Ametal-1|net@15|||S0|contact@9||-198|0|pin@2||-198|0
4814 Ametal-1|net@16|||S0|contact@8||201.5|0|pin@3||201.5|0
4815 Ametal-1|net@18|||S0|contact@10||-75|0|pin@0||-75|0
4816 Ametal-1|net@19|||S0|contact@11||0|0|pin@4||0|0
4817 Ametal-1|net@22|||S0|contact@3||0|135|pin@1||0|135
4818 Ametal-1|net@23|||S2700|contact@2||138|124.4|contact@3||138|127.6
4819 Ametal-1|net@24|||S2700|contact@4||-134|124.4|contact@3||-134|127.6
4820 Ametal-1|net@25|||S0|contact@12||75|0|pin@5||75|0
4821 Ebase||D5G5;|pin@1||B
4822 Ecol||D5G5;|pin@2||B
4823 Ecol_1||D5G5;|pin@3||B
4824 Eemit||D5G5;|pin@0||B
4825 Eemit_1||D5G5;|pin@4||B
4826 Eemit_2||D5G5;|pin@5||B
4827 X
4828
4829 # Cell pnp10;1{sch}
4830 Cpnp10;1{sch}||schematic|1075166061000|1158173555088||ATTR_CDL_template(D5G1;NTX9.5;Y-8;)SQ$(node_name) $(col) $(base) $(emit) pnp10 area=100p|ATTR_NCC(D5G1;NTX9.5;Y-4;)SblackBox does not detect PNPs|ATTR_SPICE_template(D5G1;NTX9.5;Y-6;)SQ$(node_name) $(col) $(base) $(emit) pnp10|ATTR_SPICE_template_assura(D5G1;NTX9.5;Y-10;)SQ$(node_name) $(col) $(base) $(emit) pnp10 area=100p|ATTR_SPICE_template_calibre(D5G1;NTX9.5;Y-12;)SQ$(node_name) $(col) $(base) $(emit) pnp10 area=100p|prototype_center()I[0,0]
4831 Ngeneric:Facet-Center|art@0||0|0||||AV
4832 NOff-Page|conn@0||10|13|||RRR|
4833 NOff-Page|conn@1||10|2|||R|
4834 NOff-Page|conn@2||2|8||||
4835 Ngeneric:Invisible-Pin|pin@0||10|26.5|||||ART_message(D5G5;)Spnp10
4836 Ngeneric:Invisible-Pin|pin@1||10|21.5|||||ART_message(D5G2;)S10x10 vertical bipolar transistor
4837 NTransistor|pnp@0||8|8|||YR|4
4838 Ipnp10;1{ic}|singlepn@0||24.75|13|||D0G4;
4839 Awire|net@0|||2700|pnp@0|s|10|10|conn@0|y|10|11
4840 Awire|net@1|||900|pnp@0|d|10|6|conn@1|y|10|4
4841 Awire|net@2|||0|pnp@0|g|7|8|conn@2|y|4|8
4842 Ebase||D4G2;|conn@2|a|B
4843 Ecol||D6G2;X-6;|conn@1|y|B
4844 Eemit||D4G2;|conn@0|a|B
4845 X
4846
4847 # Cell rnwod_m;1{ic}
4848 Crnwod_m;1{ic}||artwork|1047945855000|1223599831852|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S8.8|prototype_center()I[0,0]
4849 Ngeneric:Facet-Center|art@0||0|0||||AV
4850 NPin|pin@0||3|0|1|1|Y|
4851 NPin|pin@1||2|0|1|1|Y|
4852 NPin|pin@2||1.5|-1|1|1|Y|
4853 NPin|pin@3||1|1|1|1|Y|
4854 NPin|pin@4||0.5|-1|1|1|Y|
4855 NPin|pin@5||0|1|1|1|Y|
4856 NPin|pin@6||-0.5|-1|1|1|Y|
4857 NPin|pin@7||-1|1|1|1|Y|
4858 NPin|pin@8||-1.5|-1|1|1|Y|
4859 NPin|pin@9||-2|0|1|1|Y|
4860 NPin|pin@10||-3|0|1|1|Y|
4861 Nschematic:Bus_Pin|pin@11||3|0||||
4862 Nschematic:Bus_Pin|pin@12||-3|0||||
4863 NPin|pin@13||-2.5|-0.75|1|1||
4864 NPin|pin@14||2.5|-0.75|1|1||
4865 NPin|pin@15||0|-1.5|1|1|YRRR|
4866 NPin|pin@16||0|-0.75|1|1|YRRR|
4867 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S110
4868 Nschematic:Bus_Pin|pin@23||0|-1.5||||
4869 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
4870 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
4871 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
4872 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
4873 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
4874 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
4875 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
4876 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
4877 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
4878 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
4879 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
4880 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
4881 Ein_1|b|D5G2;|pin@23||I
4882 Ein||D5G2;|pin@12||I
4883 Eout||D5G2;|pin@11||O
4884 X
4885
4886 # Cell rnwod_m;1{sch}
4887 Crnwod_m;1{sch}||schematic|1047945706000|1218132369505||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S8.8|ATTR_CDL_template(D5G1;NTX-2.5;Y-17;)SXR$(node_name) $(in) $(out) ($b) /rnwod l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_NCC(D5G1;NTX-1.5;Y-21.5;)SresistorType  N-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX0.5;Y-25.5;)SXR$(node_name) $(in) $(out) $(b) rnwod l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-23.5;)SXR$(node_name) $(in) $(out) $(b) rnwod_m lr='$(L)*0.05u' wr='$(W)*0.05u'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-19.25;)SXR$(node_name) $(in) $(out) rnwod l='$(L)*0.05u' w='$(W)*0.05u'|ATTR_SPICE_template_smartspice(D5G1;NTY-15;)SXR$(node_name) $(in) $(out) $(b) rnwod l='$(L)*0.05u' w='$(W)*0.05u'|prototype_center()I[0,0]
4888 Ngeneric:Facet-Center|art@0||0|0||||AV
4889 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX5.25;Y-5.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4890 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX-4.25;Y-9.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
4891 NOff-Page|conn@0||10|5||||
4892 NOff-Page|conn@1||-11.5|5||||
4893 NOff-Page|conn@2||-0.5|-9|||RRR|
4894 Irnwod_m;1{ic}|gateResi@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S8.8
4895 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sn-type well resistor for TSMC90nm process
4896 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)Srnwod_m
4897 NWire_Pin|pin@2||-5.5|5||||
4898 NWire_Pin|pin@3||-5.5|-4.5||||
4899 NWire_Pin|pin@4||4.75|5||||
4900 NWire_Pin|pin@5||4.75|-4.5||||
4901 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=2.0um, w=0.44um",target resistance is approx 330 ohm/sq]
4902 NWire_Pin|pin@9||-0.5|-4.5||||
4903 NResistor|res@0||-0.5|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY3;)S(@L*330/@W)
4904 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
4905 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
4906 Awire|net@2|||0|res@0|a|-2.5|5|pin@2||-5.5|5
4907 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
4908 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
4909 Awire|net@6|||1800|res@0|b|1.5|5|pin@4||4.75|5
4910 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
4911 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
4912 Awire|net@12|||1800|pin@9||-0.5|-4.5|pin@5||4.75|-4.5
4913 Awire|net@14|||1800|pin@3||-5.5|-4.5|pin@9||-0.5|-4.5
4914 Awire|net@16|||2700|conn@2|a|-0.5|-7|pin@9||-0.5|-4.5
4915 Ein_1|b|D5G2;|conn@2|y|I
4916 Ein||D5G2;|conn@1|y|I
4917 Eout||D5G2;|conn@0|y|O
4918 X
4919
4920 # Cell wire;1{ic}
4921 Cwire;1{ic}||artwork|1083964052000|1204183998562|E|ATTR_C(D5G1;HNOLPUCY-2.5;)S0.0000223p|ATTR_L(D5G1;HOLPUD)S100|ATTR_R(D5G1;HNOLPURY-1.5;)S0.024|prototype_center()I[0,0]
4922 Ngeneric:Facet-Center|art@0||0|0||||AV
4923 NThick-Circle|art@1||-2|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
4924 NThick-Circle|art@2||2|0|1.5|1.5|||ART_color()I74
4925 NPin|pin@0||-2.75|0|1|1||
4926 NPin|pin@1||-4|0||||
4927 NPin|pin@2||2|0|1|1||
4928 NPin|pin@3||4|0||||
4929 NPin|pin@4||-2|0.75|1|1||
4930 NPin|pin@5||2|0.75|1|1||
4931 NPin|pin@6||2|-0.75|1|1||
4932 NPin|pin@7||-2|-0.75|1|1||
4933 Nschematic:Bus_Pin|pin@8||4|0|-2|-2||
4934 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
4935 AThicker|net@0|||IJS0|pin@0||-2.75|0|pin@1||-4|0|ART_color()I74
4936 AThicker|net@1|||IJS1800|pin@2||2|0|pin@3||4|0|ART_color()I74
4937 AThicker|net@2|||IJS0|pin@5||2|0.75|pin@4||-2|0.75|ART_color()I74
4938 AThicker|net@3|||IJS0|pin@6||2|-0.75|pin@7||-2|-0.75|ART_color()I74
4939 Ea||D5G2;|pin@9||U
4940 Eb||D5G2;|pin@8||U
4941 X
4942
4943 # Cell wire;1{sch}
4944 Cwire;1{sch}||schematic|1083961993000|1173982560561||ATTR_C(D5G1;HNOLPUCX-19;Y-9;)S0.0000223p|ATTR_L(D5G1;HNOLPUDX-19;Y-7;)S100|ATTR_R(D5G1;HNOLPURX-19;Y-8;)S0.024|prototype_center()I[0,0]
4945 Ngeneric:Facet-Center|art@0||0|0||||AV
4946 NCapacitor|cap@0||-10|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
4947 NCapacitor|cap@1||10|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
4948 NCapacitor|cap@2||0|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
4949 NOff-Page|conn@0||21|4|||RR|
4950 NOff-Page|conn@1||-21|4||||
4951 NGround|gnd@0||0|-8||||
4952 Ngeneric:Invisible-Pin|pin@0||15|7|||||ART_message(D5G1;)S[R2 ]
4953 Ngeneric:Invisible-Pin|pin@1||-15|7|||||ART_message(D5G1;)S[R1 = @R*@L/6]
4954 Ngeneric:Invisible-Pin|pin@2||0|7|||||ART_message(D5G1;)S[R12= @R*@L/3]
4955 Ngeneric:Invisible-Pin|pin@3||16.5|-2|||||ART_message(D5G1;)S[C = @C*@L/3]
4956 Ngeneric:Invisible-Pin|pin@4||0|14|||||ART_message(D5G2;)S[this is a wire 'L' lambda long,with resistance 'R' ohms/lambda,and capacitance 'C' F/lambda]
4957 Ngeneric:Invisible-Pin|pin@5||-1|22|||||ART_message(D5G6;)S[wire]
4958 NWire_Pin|pin@6||0|-4||||
4959 NWire_Pin|pin@7||10|-4||||
4960 NWire_Pin|pin@8||-10|-4||||
4961 NWire_Pin|pin@9||10|4||||
4962 NWire_Pin|pin@10||0|4||||
4963 NWire_Pin|pin@11||-10|4||||
4964 NResistor|res@0||-15|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/6
4965 NResistor|res@1||-5|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/3
4966 NResistor|res@2||15|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/6
4967 NResistor|res@3||5|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/3
4968 Iwire;1{ic}|wire@0||15|24|||D0G4;|ATTR_C(D5G1;NOLPUCY-2.5;)S2.23E-16|ATTR_L(D5G1;OLPUD)S100|ATTR_R(D5G1;NOLPURY-1.5;)S0.24
4969 Awire|net@0|||IJS1800|res@2|b|17|4|conn@0|y|19|4
4970 Awire|net@1|||IJS0|res@0|a|-17|4|conn@1|y|-19|4
4971 Awire|net@2|||IJS900|pin@6||0|-4|gnd@0||0|-6
4972 Awire|net@3|||IJS2700|pin@6||0|-4|cap@2|b|0|-2
4973 Awire|net@4|||IJS0|pin@7||10|-4|pin@6||0|-4
4974 Awire|net@5|||IJS0|pin@6||0|-4|pin@8||-10|-4
4975 Awire|net@6|||IJS900|cap@1|b|10|-2|pin@7||10|-4
4976 Awire|net@7|||IJS2700|pin@8||-10|-4|cap@0|b|-10|-2
4977 Awire|net@8|||IJS900|pin@9||10|4|cap@1|a|10|2
4978 Awire|net@9|||IJS0|res@2|a|13|4|pin@9||10|4
4979 Awire|net@10|||IJS0|pin@9||10|4|res@3|b|7|4
4980 Awire|net@11|||IJS900|pin@10||0|4|cap@2|a|0|2
4981 Awire|net@12|||IJS0|res@3|a|3|4|pin@10||0|4
4982 Awire|net@13|||IJS0|pin@10||0|4|res@1|b|-3|4
4983 Awire|net@14|||IJS900|pin@11||-10|4|cap@0|a|-10|2
4984 Awire|net@15|||IJS0|res@1|a|-7|4|pin@11||-10|4
4985 Awire|net@16|||IJS0|pin@11||-10|4|res@0|b|-13|4
4986 Ea||D4G2;|conn@1|a|U
4987 Eb||D6G2;X-5;|conn@0|y|U
4988 X
4989
4990 # Cell wire90;1{ic}
4991 Cwire90;1{ic}||artwork|1083966364000|1204183998562|E|ATTR_L(D5FLeave alone;G1;HOLPUD)S100|ATTR_LEWIRE(D5G1;HPT)I1|ATTR_layer(D5FLeave alone;G1;HNOLPY-1;)S2|ATTR_width(D5FLeave alone;G1;HNOLPY-2;)S2.8|prototype_center()I[0,0]
4992 Ngeneric:Facet-Center|art@0||0|0||||AV
4993 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
4994 NThick-Circle|art@2||1.75|0|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
4995 NPin|pin@0||-1.75|0.75|1|1||
4996 NPin|pin@1||1.75|0.75|1|1||
4997 NPin|pin@2||1.75|-0.75|1|1||
4998 NPin|pin@3||-1.75|-0.75|1|1||
4999 Nschematic:Bus_Pin|pin@4||2.5|0|-1|-1||
5000 Nschematic:Bus_Pin|pin@5||-2.5|0|-1|-1||
5001 AThicker|net@0|||FS0|pin@1||1.75|0.75|pin@0||-1.75|0.75|ART_color()I74
5002 AThicker|net@1|||FS0|pin@2||1.75|-0.75|pin@3||-1.75|-0.75|ART_color()I74
5003 Ea||D5G2;|pin@5||B
5004 Eb||D5G2;|pin@4||B
5005 X
5006
5007 # Cell wire90;1{sch}
5008 Cwire90;1{sch}||schematic|1083965121000|1173982468235||ATTR_L(D5G1;HNOLPUDX-20.5;Y-6.5;)S100|ATTR_LEWIRE(D5G1;HNPTX-20.5;Y-9.5;)I1|ATTR_layer(D5FLeave alone;G1;HNOLPX-20.5;Y-7.5;)S2|ATTR_width(D5FLeave alone;G1;HNOLPX-20.5;Y-8.5;)S2.8|prototype_center()I[0,0]
5009 Ngeneric:Facet-Center|art@0||0|0||||AV
5010 NOff-Page|conn@0||-23|-1||||
5011 NOff-Page|conn@1||-5.5|-1|||YRR|
5012 Ngeneric:Invisible-Pin|pin@0||-4|6|||||ART_message(BD5G2;)Swire90
5013 Ngeneric:Invisible-Pin|pin@1||7|-8|||||ART_message(D5G1;)SR = (@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5014 Ngeneric:Invisible-Pin|pin@2||7|-6|||||ART_message(D5G1;)SC = (@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15
5015 Ngeneric:Invisible-Pin|pin@3||-9|2|||||ART_message(D6G1;)S["wire in layer 'layer', 'L' lambda long,","'width' lambda wide, for the 90nm tech"]
5016 Ngeneric:Invisible-Pin|pin@4||-12|-14|||||ART_message(D5G1;)Scapacitance (fF/lambda)
5017 Ngeneric:Invisible-Pin|pin@5||3.5|-14|||||ART_message(D5G1;)Sresistance (ohm/square)
5018 Ngeneric:Invisible-Pin|pin@6||3.5|-17.5|||||ART_message(D5G1;)SM1 - 0.104
5019 Ngeneric:Invisible-Pin|pin@7||3.5|-19|||||ART_message(D5G1;)SM2 - M7 : 0.0661
5020 Ngeneric:Invisible-Pin|pin@8||3.5|-20.5|||||ART_message(D5G1;)SM8 - M9 : 0.0221
5021 Ngeneric:Invisible-Pin|pin@9||3.5|-16|||||ART_message(D5G1;)Spoly - 8.5
5022 Ngeneric:Invisible-Pin|pin@10||18|-14|||||ART_message(D5G1;)Swidth (um/lambda)
5023 Ngeneric:Invisible-Pin|pin@11||18|-17.5|||||ART_message(D5G1;)SM1 - 0.12/2.4L
5024 Ngeneric:Invisible-Pin|pin@12||18|-19|||||ART_message(D5G1;)SM2 - M7 : 0.14/2.8L
5025 Ngeneric:Invisible-Pin|pin@13||18|-20.5|||||ART_message(D5G1;)SM8 - M9 : 0.42/8.4L
5026 Ngeneric:Invisible-Pin|pin@14||18|-16|||||ART_message(D5G1;)Spoly - 0.10/2L
5027 Ngeneric:Invisible-Pin|pin@15||-12|-18|||||ART_message(D5G1;)SM1 - 0.011
5028 Ngeneric:Invisible-Pin|pin@17||-12|-20.5|||||ART_message(D5G1;)SM8 - M9 : 0.016
5029 Ngeneric:Invisible-Pin|pin@18||-12|-16|||||ART_message(D5G1;)Spoly - 0.00441
5030 Iwire90;1{ic}|wire90@1||14|7.88|||D0G4;|ATTR_L(D5FLeave alone;G1;OLPUD)S100|ATTR_LEWIRE(P)I1|ATTR_layer(D5FLeave alone;G1;NOLPY-1;)S1|ATTR_width(D5FLeave alone;G1;NOLPY-2;)S3
5031 Iwire;1{ic}|wire@0||-15|-1|||D0G4;|ATTR_C(D5G1;NOLPUCY-2.5;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5G1;NOLPURY-1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5032 Awire|net@0|||0|wire@0|a|-19|-1|conn@0|y|-21|-1
5033 Awire|net@1|||1800|wire@0|b|-11|-1|conn@1|y|-7.5|-1
5034 Ea||D4G2;|conn@0|a|B
5035 Eb||D4G2;|conn@1|a|B
5036 X
5037
5038 # Cell wire90xcpl2;1{ic}
5039 Cwire90xcpl2;1{ic}||artwork|1083966364000|1204183998562|E|ATTR_L(D5FLeave alone;G1;HOLPUDY1;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPY-0.5;)S2|ATTR_width(D5FLeave alone;G1;HNOLPY-1.5;)S2.8|prototype_center()I[0,0]
5040 Ngeneric:Facet-Center|art@0||0|0||||AV
5041 NThick-Circle|art@1||-1.75|1|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5042 NThick-Circle|art@2||1.75|1|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5043 NThick-Circle|art@5||-1.75|-1|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5044 NThick-Circle|art@6||1.75|-1|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5045 NOpened-Polygon|art@8||-0.25|0|2.5|2|||ART_color()I74|trace()V[1.25/-1,-1.25/0,1.25/0,-1.25/1]
5046 NPin|pin@0||-1.75|1.75|1|1||
5047 NPin|pin@1||1.75|1.75|1|1||
5048 NPin|pin@2||1.75|0.25|1|1||
5049 NPin|pin@3||-1.75|0.25|1|1||
5050 Nschematic:Bus_Pin|pin@4||2.5|1|-1|-1||
5051 Nschematic:Bus_Pin|pin@5||-2.5|1|-1|-1||
5052 Ngeneric:Universal-Pin|pin@8||-2.5|-1|-1|-1||
5053 Ngeneric:Universal-Pin|pin@10||2.5|-1|-1|-1||
5054 NPin|pin@18||-1.75|-0.25|1|1||
5055 NPin|pin@19||1.75|-0.25|1|1||
5056 NPin|pin@20||1.75|-1.75|1|1||
5057 NPin|pin@21||-1.75|-1.75|1|1||
5058 AThicker|net@0|||FS0|pin@1||1.75|1.75|pin@0||-1.75|1.75|ART_color()I74
5059 AThicker|net@1|||FS0|pin@2||1.75|0.25|pin@3||-1.75|0.25|ART_color()I74
5060 AThicker|net@8|||FS0|pin@19||1.75|-0.25|pin@18||-1.75|-0.25|ART_color()I74
5061 AThicker|net@9|||FS0|pin@20||1.75|-1.75|pin@21||-1.75|-1.75|ART_color()I74
5062 Ea||D5G2;|pin@5||B
5063 Eb||D5G2;|pin@4||B
5064 Ec||D5G2;|pin@8||U
5065 Ed||D5G2;|pin@10||U
5066 X
5067
5068 # Cell wire90xcpl2;1{sch}
5069 Cwire90xcpl2;1{sch}||schematic|1083965121000|1173982902241||ATTR_L(D5G1;HNOLPUDX-22.5;Y-12;)S100|ATTR_layer(D5G1;HNOLPX-22.5;Y-13;)S2|ATTR_width(D5G1;HNOLPX-22.5;Y-14;)S2.8|prototype_center()I[0,0]
5070 Ngeneric:Facet-Center|art@0||0|0||||AV
5071 NOff-Page|conn@0||-9|3.5||||
5072 NOff-Page|conn@1||8.5|3.5|||YRR|
5073 NOff-Page|conn@3||-9|-3.5||||
5074 NOff-Page|conn@4||8.5|-3.5|||YRR|
5075 Iwire_xcpl_sides;1{ic}|cplR[1:0]|D5G1;X5.5;|-0.5|0|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5076 NGround|gnd@0||-6.5|10|||RRR|
5077 NGround|gnd@1||-6.5|-9.5|||RRR|
5078 Ngeneric:Invisible-Pin|pin@0||0|18.5|||||ART_message(BD5G2;)Swire90xcpl2
5079 Ngeneric:Invisible-Pin|pin@1||1.5|-14.5|||||ART_message(D5G1;)SR = (@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5080 Ngeneric:Invisible-Pin|pin@2||1.5|-13|||||ART_message(D5G1;)SC = (@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15
5081 Ngeneric:Invisible-Pin|pin@3||-8.75|16|||||ART_message(D6G1;)S["wire in layer 'layer', 'L' lambda long,","'width' lambda wide, for the 90nm tech"]
5082 Ngeneric:Invisible-Pin|pin@4||-13|-17.5|||||ART_message(D5G1;)Scapacitance (fF/lambda)
5083 Ngeneric:Invisible-Pin|pin@5||2.5|-17.5|||||ART_message(D5G1;)Sresistance (ohm/square)
5084 Ngeneric:Invisible-Pin|pin@6||2.5|-21|||||ART_message(D5G1;)SM1 - 0.104
5085 Ngeneric:Invisible-Pin|pin@7||2.5|-22.5|||||ART_message(D5G1;)SM2 - M7 : 0.0661
5086 Ngeneric:Invisible-Pin|pin@8||2.5|-24|||||ART_message(D5G1;)SM8 - M9 : 0.0221
5087 Ngeneric:Invisible-Pin|pin@9||2.5|-19.5|||||ART_message(D5G1;)Spoly - 8.5
5088 Ngeneric:Invisible-Pin|pin@10||17|-17.5|||||ART_message(D5G1;)Swidth (um/lambda)
5089 Ngeneric:Invisible-Pin|pin@11||17|-21|||||ART_message(D5G1;)SM1 - 0.12/2.4L
5090 Ngeneric:Invisible-Pin|pin@12||17|-22.5|||||ART_message(D5G1;)SM2 - M7 : 0.14/2.8L
5091 Ngeneric:Invisible-Pin|pin@13||17|-24|||||ART_message(D5G1;)SM8 - M9 : 0.42/8.4L
5092 Ngeneric:Invisible-Pin|pin@14||17|-19.5|||||ART_message(D5G1;)Spoly - 0.10/2L
5093 Ngeneric:Invisible-Pin|pin@15||-13|-21.5|||||ART_message(D5G1;)SM1 - 0.011
5094 Ngeneric:Invisible-Pin|pin@17||-13|-24|||||ART_message(D5G1;)SM8 - M9 : 0.016
5095 Ngeneric:Invisible-Pin|pin@18||-13|-19.5|||||ART_message(D5G1;)Spoly - 0.00441
5096 NWire_Pin|pin@32||-2|10||||
5097 NWire_Pin|pin@33||1|10||||
5098 NWire_Pin|pin@34||-0.5|10||||
5099 NWire_Pin|pin@35||-2|-9.5||||
5100 NWire_Pin|pin@36||1|-9.5||||
5101 NWire_Pin|pin@37||-0.5|-9.5||||
5102 Iwire90xcpl2;1{ic}|wire90xc@3||21|14.38|||D0G4;|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S100|ATTR_layer(D5FLeave alone;G1;NOLPY-0.5;)S1|ATTR_width(D5FLeave alone;G1;NOLPY-1.5;)S3|ATTR_LEWIRE()I1
5103 Iwire_xcp_gnd;1{ic}|wire_xcp@3||-0.5|3.5|||D5G4;|ATTR_C(D5FLeave alone;G1;NOLPURX2.5;Y-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPURY1;)S@L|ATTR_LEWIRE(PUR)I1|ATTR_R(D5FLeave alone;G1;NOLPURX-3;Y-1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width|ATTR_layer(OJPUR)S@layer|ATTR_width(OJPUR)S@width
5104 Iwire_xcp_gnd;1{ic}|wire_xcp@4||-0.5|-3.5|||D5G4;|ATTR_C(D5FLeave alone;G1;NOLPURX2.5;Y-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OJPURY1;)S@L|ATTR_LEWIRE(PUR)I1|ATTR_R(D5FLeave alone;G1;NOLPURX-3;Y-1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width|ATTR_layer(OJPUR)S@layer|ATTR_width(OJPUR)S@width
5105 Iwire_xcpl_sides;1{ic}|wire_xcp@7||-0.5|7.5|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5106 Iwire_xcpl_sides;1{ic}|wire_xcp@8||-0.5|-7|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5107 Awire|net@10|||0|wire_xcp@3|a|-4.5|3.5|conn@0|y|-7|3.5
5108 Awire|net@12|||0|wire_xcp@4|a|-4.5|-3.5|conn@3|y|-7|-3.5
5109 Awire|net@13|||1800|wire_xcp@4|b|3.5|-3.5|conn@4|y|6.5|-3.5
5110 Awire|net@15|||1800|wire_xcp@3|b|3.5|3.5|conn@1|y|6.5|3.5
5111 Awire|net@40|||2700|cplR[1:0]|sL3|1|1|wire_xcp@3|sL3|1|3.5
5112 Awire|net@41|||2700|cplR[1:0]|sL2|-0.5|1|wire_xcp@3|sL2|-0.5|3.5
5113 Awire|net@45|||2700|cplR[1:0]|sL1|-2|1|wire_xcp@3|sL1|-2|3.5
5114 Awire|net@47|||2700|wire_xcp@4|sL3|1|-3.5|cplR[1:0]|sR3|1|-1
5115 Awire|net@50|||2700|wire_xcp@4|sL1|-2|-3.5|cplR[1:0]|sR1|-2|-1
5116 Awire|net@51|||2700|wire_xcp@4|sL2|-0.5|-3.5|cplR[1:0]|sR2|-0.5|-1
5117 Awire|net@55|||2700|wire_xcp@7|sL1|-2|8.5|pin@32||-2|10
5118 Awire|net@56|||1800|pin@34||-0.5|10|pin@33||1|10
5119 Awire|net@57|||900|pin@33||1|10|wire_xcp@7|sL3|1|8.5
5120 Awire|net@58|||1800|pin@32||-2|10|pin@34||-0.5|10
5121 Awire|net@59|||2700|wire_xcp@7|sL2|-0.5|8.5|pin@34||-0.5|10
5122 Awire|net@60|||1800|gnd@0||-4.5|10|pin@32||-2|10
5123 Awire|net@61|||2700|wire_xcp@8|sL3|1|-6|wire_xcp@4|sL3|1|-3.5
5124 Awire|net@62|||2700|wire_xcp@8|sL1|-2|-6|wire_xcp@4|sL1|-2|-3.5
5125 Awire|net@63|||2700|wire_xcp@8|sL2|-0.5|-6|wire_xcp@4|sL2|-0.5|-3.5
5126 Awire|net@64|||900|wire_xcp@8|sR1|-2|-8|pin@35||-2|-9.5
5127 Awire|net@65|||1800|pin@37||-0.5|-9.5|pin@36||1|-9.5
5128 Awire|net@66|||2700|pin@36||1|-9.5|wire_xcp@8|sR3|1|-8
5129 Awire|net@67|||1800|pin@35||-2|-9.5|pin@37||-0.5|-9.5
5130 Awire|net@68|||900|wire_xcp@8|sR2|-0.5|-8|pin@37||-0.5|-9.5
5131 Awire|net@69|||1800|gnd@1||-4.5|-9.5|pin@35||-2|-9.5
5132 Awire|net@73|||900|wire_xcp@7|sR3|1|6.5|wire_xcp@3|sL3|1|3.5
5133 Awire|net@74|||900|wire_xcp@7|sR1|-2|6.5|wire_xcp@3|sL1|-2|3.5
5134 Awire|net@75|||900|wire_xcp@7|sR2|-0.5|6.5|wire_xcp@3|sL2|-0.5|3.5
5135 Ea||D4G2;|conn@0|a|B
5136 Eb||D4G2;|conn@1|a|B
5137 Ec||D4G2;|conn@3|a|B
5138 Ed||D4G2;|conn@4|a|B
5139 X
5140
5141 # Cell wire90xcpl3;1{ic}
5142 Cwire90xcpl3;1{ic}||artwork|1083966364000|1204183998562|E|ATTR_L(D5FLeave alone;G1;HOLPUDY1;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPY-0.5;)S2|ATTR_width(D5FLeave alone;G1;HNOLPY-1.5;)S2.8|prototype_center()I[0,0]
5143 Ngeneric:Facet-Center|art@0||0|0||||AV
5144 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5145 NThick-Circle|art@2||1.75|0|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5146 NThick-Circle|art@3||-1.75|2|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5147 NThick-Circle|art@4||1.75|2|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5148 NThick-Circle|art@5||-1.75|-2|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5149 NThick-Circle|art@6||1.75|-2|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5150 NOpened-Polygon|art@7||-0.25|1|2.5|2|||ART_color()I74|trace()V[1.25/-1,-1.25/0,1.25/0,-1.25/1]
5151 NOpened-Polygon|art@8||-0.25|-1|2.5|2|||ART_color()I74|trace()V[1.25/-1,-1.25/0,1.25/0,-1.25/1]
5152 NPin|pin@0||-1.75|0.75|1|1||
5153 NPin|pin@1||1.75|0.75|1|1||
5154 NPin|pin@2||1.75|-0.75|1|1||
5155 NPin|pin@3||-1.75|-0.75|1|1||
5156 Nschematic:Bus_Pin|pin@4||2.5|0|-1|-1||
5157 Nschematic:Bus_Pin|pin@5||-2.5|0|-1|-1||
5158 Ngeneric:Universal-Pin|pin@6||-2.5|2|-1|-1||
5159 Ngeneric:Universal-Pin|pin@8||-2.5|-2|-1|-1||
5160 Ngeneric:Universal-Pin|pin@10||2.5|-2|-1|-1||
5161 Ngeneric:Universal-Pin|pin@12||2.5|2|-1|-1||
5162 NPin|pin@14||-1.75|2.75|1|1||
5163 NPin|pin@15||1.75|2.75|1|1||
5164 NPin|pin@16||1.75|1.25|1|1||
5165 NPin|pin@17||-1.75|1.25|1|1||
5166 NPin|pin@18||-1.75|-1.25|1|1||
5167 NPin|pin@19||1.75|-1.25|1|1||
5168 NPin|pin@20||1.75|-2.75|1|1||
5169 NPin|pin@21||-1.75|-2.75|1|1||
5170 AThicker|net@0|||FS0|pin@1||1.75|0.75|pin@0||-1.75|0.75|ART_color()I74
5171 AThicker|net@1|||FS0|pin@2||1.75|-0.75|pin@3||-1.75|-0.75|ART_color()I74
5172 AThicker|net@6|||FS0|pin@15||1.75|2.75|pin@14||-1.75|2.75|ART_color()I74
5173 AThicker|net@7|||FS0|pin@16||1.75|1.25|pin@17||-1.75|1.25|ART_color()I74
5174 AThicker|net@8|||FS0|pin@19||1.75|-1.25|pin@18||-1.75|-1.25|ART_color()I74
5175 AThicker|net@9|||FS0|pin@20||1.75|-2.75|pin@21||-1.75|-2.75|ART_color()I74
5176 Ea||D5G2;|pin@5||B
5177 EaL||D5G2;|pin@6||U
5178 EaR||D5G2;|pin@8||U
5179 Eb||D5G2;|pin@4||B
5180 EbL||D5G2;|pin@12||U
5181 EbR||D5G2;|pin@10||U
5182 X
5183
5184 # Cell wire90xcpl3;1{sch}
5185 Cwire90xcpl3;1{sch}||schematic|1083965121000|1158010267102||ATTR_L(D5FLeave alone;G1;HNOLPUDX-22.5;Y-15.5;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPX-22.5;Y-16.5;)S2|ATTR_width(D5FLeave alone;G1;HNOLPX-22.5;Y-17.5;)S2.8|prototype_center()I[0,0]
5186 Ngeneric:Facet-Center|art@0||0|0||||AV
5187 NOff-Page|conn@0||-9|0||||
5188 NOff-Page|conn@1||8.5|0|||YRR|
5189 NOff-Page|conn@2||-9|7||||
5190 NOff-Page|conn@3||-9|-7||||
5191 NOff-Page|conn@4||8.5|-7|||YRR|
5192 NOff-Page|conn@5||8.5|7|||YRR|
5193 Iwire_xcpl_sides;1{ic}|cplL[1:0]|D5G1;X5.5;|-0.5|3.5|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5194 Iwire_xcpl_sides;1{ic}|cplR[1:0]|D5G1;X5.5;|-0.5|-3.5|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5195 NGround|gnd@0||-6.5|13.5|||RRR|
5196 NGround|gnd@1||-6.5|-13|||RRR|
5197 Ngeneric:Invisible-Pin|pin@0||0|20.5|||||ART_message(BD5G2;)Swire90xcpl2
5198 Ngeneric:Invisible-Pin|pin@1||1.5|-18|||||ART_message(D5G1;)SR = (@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5199 Ngeneric:Invisible-Pin|pin@2||1.5|-16.5|||||ART_message(D5G1;)SC = (@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15
5200 Ngeneric:Invisible-Pin|pin@3||-8.75|18|||||ART_message(D6G1;)S["wire in layer 'layer', 'L' lambda long,","'width' lambda wide, for the 90nm tech"]
5201 Ngeneric:Invisible-Pin|pin@4||-13|-21|||||ART_message(D5G1;)Scapacitance (fF/lambda)
5202 Ngeneric:Invisible-Pin|pin@5||2.5|-21|||||ART_message(D5G1;)Sresistance (ohm/square)
5203 Ngeneric:Invisible-Pin|pin@6||2.5|-24.5|||||ART_message(D5G1;)SM1 - 0.104
5204 Ngeneric:Invisible-Pin|pin@7||2.5|-26|||||ART_message(D5G1;)SM2 - M7 : 0.0661
5205 Ngeneric:Invisible-Pin|pin@8||2.5|-27.5|||||ART_message(D5G1;)SM8 - M9 : 0.0221
5206 Ngeneric:Invisible-Pin|pin@9||2.5|-23|||||ART_message(D5G1;)Spoly - 8.5
5207 Ngeneric:Invisible-Pin|pin@10||17|-21|||||ART_message(D5G1;)Swidth (um/lambda)
5208 Ngeneric:Invisible-Pin|pin@11||17|-24.5|||||ART_message(D5G1;)SM1 - 0.12/2.4L
5209 Ngeneric:Invisible-Pin|pin@12||17|-26|||||ART_message(D5G1;)SM2 - M7 : 0.14/2.8L
5210 Ngeneric:Invisible-Pin|pin@13||17|-27.5|||||ART_message(D5G1;)SM8 - M9 : 0.42/8.4L
5211 Ngeneric:Invisible-Pin|pin@14||17|-23|||||ART_message(D5G1;)Spoly - 0.10/2L
5212 Ngeneric:Invisible-Pin|pin@15||-13|-25|||||ART_message(D5G1;)SM1 - 0.011
5213 Ngeneric:Invisible-Pin|pin@17||-13|-27.5|||||ART_message(D5G1;)SM8 - M9 : 0.016
5214 Ngeneric:Invisible-Pin|pin@18||-13|-23|||||ART_message(D5G1;)Spoly - 0.00441
5215 NWire_Pin|pin@32||-2|13.5||||
5216 NWire_Pin|pin@33||1|13.5||||
5217 NWire_Pin|pin@34||-0.5|13.5||||
5218 NWire_Pin|pin@35||-2|-13||||
5219 NWire_Pin|pin@36||1|-13||||
5220 NWire_Pin|pin@37||-0.5|-13||||
5221 Iwire90xcpl3;1{ic}|wire90xc@1||20.5|15.88|||D0G4;|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S100|ATTR_layer(D5FLeave alone;G1;NOLPY-0.5;)S1|ATTR_width(D5FLeave alone;G1;NOLPY-1.5;)S3|ATTR_LEWIRE()I1
5222 Iwire_xcp_gnd;1{ic}|wire_xcp@2||-0.5|7|||D5G4;|ATTR_C(D5FLeave alone;G1;NOLPURX2.5;Y-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPURY1;)S@L|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NOLPURX-3;Y-1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width|ATTR_layer(OJPUR)S@layer|ATTR_width(OJPUR)S@width
5223 Iwire_xcp_gnd;1{ic}|wire_xcp@3||-0.5|0|||D5G4;|ATTR_C(D5FLeave alone;G1;NOLPURX2.5;Y-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPURY1;)S@L|ATTR_LEWIRE(PUR)I1|ATTR_R(D5FLeave alone;G1;NOLPURX-3;Y-1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width|ATTR_layer(OJPUR)S@layer|ATTR_width(OJPUR)S@width
5224 Iwire_xcp_gnd;1{ic}|wire_xcp@4||-0.5|-7|||D5G4;|ATTR_C(D5FLeave alone;G1;NOLPURX2.5;Y-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPURY1;)S@L|ATTR_LEWIRE(PUR)I1|ATTR_R(D5FLeave alone;G1;NOLPURX-3;Y-1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width|ATTR_layer(OJPUR)S@layer|ATTR_width(OJPUR)S@width
5225 Iwire_xcpl_sides;1{ic}|wire_xcp@7||-0.5|11|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5226 Iwire_xcpl_sides;1{ic}|wire_xcp@8||-0.5|-10.5|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S@L|ATTR_LEIGNORE(PUD)I1
5227 Awire|net@10|||0|wire_xcp@3|a|-4.5|0|conn@0|y|-7|0
5228 Awire|net@11|||0|wire_xcp@2|a|-4.5|7|conn@2|y|-7|7
5229 Awire|net@12|||0|wire_xcp@4|a|-4.5|-7|conn@3|y|-7|-7
5230 Awire|net@13|||1800|wire_xcp@4|b|3.5|-7|conn@4|y|6.5|-7
5231 Awire|net@14|||1800|wire_xcp@2|b|3.5|7|conn@5|y|6.5|7
5232 Awire|net@15|||1800|wire_xcp@3|b|3.5|0|conn@1|y|6.5|0
5233 Awire|net@40|||2700|cplR[1:0]|sL3|1|-2.5|wire_xcp@3|sL3|1|0
5234 Awire|net@41|||2700|cplR[1:0]|sL2|-0.5|-2.5|wire_xcp@3|sL2|-0.5|0
5235 Awire|net@42|||2700|cplL[1:0]|sL2|-0.5|4.5|wire_xcp@2|sL2|-0.5|7
5236 Awire|net@43|||2700|cplL[1:0]|sL1|-2|4.5|wire_xcp@2|sL1|-2|7
5237 Awire|net@44|||2700|cplL[1:0]|sL3|1|4.5|wire_xcp@2|sL3|1|7
5238 Awire|net@45|||2700|cplR[1:0]|sL1|-2|-2.5|wire_xcp@3|sL1|-2|0
5239 Awire|net@46|||2700|wire_xcp@3|sL3|1|0|cplL[1:0]|sR3|1|2.5
5240 Awire|net@47|||2700|wire_xcp@4|sL3|1|-7|cplR[1:0]|sR3|1|-4.5
5241 Awire|net@48|||2700|wire_xcp@3|sL1|-2|0|cplL[1:0]|sR1|-2|2.5
5242 Awire|net@49|||2700|wire_xcp@3|sL2|-0.5|0|cplL[1:0]|sR2|-0.5|2.5
5243 Awire|net@50|||2700|wire_xcp@4|sL1|-2|-7|cplR[1:0]|sR1|-2|-4.5
5244 Awire|net@51|||2700|wire_xcp@4|sL2|-0.5|-7|cplR[1:0]|sR2|-0.5|-4.5
5245 Awire|net@52|||900|wire_xcp@7|sR3|1|10|wire_xcp@2|sL3|1|7
5246 Awire|net@53|||900|wire_xcp@7|sR1|-2|10|wire_xcp@2|sL1|-2|7
5247 Awire|net@54|||900|wire_xcp@7|sR2|-0.5|10|wire_xcp@2|sL2|-0.5|7
5248 Awire|net@55|||2700|wire_xcp@7|sL1|-2|12|pin@32||-2|13.5
5249 Awire|net@56|||1800|pin@34||-0.5|13.5|pin@33||1|13.5
5250 Awire|net@57|||900|pin@33||1|13.5|wire_xcp@7|sL3|1|12
5251 Awire|net@58|||1800|pin@32||-2|13.5|pin@34||-0.5|13.5
5252 Awire|net@59|||2700|wire_xcp@7|sL2|-0.5|12|pin@34||-0.5|13.5
5253 Awire|net@60|||1800|gnd@0||-4.5|13.5|pin@32||-2|13.5
5254 Awire|net@61|||2700|wire_xcp@8|sL3|1|-9.5|wire_xcp@4|sL3|1|-7
5255 Awire|net@62|||2700|wire_xcp@8|sL1|-2|-9.5|wire_xcp@4|sL1|-2|-7
5256 Awire|net@63|||2700|wire_xcp@8|sL2|-0.5|-9.5|wire_xcp@4|sL2|-0.5|-7
5257 Awire|net@64|||900|wire_xcp@8|sR1|-2|-11.5|pin@35||-2|-13
5258 Awire|net@65|||1800|pin@37||-0.5|-13|pin@36||1|-13
5259 Awire|net@66|||2700|pin@36||1|-13|wire_xcp@8|sR3|1|-11.5
5260 Awire|net@67|||1800|pin@35||-2|-13|pin@37||-0.5|-13
5261 Awire|net@68|||900|wire_xcp@8|sR2|-0.5|-11.5|pin@37||-0.5|-13
5262 Awire|net@69|||1800|gnd@1||-4.5|-13|pin@35||-2|-13
5263 Ea||D4G2;|conn@0|a|B
5264 EaL||D4G2;|conn@2|a|B
5265 EaR||D4G2;|conn@3|a|B
5266 Eb||D4G2;|conn@1|a|B
5267 EbL||D4G2;|conn@5|a|B
5268 EbR||D4G2;|conn@4|a|B
5269 X
5270
5271 # Cell wireC;1{ic}
5272 CwireC;1{ic}||artwork|1014599103000|1204183998562|E|ATTR_L(D6FLeave alone;G1.5;HNOLPX1.5;Y0.5;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPX3;Y-1.5;)S1|ATTR_width(D5FLeave alone;G1;HNOLPX3;Y-0.5;)S3|prototype_center()I[0,0]
5273 Ngeneric:Facet-Center|art@0||0|0||||AV
5274 NPin|pin@0||0|-3|1|1||
5275 NPin|pin@1||-1|-2|1|1|||ART_color()I78
5276 NPin|pin@2||1|-2|1|1|||ART_color()I78
5277 NPin|pin@3||0|-2|1|1||
5278 NPin|pin@4||0|-0.25|1|1|||ART_color()I78
5279 NPin|pin@5||0|2|1|1||
5280 NPin|pin@6||0|0.25|1|1|||ART_color()I78
5281 NPin|pin@7||-1|0.25|1|1|||ART_color()I78
5282 NPin|pin@8||1|0.25|1|1|||ART_color()I78
5283 NPin|pin@9||1|-0.25|1|1|||ART_color()I78
5284 NPin|pin@10||-1|-0.25|1|1|||ART_color()I78
5285 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
5286 AThicker|net@0|||FS450|pin@2||1|-2|pin@0||0|-3|ART_color()I74
5287 AThicker|net@1|||FS3150|pin@0||0|-3|pin@1||-1|-2|ART_color()I74
5288 AThicker|net@2|||FS0|pin@2||1|-2|pin@1||-1|-2|ART_color()I74
5289 AThicker|net@3|||FS900|pin@4||0|-0.25|pin@3||0|-2|ART_color()I74
5290 AThicker|net@4|||FS900|pin@5||0|2|pin@6||0|0.25|ART_color()I74
5291 AThicker|net@5|||FS0|pin@8||1|0.25|pin@7||-1|0.25|ART_color()I74
5292 AThicker|net@6|||FS0|pin@9||1|-0.25|pin@10||-1|-0.25|ART_color()I74
5293 Ea||D5G1;|pin@11||I
5294 X
5295
5296 # Cell wireC;1{sch}
5297 CwireC;1{sch}||schematic|1014598612000|1158084188511||ATTR_L(D5FLeave alone;G1;HNOLPX-16;Y-4;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPX-16;Y-5;)S1|ATTR_width(D5FLeave alone;G1;HNOLPX-16;Y-6;)S3|prototype_center()I[0,0]
5298 Ngeneric:Facet-Center|art@0||0|0||||AV
5299 NCapacitor|cap@0||0|0|||||SCHEM_capacitance(D5G1;OLUC)S(@layer==0?0.015:@layer<6?0.025:0.030) * @L * 1e-15
5300 NOff-Page|conn@0||0|7|||RRR|
5301 NGround|gnd@0||0|-6||||
5302 Ngeneric:Invisible-Pin|pin@0||0|-9|||||ART_message(D5G1;)S[(@layer==0?0.015:@layer<6?0.025:0.030)*@L*1e-15]
5303 Ngeneric:Invisible-Pin|pin@1||-20|9|||||ART_message(D6G2;)S[the capacitance in fF of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
5304 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G6;)SwireC90
5305 IwireC;1{ic}|wireC@0||9|9|||D0G4;|ATTR_L(D6FLeave alone;G1.5;NOLPX1.5;Y0.5;)S100|ATTR_layer(D5FLeave alone;G1;NOLPX3;Y-1.5;)S1|ATTR_width(D5FLeave alone;G1;NOLPX3;Y-0.5;)S3
5306 Awire|net@0|||2700|cap@0|a|0|2|conn@0|y|0|5
5307 Awire|net@1|||2700|gnd@0||0|-4|cap@0|b|0|-2
5308 Ea||D5G2;|conn@0|a|I
5309 X
5310
5311 # Cell wireR;1{ic}
5312 CwireR;1{ic}||artwork|1012169520000|1204183998562|E|ATTR_L(D5FLeave alone;G1.5;HNOLPY1;)S100|ATTR_layer(D5G1;HNOLPY-2.5;)S1|ATTR_width(D5FLeave alone;G1;HNOLPY-1.5;)S3|prototype_center()I[0,0]
5313 Ngeneric:Facet-Center|art@0||0|0||||AV
5314 NPin|pin@0||-4|0|1|1||
5315 NPin|pin@1||4|0|1|1||
5316 NPin|pin@2||2.5|0|1|1||
5317 NPin|pin@3||2|-1|1|1||
5318 NPin|pin@4||1|1|1|1||
5319 NPin|pin@5||0|-1|1|1||
5320 NPin|pin@6||-1|1|1|1||
5321 NPin|pin@7||-2|-1|1|1||
5322 NPin|pin@8||-2.5|0|1|1||
5323 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
5324 Nschematic:Bus_Pin|pin@10||4|0|-2|-2||
5325 AThicker|net@0|||FS1800|pin@0||-4|0|pin@8||-2.5|0|ART_color()I74
5326 AThicker|net@1|||FS0|pin@1||4|0|pin@2||2.5|0|ART_color()I74
5327 AThicker|net@2|||FS634|pin@2||2.5|0|pin@3||2|-1|ART_color()I74
5328 AThicker|net@3|||FS2966|pin@3||2|-1|pin@4||1|1|ART_color()I74
5329 AThicker|net@4|||FS634|pin@4||1|1|pin@5||0|-1|ART_color()I74
5330 AThicker|net@5|||FS2966|pin@5||0|-1|pin@6||-1|1|ART_color()I74
5331 AThicker|net@6|||FS634|pin@6||-1|1|pin@7||-2|-1|ART_color()I74
5332 AThicker|net@7|||FS2966|pin@7||-2|-1|pin@8||-2.5|0|ART_color()I74
5333 Ea||D5G1;|pin@9||U
5334 Eb||D5G1;|pin@10||U
5335 X
5336
5337 # Cell wireR;1{sch}
5338 CwireR;1{sch}||schematic|1012169378000|1158084177716||ATTR_L(D5FLeave alone;G1;HNOLPX-5.5;Y-5;)S100|ATTR_layer(D5FLeave alone;G1;HNOLPX-5.5;Y-6;)S1|ATTR_width(D5FLeave alone;G1;HNOLPX-5.5;Y-7;)S3|prototype_center()I[0,0]
5339 Ngeneric:Facet-Center|art@0||0|0||||AV
5340 NOff-Page|conn@0||-14|0||||
5341 NOff-Page|conn@1||14|0|||RR|
5342 Ngeneric:Invisible-Pin|pin@0||-16|12|||||ART_message(D6G2;)S[the resistance in ohms of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
5343 Ngeneric:Invisible-Pin|pin@1||0|5|||||ART_message(D5G1;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5344 Ngeneric:Invisible-Pin|pin@2||-4|20.5|||||ART_message(D5G6;)SwireR90
5345 NResistor|res@0||0|0|||||SCHEM_resistance(D5G1;OLURY1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
5346 IwireR;1{ic}|wireR@0||11|10|||D0G4;|ATTR_L(D5FLeave alone;G1.5;NOLPY1;)S100|ATTR_layer(D5G1;NOLPY-2.5;)S1|ATTR_width(D5FLeave alone;G1;NOLPY-1.5;)S3
5347 Awire|net@0|||0|conn@1|y|12|0|res@0|b|2|0
5348 Awire|net@1|||0|res@0|a|-2|0|conn@0|y|-12|0
5349 Ea||D5G2;|conn@0|a|U
5350 Eb||D5G2;|conn@1|a|U
5351 X
5352
5353 # Cell wire_xcp_gnd;1{ic}
5354 Cwire_xcp_gnd;1{ic}||artwork|1083964052000|1213379062437|E|ATTR_C(D5G1;HNOLPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;HOLPURY1;)S100|ATTR_LEWIRE(D5G1;HPTUR)I1|ATTR_R(D5G1;HNOLPURX-3;Y-1;)S24m|ATTR_layer(D5G1;HOLPTUR)S2|ATTR_width(D5G1;HOLPTUR)S2.8|prototype_center()I[0,0]
5355 Ngeneric:Facet-Center|art@0||0|0||||AV
5356 NThick-Circle|art@3||-2|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5357 NThick-Circle|art@4||2|0|1.5|1.5|||ART_color()I74
5358 Nschematic:Bus_Pin|pin@8||4|0|-2|-2||
5359 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
5360 NPin|pin@20||-2|0.75|1|1||
5361 NPin|pin@21||2|0.75|1|1||
5362 NPin|pin@22||2|-0.75|1|1||
5363 NPin|pin@23||-2|-0.75|1|1||
5364 NPin|pin@24||2|0|1|1||
5365 NPin|pin@25||4|0||||
5366 NPin|pin@26||-2.75|0|1|1||
5367 NPin|pin@27||-4|0||||
5368 Ngeneric:Universal-Pin|pin@46||-1.5|0|-1|-1||
5369 Ngeneric:Universal-Pin|pin@48||0|0|-1|-1||
5370 Ngeneric:Universal-Pin|pin@50||1.5|0|-1|-1||
5371 NPin|pin@56||0.25|-0.25|1|1||
5372 NPin|pin@57||-0.25|-0.25||||
5373 NPin|pin@58||0.25|0.25|1|1||
5374 NPin|pin@59||-0.25|0.25|1|1||
5375 NPin|pin@60||-1.25|-0.25|1|1||
5376 NPin|pin@61||-1.75|-0.25||||
5377 NPin|pin@62||-1.25|0.25|1|1||
5378 NPin|pin@63||-1.75|0.25|1|1||
5379 NPin|pin@64||1.75|-0.25|1|1||
5380 NPin|pin@65||1.25|-0.25||||
5381 NPin|pin@66||1.75|0.25|1|1||
5382 NPin|pin@67||1.25|0.25|1|1||
5383 AThicker|net@8|||IJS0|pin@21||2|0.75|pin@20||-2|0.75|ART_color()I74
5384 AThicker|net@9|||IJS0|pin@22||2|-0.75|pin@23||-2|-0.75|ART_color()I74
5385 AThicker|net@10|||IJS1800|pin@24||2|0|pin@25||4|0|ART_color()I74
5386 AThicker|net@11|||IJS0|pin@26||-2.75|0|pin@27||-4|0|ART_color()I74
5387 AThicker|net@27|||IJS0|pin@56||0.25|-0.25|pin@57||-0.25|-0.25|ART_color()I74
5388 AThicker|net@28|||IJS2700|pin@56||0.25|-0.25|pin@58||0.25|0.25|ART_color()I74
5389 AThicker|net@29|||IJS0|pin@58||0.25|0.25|pin@59||-0.25|0.25|ART_color()I74
5390 AThicker|net@30|||IJS900|pin@59||-0.25|0.25|pin@57||-0.25|-0.25|ART_color()I74
5391 AThicker|net@31|||IJS0|pin@60||-1.25|-0.25|pin@61||-1.75|-0.25|ART_color()I74
5392 AThicker|net@32|||IJS2700|pin@60||-1.25|-0.25|pin@62||-1.25|0.25|ART_color()I74
5393 AThicker|net@33|||IJS0|pin@62||-1.25|0.25|pin@63||-1.75|0.25|ART_color()I74
5394 AThicker|net@34|||IJS900|pin@63||-1.75|0.25|pin@61||-1.75|-0.25|ART_color()I74
5395 AThicker|net@35|||IJS0|pin@64||1.75|-0.25|pin@65||1.25|-0.25|ART_color()I74
5396 AThicker|net@36|||IJS2700|pin@64||1.75|-0.25|pin@66||1.75|0.25|ART_color()I74
5397 AThicker|net@37|||IJS0|pin@66||1.75|0.25|pin@67||1.25|0.25|ART_color()I74
5398 AThicker|net@38|||IJS900|pin@67||1.25|0.25|pin@65||1.25|-0.25|ART_color()I74
5399 Ea||D5G2;|pin@9||U
5400 Eb||D5G2;|pin@8||U
5401 EsL1||D5G2;|pin@46||U
5402 EsL2||D5G2;|pin@48||U
5403 EsL3||D5G2;|pin@50||U
5404 X
5405
5406 # Cell wire_xcp_gnd;1{sch}
5407 Cwire_xcp_gnd;1{sch}||schematic|1083961993000|1173982789482||ATTR_C(D5FLeave alone;G1;HNOLPURX-20.5;Y-10.5;)S0.223f|ATTR_L(D5FLeave alone;G1;HNOLPURX-20.5;Y-7.5;)S100|ATTR_LEWIRE(D5G1;HNPTURX-20.5;Y-12;)I1|ATTR_R(D5FLeave alone;G1;HNOLPURX-20.5;Y-9;)S24m|ATTR_layer(D5FLeave alone;G1;HNOLPTURX-20.5;Y-13;)S2|ATTR_width(D5FLeave alone;G1;HNOLPTURX-20.5;Y-15;)S2.8|prototype_center()I[0,0]
5408 Ngeneric:Facet-Center|art@0||0|0||||AV
5409 NCapacitor|cap@9||-10|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5410 NCapacitor|cap@10||10|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5411 NCapacitor|cap@11||0|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5412 NOff-Page|conn@0||24.5|0|||RR|
5413 NOff-Page|conn@1||-21|0||||
5414 NOff-Page|conn@6||-10|8|||RRR|
5415 NOff-Page|conn@7||0|8|||RRR|
5416 NOff-Page|conn@8||10|8|||RRR|
5417 NGround|gnd@1||15|-10|||R|
5418 Ngeneric:Invisible-Pin|pin@0||15|17.5|||||ART_message(D5G1;)S[R2 ]
5419 Ngeneric:Invisible-Pin|pin@1||-15|17.5|||||ART_message(D5G1;)S[R1 = @R*@L/6]
5420 Ngeneric:Invisible-Pin|pin@2||0|17.5|||||ART_message(D5G1;)S[R12= @R*@L/3]
5421 Ngeneric:Invisible-Pin|pin@4||0|25.5|||||ART_message(D5G2;)S[this is a wire 'L' lambda long,with resistance 'R' ohms/lambda,and capacitance 'C' F/lambda]
5422 Ngeneric:Invisible-Pin|pin@5||-1|33.5|||||ART_message(D5G6;)Swire_xcpl_gnd
5423 NWire_Pin|pin@15||10|0||||
5424 NWire_Pin|pin@16||0|0||||
5425 NWire_Pin|pin@17||-10|0||||
5426 NWire_Pin|pin@21||-10|-10||||
5427 NWire_Pin|pin@22||0|-10||||
5428 NWire_Pin|pin@23||10|-10||||
5429 Ngeneric:Invisible-Pin|pin@38||28|-6.5|||||ART_message(D5G1;)SCc = 0.45Ctotal
5430 NResistor|res@0||-15|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5431 NResistor|res@1||-5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5432 NResistor|res@2||18.5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5433 NResistor|res@3||5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5434 Iwire_xcp_gnd;1{ic}|wire_xcp@3||30|29.5|||D0G4;|ATTR_C(D5G1;NOLPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;OLPURY1;)S100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NOLPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8
5435 Awire|net@0|||IJS1800|res@2|b|20.5|0|conn@0|y|22.5|0
5436 Awire|net@1|||IJS0|res@0|a|-17|0|conn@1|y|-19|0
5437 Awire|net@17|||0|pin@15||10|0|res@3|b|7|0
5438 Awire|net@18|||0|pin@16||0|0|res@1|b|-3|0
5439 Awire|net@19|||0|pin@17||-10|0|res@0|b|-13|0
5440 Awire|net@36|||0|res@2|a|16.5|0|pin@15||10|0
5441 Awire|net@41|||0|res@3|a|3|0|pin@16||0|0
5442 Awire|net@43|||0|res@1|a|-7|0|pin@17||-10|0
5443 Awire|net@54|||900|cap@9|b|-10|-7.5|pin@21||-10|-10
5444 Awire|net@55|||1800|pin@21||-10|-10|pin@22||0|-10
5445 Awire|net@56|||2700|pin@22||0|-10|cap@11|b|0|-7.5
5446 Awire|net@57|||1800|pin@22||0|-10|pin@23||10|-10
5447 Awire|net@58|||2700|pin@23||10|-10|cap@10|b|10|-7.5
5448 Awire|net@59|||0|gnd@1||13|-10|pin@23||10|-10
5449 Awire|net@79|||900|conn@6|y|-10|6|pin@17||-10|0
5450 Awire|net@80|||900|conn@7|y|0|6|pin@16||0|0
5451 Awire|net@81|||900|conn@8|y|10|6|pin@15||10|0
5452 Awire|net@85|||2700|cap@11|a|0|-3.5|pin@16||0|0
5453 Awire|net@86|||900|pin@15||10|0|cap@10|a|10|-3.5
5454 Awire|net@87|||900|pin@17||-10|0|cap@9|a|-10|-3.5
5455 Ea||D4G2;|conn@1|a|U
5456 Eb||D6G2;X-5;|conn@0|y|U
5457 EsL1||D4G2;|conn@6|a|U
5458 EsL2||D4G2;|conn@7|a|U
5459 EsL3||D4G2;|conn@8|a|U
5460 X
5461
5462 # Cell wire_xcpl;1{ic}
5463 Cwire_xcpl;1{ic}||artwork|1083964052000|1204183998562|E|ATTR_C(D5FLeave alone;G1;HNOLPUCY-2;)S0.0000223p|ATTR_L(D5FLeave alone;G1;HOLPUDY1;)S100|ATTR_R(D5FLeave alone;G1;HNOLPURY-1;)S0.024|prototype_center()I[0,0]
5464 Ngeneric:Facet-Center|art@0||0|0||||AV
5465 NThick-Circle|art@1||-2|-2|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5466 NThick-Circle|art@2||2|-2|1.5|1.5|||ART_color()I74
5467 NThick-Circle|art@3||-2|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5468 NThick-Circle|art@4||2|0|1.5|1.5|||ART_color()I74
5469 NThick-Circle|art@7||-2|2|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5470 NThick-Circle|art@8||2|2|1.5|1.5|||ART_color()I74
5471 NOpened-Polygon|art@9||-0.25|-1|2.5|2|||ART_color()I74|trace()V[1.25/-1,-1.25/0,1.25/0,-1.25/1]
5472 NOpened-Polygon|art@10||-0.25|1|2.5|2|||ART_color()I74|trace()V[1.25/-1,-1.25/0,1.25/0,-1.25/1]
5473 NPin|pin@0||-2.75|-2|1|1||
5474 NPin|pin@1||-4|-2||||
5475 NPin|pin@2||2|-2|1|1||
5476 NPin|pin@3||4|-2||||
5477 NPin|pin@4||-2|-1.25|1|1||
5478 NPin|pin@5||2|-1.25|1|1||
5479 NPin|pin@6||2|-2.75|1|1||
5480 NPin|pin@7||-2|-2.75|1|1||
5481 Nschematic:Bus_Pin|pin@8||4|0|-2|-2||
5482 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
5483 Ngeneric:Universal-Pin|pin@10||-4|2|-1|-1||
5484 Ngeneric:Universal-Pin|pin@12||4|2|-1|-1||
5485 Ngeneric:Universal-Pin|pin@14||4|-2|-1|-1||
5486 Ngeneric:Universal-Pin|pin@16||-4|-2|-1|-1||
5487 NPin|pin@20||-2|0.75|1|1||
5488 NPin|pin@21||2|0.75|1|1||
5489 NPin|pin@22||2|-0.75|1|1||
5490 NPin|pin@23||-2|-0.75|1|1||
5491 NPin|pin@24||2|0|1|1||
5492 NPin|pin@25||4|0||||
5493 NPin|pin@26||-2.75|0|1|1||
5494 NPin|pin@27||-4|0||||
5495 NPin|pin@38||-2|2.75|1|1||
5496 NPin|pin@39||2|2.75|1|1||
5497 NPin|pin@40||2|1.25|1|1||
5498 NPin|pin@41||-2|1.25|1|1||
5499 NPin|pin@42||2|2|1|1||
5500 NPin|pin@43||4|2||||
5501 NPin|pin@44||-2.75|2|1|1||
5502 NPin|pin@45||-4|2||||
5503 AThicker|net@0|||IJS0|pin@0||-2.75|-2|pin@1||-4|-2|ART_color()I74
5504 AThicker|net@1|||IJS1800|pin@2||2|-2|pin@3||4|-2|ART_color()I74
5505 AThicker|net@2|||IJS0|pin@5||2|-1.25|pin@4||-2|-1.25|ART_color()I74
5506 AThicker|net@3|||IJS0|pin@6||2|-2.75|pin@7||-2|-2.75|ART_color()I74
5507 AThicker|net@8|||IJS0|pin@21||2|0.75|pin@20||-2|0.75|ART_color()I74
5508 AThicker|net@9|||IJS0|pin@22||2|-0.75|pin@23||-2|-0.75|ART_color()I74
5509 AThicker|net@10|||IJS1800|pin@24||2|0|pin@25||4|0|ART_color()I74
5510 AThicker|net@11|||IJS0|pin@26||-2.75|0|pin@27||-4|0|ART_color()I74
5511 AThicker|net@16|||IJS0|pin@39||2|2.75|pin@38||-2|2.75|ART_color()I74
5512 AThicker|net@17|||IJS0|pin@40||2|1.25|pin@41||-2|1.25|ART_color()I74
5513 AThicker|net@18|||IJS1800|pin@42||2|2|pin@43||4|2|ART_color()I74
5514 AThicker|net@19|||IJS0|pin@44||-2.75|2|pin@45||-4|2|ART_color()I74
5515 Ea||D5G2;|pin@9||U
5516 EaL||D5G2;|pin@10||U
5517 EaR||D5G2;|pin@16||U
5518 Eb||D5G2;|pin@8||U
5519 EbL||D5G2;|pin@12||U
5520 EbR||D5G2;|pin@14||U
5521 X
5522
5523 # Cell wire_xcpl;1{sch}
5524 Cwire_xcpl;1{sch}||schematic|1083961993000|1173982721429||ATTR_C(D5FLeave alone;G1;HNOLPUCX-19;Y-23;)S0.0000223p|ATTR_L(D5FLeave alone;G1;HNOLPUDX-19;Y-21;)S100|ATTR_R(D5FLeave alone;G1;HNOLPURX-19;Y-22;)S0.024|prototype_center()I[0,0]
5525 Ngeneric:Facet-Center|art@0||0|0||||AV
5526 NCapacitor|cap@0||-10|-22.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5527 NCapacitor|cap@1||10|-22.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5528 NCapacitor|cap@2||0|-22.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5529 NCapacitor|cap@3||-10|-13.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5530 NCapacitor|cap@4||10|-13.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5531 NCapacitor|cap@5||0|-13.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5532 NCapacitor|cap@6||-10|4.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5533 NCapacitor|cap@7||10|4.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5534 NCapacitor|cap@8||0|4.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.9
5535 NCapacitor|cap@9||-6.5|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5536 NCapacitor|cap@10||13.5|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5537 NCapacitor|cap@11||3.5|-5.5|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.1
5538 NCapacitor|cap@12||-10|13.5|||Y||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5539 NCapacitor|cap@13||10|13.5|||Y||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5540 NCapacitor|cap@14||0|13.5|||Y||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.55
5541 NOff-Page|conn@0||24.5|0|||RR|
5542 NOff-Page|conn@1||-21|0||||
5543 NOff-Page|conn@2||-21|9||||
5544 NOff-Page|conn@3||21|9|||RR|
5545 NOff-Page|conn@4||21|-18|||RR|
5546 NOff-Page|conn@5||-21|-18||||
5547 NGround|gnd@0||16|-26|||R|
5548 NGround|gnd@1||18.5|-10|||R|
5549 NGround|gnd@2||16|17|||YR|
5550 Ngeneric:Invisible-Pin|pin@0||15|21.5|||||ART_message(D5G1;)S[R2 ]
5551 Ngeneric:Invisible-Pin|pin@1||-15|21.5|||||ART_message(D5G1;)S[R1 = @R*@L/6]
5552 Ngeneric:Invisible-Pin|pin@2||0|21.5|||||ART_message(D5G1;)S[R12= @R*@L/3]
5553 Ngeneric:Invisible-Pin|pin@3||22|-22.5|||||ART_message(D5G1;)S[C = @C*@L/3]
5554 Ngeneric:Invisible-Pin|pin@4||0|29.5|||||ART_message(D5G2;)S[this is a wire 'L' lambda long,with resistance 'R' ohms/lambda,and capacitance 'C' F/lambda]
5555 Ngeneric:Invisible-Pin|pin@5||-1|37.5|||||ART_message(D5G6;)Swire_xcpl
5556 NWire_Pin|pin@6||0|-26||||
5557 NWire_Pin|pin@7||10|-26||||
5558 NWire_Pin|pin@8||-10|-26||||
5559 NWire_Pin|pin@12||10|-18||||
5560 NWire_Pin|pin@13||0|-18||||
5561 NWire_Pin|pin@14||-10|-18||||
5562 NWire_Pin|pin@15||10|0||||
5563 NWire_Pin|pin@16||0|0||||
5564 NWire_Pin|pin@17||-10|0||||
5565 NWire_Pin|pin@18||10|9||||
5566 NWire_Pin|pin@19||0|9||||
5567 NWire_Pin|pin@20||-10|9||||
5568 NWire_Pin|pin@21||-6.5|-10||||
5569 NWire_Pin|pin@22||3.5|-10||||
5570 NWire_Pin|pin@23||13.5|-10||||
5571 NWire_Pin|pin@24||0|17|||Y|
5572 NWire_Pin|pin@25||10|17|||Y|
5573 NWire_Pin|pin@26||-10|17|||Y|
5574 NWire_Pin|pin@27||-6.5|-2||||
5575 NWire_Pin|pin@28||-10|-2||||
5576 NWire_Pin|pin@29||0|-2||||
5577 NWire_Pin|pin@30||3.5|-2||||
5578 NWire_Pin|pin@31||10|-2||||
5579 NWire_Pin|pin@32||13.5|-2||||
5580 Ngeneric:Invisible-Pin|pin@38||37|-5.5|||||ART_message(D5G1;)SCc = 0.45Ctotal
5581 NResistor|res@0||-15|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5582 NResistor|res@1||-5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5583 NResistor|res@2||18.5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5584 NResistor|res@3||5|0|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5585 NResistor|res@4||-15|-18|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5586 NResistor|res@5||-5|-18|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5587 NResistor|res@6||15|-18|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5588 NResistor|res@7||5|-18|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5589 NResistor|res@8||-15|9|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5590 NResistor|res@9||-5|9|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5591 NResistor|res@10||15|9|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/6
5592 NResistor|res@11||5|9|||||SCHEM_resistance(D5FLeave alone;G1;OLURY1.5;)S@R*@L/3
5593 Iwire_xcpl;1{ic}|wire_xcp@1||24|32.5|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-2;)S2.23E-16|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S100|ATTR_R(D5FLeave alone;G1;NOLPURY-1;)S0.24
5594 Awire|net@0|||IJS1800|res@2|b|20.5|0|conn@0|y|22.5|0
5595 Awire|net@1|||IJS0|res@0|a|-17|0|conn@1|y|-19|0
5596 Awire|net@3|||IJS2700|pin@6||0|-26|cap@2|b|0|-24.5
5597 Awire|net@4|||IJS0|pin@7||10|-26|pin@6||0|-26
5598 Awire|net@5|||IJS0|pin@6||0|-26|pin@8||-10|-26
5599 Awire|net@6|||IJS900|cap@1|b|10|-24.5|pin@7||10|-26
5600 Awire|net@7|||IJS2700|pin@8||-10|-26|cap@0|b|-10|-24.5
5601 Awire|net@17|||0|pin@15||10|0|res@3|b|7|0
5602 Awire|net@18|||0|pin@16||0|0|res@1|b|-3|0
5603 Awire|net@19|||0|pin@17||-10|0|res@0|b|-13|0
5604 Awire|net@20|||0|pin@12||10|-18|res@7|b|7|-18
5605 Awire|net@21|||0|pin@13||0|-18|res@5|b|-3|-18
5606 Awire|net@22|||0|pin@14||-10|-18|res@4|b|-13|-18
5607 Awire|net@23|||0|pin@18||10|9|res@11|b|7|9
5608 Awire|net@24|||0|pin@19||0|9|res@9|b|-3|9
5609 Awire|net@25|||0|pin@20||-10|9|res@8|b|-13|9
5610 Awire|net@26|||0|conn@3|y|19|9|res@10|b|17|9
5611 Awire|net@27|||1800|conn@2|y|-19|9|res@8|a|-17|9
5612 Awire|net@28|||0|res@4|a|-17|-18|conn@5|y|-19|-18
5613 Awire|net@29|||0|conn@4|y|19|-18|res@6|b|17|-18
5614 Awire|net@30|||0|res@6|a|13|-18|pin@12||10|-18
5615 Awire|net@31|||2700|cap@1|a|10|-20.5|pin@12||10|-18
5616 Awire|net@32|||0|res@7|a|3|-18|pin@13||0|-18
5617 Awire|net@33|||2700|cap@2|a|0|-20.5|pin@13||0|-18
5618 Awire|net@34|||0|res@5|a|-7|-18|pin@14||-10|-18
5619 Awire|net@35|||2700|cap@0|a|-10|-20.5|pin@14||-10|-18
5620 Awire|net@36|||0|res@2|a|16.5|0|pin@15||10|0
5621 Awire|net@37|||2700|cap@4|a|10|-11.5|pin@31||10|-2
5622 Awire|net@38|||900|cap@4|b|10|-15.5|pin@12||10|-18
5623 Awire|net@39|||900|cap@3|b|-10|-15.5|pin@14||-10|-18
5624 Awire|net@40|||900|cap@5|b|0|-15.5|pin@13||0|-18
5625 Awire|net@41|||0|res@3|a|3|0|pin@16||0|0
5626 Awire|net@42|||2700|cap@5|a|0|-11.5|pin@29||0|-2
5627 Awire|net@43|||0|res@1|a|-7|0|pin@17||-10|0
5628 Awire|net@44|||2700|cap@3|a|-10|-11.5|pin@28||-10|-2
5629 Awire|net@45|||900|cap@7|b|10|2.5|pin@15||10|0
5630 Awire|net@46|||900|cap@6|b|-10|2.5|pin@17||-10|0
5631 Awire|net@47|||900|cap@8|b|0|2.5|pin@16||0|0
5632 Awire|net@48|||0|res@10|a|13|9|pin@18||10|9
5633 Awire|net@49|||2700|cap@7|a|10|6.5|pin@18||10|9
5634 Awire|net@50|||0|res@11|a|3|9|pin@19||0|9
5635 Awire|net@51|||2700|cap@8|a|0|6.5|pin@19||0|9
5636 Awire|net@52|||0|res@9|a|-7|9|pin@20||-10|9
5637 Awire|net@53|||2700|cap@6|a|-10|6.5|pin@20||-10|9
5638 Awire|net@54|||900|cap@9|b|-6.5|-7.5|pin@21||-6.5|-10
5639 Awire|net@55|||1800|pin@21||-6.5|-10|pin@22||3.5|-10
5640 Awire|net@56|||2700|pin@22||3.5|-10|cap@11|b|3.5|-7.5
5641 Awire|net@57|||1800|pin@22||3.5|-10|pin@23||13.5|-10
5642 Awire|net@58|||2700|pin@23||13.5|-10|cap@10|b|13.5|-7.5
5643 Awire|net@59|||0|gnd@1||16.5|-10|pin@23||13.5|-10
5644 Awire|net@60|||0|gnd@0||14|-26|pin@7||10|-26
5645 Awire|net@61|||IJS900|pin@24||0|17|cap@14|b|0|15.5
5646 Awire|net@62|||IJS0|pin@25||10|17|pin@24||0|17
5647 Awire|net@63|||IJS0|pin@24||0|17|pin@26||-10|17
5648 Awire|net@64|||IJS2700|cap@13|b|10|15.5|pin@25||10|17
5649 Awire|net@65|||IJS900|pin@26||-10|17|cap@12|b|-10|15.5
5650 Awire|net@66|||0|gnd@2||14|17|pin@25||10|17
5651 Awire|net@67|||900|cap@14|a|0|11.5|pin@19||0|9
5652 Awire|net@68|||900|cap@12|a|-10|11.5|pin@20||-10|9
5653 Awire|net@69|||900|cap@13|a|10|11.5|pin@18||10|9
5654 Awire|net@70|||2700|cap@9|a|-6.5|-3.5|pin@27||-6.5|-2
5655 Awire|net@71|||2700|pin@28||-10|-2|pin@17||-10|0
5656 Awire|net@72|||0|pin@27||-6.5|-2|pin@28||-10|-2
5657 Awire|net@73|||2700|pin@29||0|-2|pin@16||0|0
5658 Awire|net@74|||1800|pin@29||0|-2|pin@30||3.5|-2
5659 Awire|net@75|||900|pin@30||3.5|-2|cap@11|a|3.5|-3.5
5660 Awire|net@76|||2700|pin@31||10|-2|pin@15||10|0
5661 Awire|net@77|||1800|pin@31||10|-2|pin@32||13.5|-2
5662 Awire|net@78|||900|pin@32||13.5|-2|cap@10|a|13.5|-3.5
5663 Ea||D4G2;|conn@1|a|U
5664 EaL||D4G2;|conn@2|a|U
5665 EaR||D4G2;|conn@5|a|U
5666 Eb||D6G2;X-5;|conn@0|y|U
5667 EbL||D6G2;X-5;|conn@3|y|U
5668 EbR||D6G2;X-5;|conn@4|y|U
5669 X
5670
5671 # Cell wire_xcpl_sides;1{ic}
5672 Cwire_xcpl_sides;1{ic}||artwork|1083964052000|1204183998562|E|ATTR_C(D5FLeave alone;G1;HNOLPUCY-1;)S0.0000223p|ATTR_L(D5FLeave alone;G1;HOLPUDY1;)S100|ATTR_LEIGNORE(D5G1;HNPTUDX-20.5;Y-1.5;)I1|prototype_center()I[0,0]
5673 Ngeneric:Facet-Center|art@0||0|0||||AV
5674 NThick-Circle|art@3||-2|0|2|2|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5675 NThick-Circle|art@11||2|0|2|2|XR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
5676 NPin|pin@38||-2|1|1|1||
5677 NPin|pin@39||2|1|1|1||
5678 Ngeneric:Universal-Pin|pin@46||-1.5|1|-1|-1||
5679 Ngeneric:Universal-Pin|pin@48||0|1|-1|-1||
5680 Ngeneric:Universal-Pin|pin@50||1.5|1|-1|-1||
5681 Ngeneric:Universal-Pin|pin@52||-1.5|-1|-1|-1||
5682 Ngeneric:Universal-Pin|pin@54||0|-1|-1|-1||
5683 Ngeneric:Universal-Pin|pin@56||1.5|-1|-1|-1||
5684 NPin|pin@58||-2|-0.25|1|1||
5685 NPin|pin@59||-1|-0.25||||
5686 NPin|pin@60||-2|0.25|1|1||
5687 NPin|pin@61||-1|0.25||||
5688 NPin|pin@62||-1.5|1|1|1|RRR|
5689 NPin|pin@63||-1.5|0.25|||RRR|
5690 NPin|pin@64||-1.5|-0.25|1|1|RRR|
5691 NPin|pin@65||-1.5|-1|||RRR|
5692 NPin|pin@66||-0.5|-0.25|1|1||
5693 NPin|pin@67||0.5|-0.25||||
5694 NPin|pin@68||-0.5|0.25|1|1||
5695 NPin|pin@69||0.5|0.25||||
5696 NPin|pin@70||0|1|1|1|RRR|
5697 NPin|pin@71||0|0.25|||RRR|
5698 NPin|pin@72||0|-0.25|1|1|RRR|
5699 NPin|pin@73||0|-1|||RRR|
5700 NPin|pin@74||1|-0.25|1|1||
5701 NPin|pin@75||2|-0.25||||
5702 NPin|pin@76||1|0.25|1|1||
5703 NPin|pin@77||2|0.25||||
5704 NPin|pin@78||1.5|1|1|1|RRR|
5705 NPin|pin@79||1.5|0.25|||RRR|
5706 NPin|pin@80||1.5|-0.25|1|1|RRR|
5707 NPin|pin@81||1.5|-1|||RRR|
5708 NPin|pin@82||-2|-1|1|1||
5709 NPin|pin@83||2|-1|1|1||
5710 AThicker|net@16|||IJS0|pin@39||2|1|pin@38||-2|1|ART_color()I74
5711 AThicker|net@26|||IJS1800|pin@58||-2|-0.25|pin@59||-1|-0.25|ART_color()I74
5712 AThicker|net@27|||IJS1800|pin@60||-2|0.25|pin@61||-1|0.25|ART_color()I74
5713 AThicker|net@28|||IJS900|pin@62||-1.5|1|pin@63||-1.5|0.25|ART_color()I74
5714 AThicker|net@29|||IJS900|pin@64||-1.5|-0.25|pin@65||-1.5|-1|ART_color()I74
5715 AThicker|net@30|||IJS1800|pin@66||-0.5|-0.25|pin@67||0.5|-0.25|ART_color()I74
5716 AThicker|net@31|||IJS1800|pin@68||-0.5|0.25|pin@69||0.5|0.25|ART_color()I74
5717 AThicker|net@32|||IJS900|pin@70||0|1|pin@71||0|0.25|ART_color()I74
5718 AThicker|net@33|||IJS900|pin@72||0|-0.25|pin@73||0|-1|ART_color()I74
5719 AThicker|net@34|||IJS1800|pin@74||1|-0.25|pin@75||2|-0.25|ART_color()I74
5720 AThicker|net@35|||IJS1800|pin@76||1|0.25|pin@77||2|0.25|ART_color()I74
5721 AThicker|net@36|||IJS900|pin@78||1.5|1|pin@79||1.5|0.25|ART_color()I74
5722 AThicker|net@37|||IJS900|pin@80||1.5|-0.25|pin@81||1.5|-1|ART_color()I74
5723 AThicker|net@38|||IJS0|pin@83||2|-1|pin@82||-2|-1|ART_color()I74
5724 EsL1||D5G2;|pin@46||U
5725 EsL2||D5G2;|pin@48||U
5726 EsL3||D5G2;|pin@50||U
5727 EsR1||D5G2;|pin@52||U
5728 EsR2||D5G2;|pin@54||U
5729 EsR3||D5G2;|pin@56||U
5730 X
5731
5732 # Cell wire_xcpl_sides;1{sch}
5733 Cwire_xcpl_sides;1{sch}||schematic|1083961993000|1173982739755||ATTR_C(D5FLeave alone;G1;HNOLPUCX-20.5;Y-5.5;)S0.0000223p|ATTR_L(D5FLeave alone;G1;HNOLPUDX-20.5;Y-3.5;)S100|ATTR_LEIGNORE(D5G1;HNPTUDX-20.5;Y-1.5;)I1|prototype_center()I[0,0]
5734 Ngeneric:Facet-Center|art@0||0|0||||AV
5735 NCapacitor|cap@6||-10|0|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.45
5736 NCapacitor|cap@7||10|0|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.45
5737 NCapacitor|cap@8||0|0|||||SCHEM_capacitance(D5FLeave alone;G1;OLUC)S@C*@L/3*0.45
5738 NOff-Page|conn@6||-10|8|||RRR|
5739 NOff-Page|conn@7||0|8|||RRR|
5740 NOff-Page|conn@8||10|8|||RRR|
5741 NOff-Page|conn@9||-10|-7.5|||YRRR|
5742 NOff-Page|conn@10||0|-7.5|||YRRR|
5743 NOff-Page|conn@11||10|-7.5|||YRRR|
5744 Ngeneric:Invisible-Pin|pin@3||20.5|-6.5|||||ART_message(D5G1;)S[C = @C*@L/3]
5745 Ngeneric:Invisible-Pin|pin@4||0|24|||||ART_message(D5G2;)S[this is a wire 'L' lambda long,with resistance 'R' ohms/lambda,and capacitance 'C' F/lambda]
5746 Ngeneric:Invisible-Pin|pin@5||-1|32|||||ART_message(D5G6;)Swire_xcpl
5747 Ngeneric:Invisible-Pin|pin@38||37|-5.5|||||ART_message(D5G1;)SCc = 0.45Ctotal
5748 Iwire_xcpl_sides;1{ic}|wire_xcp@3||24|27|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-1;)S2.23E-16|ATTR_L(D5FLeave alone;G1;OLPUDY1;)S100
5749 Awire|net@84|||900|conn@8|y|10|6|cap@7|a|10|2
5750 Awire|net@85|||900|conn@6|y|-10|6|cap@6|a|-10|2
5751 Awire|net@86|||900|conn@7|y|0|6|cap@8|a|0|2
5752 Awire|net@93|||2700|conn@9|y|-10|-5.5|cap@6|b|-10|-2
5753 Awire|net@94|||2700|conn@10|y|0|-5.5|cap@8|b|0|-2
5754 Awire|net@95|||2700|conn@11|y|10|-5.5|cap@7|b|10|-2
5755 EsL1||D4G2;|conn@6|a|U
5756 EsL2||D4G2;|conn@7|a|U
5757 EsL3||D4G2;|conn@8|a|U
5758 EsR1||D4G2;|conn@9|a|U
5759 EsR2||D4G2;|conn@10|a|U
5760 EsR3||D4G2;|conn@11|a|U
5761 X