move marina directory into a subdirectory of chips
[fleet.git] / chips / marina / electric / purpleFive.jelib
1 # header information:
2 HpurpleFive|8.09a|USER_electrical_units()I70464
3
4 # Views:
5 Vdocumentation|doc
6 Vicon|ic
7 Vschematic|sch
8
9 # External Libraries:
10
11 LorangeTSMC090nm|orangeTSMC090nm
12
13 LredFive|redFive
14
15 # Tools:
16 Ouser|DefaultTechnology()Sartwork|SchematicTechnology()Scmos90
17 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
18
19 # Technologies:
20 Tcmos|ScaleFORcmos()D1000.0
21 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(ST)LayerForPassivationINcmos90"()S169|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPassivationINcmos90"()S169
22 Tmocmos|ScaleFORmocmos()D100.0|SelectedFoundryFormocmos()STSMC
23 Trcmos|ScaleFORrcmos()D1000.0
24
25 # Cell LEsettings;1{ic}
26 CLEsettings;1{ic}||artwork|1023920563000|1204140525662|E|ATTR_LESETTINGS(D6G1;HNPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;HNPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;HNPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;HNPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;HNPY-2.5;)F0.1|ATTR_max_iter(D6G1;HNPX-4;Y0.5;)I40|ATTR_su(D6G1;HNPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;HNPX-4;Y2.5;)S0.22|prototype_center()I[0,0]
27 Ngeneric:Facet-Center|art@0||0|0||||AV
28 NOpened-Thicker-Polygon|art@1||1.5|-0.5|9|9|||ART_color()I78|trace()V[-4.5/-3.5,-4.5/4.5,4.5/4.5,4.5/-4.5,-4.5/-4.5]
29 Ngeneric:Invisible-Pin|pin@0||1.5|4.5|||||ART_message(D5G1;)S[LEsettings]
30 X
31
32 # Cell LEsettings;1{sch}
33 CLEsettings;1{sch}||schematic|1023920036000|1213471981644||ATTR_LESETTINGS(D6G1;HNPX-21;Y23;)I1|ATTR_alpha(D5G1;HNPX-18.5;Y25;)D0.7|ATTR_epsilon(D6G1;HNPX-21;Y28;)S0.01|ATTR_gate_cap(D6G1;HNPX-21;Y26;)F0.4|ATTR_keeper_ratio(D5G1;HNPX-17;Y24;)F0.1|ATTR_max_iter(D6G1;HNPX-21;Y27;)I40|ATTR_su(D6G1;HNPX-21;Y30;)S4.5|ATTR_wire_ratio(D6G1;HNPX-21;Y29;)S0.22|ATTR_SPICE_template(D5G1;NTX-7;Y9;)S**LEsettings: None needed for LEsettings|prototype_center()I[12000,48000]
34 ILEsettings;1{ic}|LEsettin@2||-4|2|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)F0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)F0.0010|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.19|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I30|ATTR_su(D6G1;NPX-4;Y3.5;)S3.7|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)F0.16
35 Ngeneric:Facet-Center|art@0||0|0||||AV
36 Ngeneric:Invisible-Pin|pin@0||-9.5|12|||||ART_message(D6G1;)S[this is the diffusion effort of PMOS gates (Cdiffp/Cgate)]
37 Ngeneric:Invisible-Pin|pin@1||-9.5|13|||||ART_message(D6G1;)S[this is the diffusion effort of NMOS gates (Cdiffn/Cgate)]
38 Ngeneric:Invisible-Pin|pin@2||-9.5|14|||||ART_message(D6G1;)S[gate cap. is used to convert cap loads to gate loads (fF/lambda)]
39 Ngeneric:Invisible-Pin|pin@3||-9.5|15|||||ART_message(D6G1;)S[this sets the maximum number of iterations]
40 Ngeneric:Invisible-Pin|pin@4||-9.5|16|||||ART_message(D6G1;)S[epsilon is the convergence criterion]
41 Ngeneric:Invisible-Pin|pin@5||-9.5|17|||||ART_message(D6G1;)S[wire ratio is the default wire ratio: Cwire/Cgate]
42 Ngeneric:Invisible-Pin|pin@6||-9.5|18|||||ART_message(D6G1;)S[su is the global step-up (fan-out)]
43 Ngeneric:Invisible-Pin|pin@7||-24|21|||||ART_message(D6G2;)S[This Facet is used to set Logical Effort Settings]
44 X
45
46 # Cell aChangeRecord;1{doc}
47 CaChangeRecord;1{doc}||mocmos|1021415734000|1228430895838||FACET_message()S[This is a change record for the purpleOne library.,"",9 March 02,Ivan added alternate icons for four types of NAND gates.,Ivan fixed output port location on one of the NAND gates.,Ivan set the scale of the pass gate be normalized to minimum transistor.,Ivan checked that all internal expressions are in Java.,Making a new icon copies the form of the icon in the schematic but,    DOES NOT MAKE ITS PARAMETER BE Java type.,Ivan updated the dates on the libraries to 9 March 2002,"",14 Apr 2002,"JKG: Minor fixes on text, etc","",14 May 2002,"JKG: Added nmos, nmos4, pmos, pmos4 primitives to allow Monte Carlo simulations","JKG: Added pmos0, invKV, made invK allow longer than minimum lengths","",4 Dec 2008,IES:  changed name to purpleFive and added extra icons to permit more,understandable circuit diagrams.  See purpleFive:aGallery{sch}.,"","","",""]
48 X
49
50 # Cell aGallery;1{sch}
51 CaGallery;1{sch}||schematic|1044916063000|1239967814977||prototype_center()I[0,0]
52 ILEsettings;1{ic}|LEsettin@0||-100|6|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I40|ATTR_su(D6G1;NPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)S0.22
53 IorangeTSMC090nm:NMOS4x_io25;1{ic}|NMOS4_io@0||-66|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
54 IorangeTSMC090nm:NMOS4x_io33;1{ic}|NMOS4_io@2||-75|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
55 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||-39|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
56 IorangeTSMC090nm:NMOS4f_low;1{ic}|NMOS4f@2||-30|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
57 IorangeTSMC090nm:NMOS4f_high;1{ic}|NMOS4f@13||-48|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
58 IorangeTSMC090nm:NMOS4f_io18;1{ic}|NMOS4f_h@0||-57|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
59 IorangeTSMC090nm:NMOS4f_io25;1{ic}|NMOS4f_i@0||-66|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S5.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
60 IorangeTSMC090nm:NMOS4f_io33;1{ic}|NMOS4f_i@1||-75|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S7.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
61 IorangeTSMC090nm:NMOS4f_native;1{ic}|NMOS4f_n@1||-21|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)S10
62 IorangeTSMC090nm:NMOS4fwk;1{ic}|NMOS4fwk@0||0|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
63 IorangeTSMC090nm:NMOS4fwk_low;1{ic}|NMOS4fwk@2||9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
64 IorangeTSMC090nm:NMOS4fwk_high;1{ic}|NMOS4fwk@7||-9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
65 IorangeTSMC090nm:NMOS4fwk_native;1{ic}|NMOS4fwk@8||18|32|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
66 IorangeTSMC090nm:NMOS4x;1{ic}|NMOS4x@0||-21|56|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
67 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-39|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
68 IorangeTSMC090nm:NMOSx_native;1{ic}|NMOS@1||-21|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
69 IorangeTSMC090nm:NMOSx_low;1{ic}|NMOS@2||-30|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
70 IorangeTSMC090nm:NMOSx_high;1{ic}|NMOS@3||-48|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
71 IorangeTSMC090nm:NMOS4x_io18;1{ic}|NMOS_hig@0||-57|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
72 IorangeTSMC090nm:NMOSx_native_od25;1{ic}|NMOS_nat@0||-96|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
73 IorangeTSMC090nm:NMOSx_native_od18;1{ic}|NMOS_nat@2||-87|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
74 IorangeTSMC090nm:NMOSx_native_od33;1{ic}|NMOS_nat@3||-105|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
75 IorangeTSMC090nm:NMOSf;1{ic}|NMOSf@0||-39|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
76 IorangeTSMC090nm:NMOSf_high;1{ic}|NMOSf@1||-48|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
77 IorangeTSMC090nm:NMOSf_io25;1{ic}|NMOSf_25@0||-66|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D6G1;NPX2;Y1;)I3
78 IorangeTSMC090nm:NMOSf_io33;1{ic}|NMOSf_33@0||-75|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D6G1;NPX2;Y1;)I3
79 IorangeTSMC090nm:NMOSf_io18;1{ic}|NMOSf_io@0||-57|39|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
80 IorangeTSMC090nm:NMOSf_low;1{ic}|NMOSf_na@1||-30|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
81 IorangeTSMC090nm:NMOSf_native;1{ic}|NMOSf_na@2||-21|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10
82 IorangeTSMC090nm:NMOSf_native_od25;1{ic}|NMOSf_na@3||-96|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
83 IorangeTSMC090nm:NMOSf_native_od18;1{ic}|NMOSf_na@5||-87|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
84 IorangeTSMC090nm:NMOSf_native_od33;1{ic}|NMOSf_na@6||-105|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
85 IorangeTSMC090nm:NMOSfwk;1{ic}|NMOSfwk@0||0|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
86 IorangeTSMC090nm:NMOSfwk_low;1{ic}|NMOSfwk@1||9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
87 IorangeTSMC090nm:NMOSfwk_high;1{ic}|NMOSfwk@2||-9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
88 IorangeTSMC090nm:NMOSfwk_native;1{ic}|NMOSfwk_@1||18|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
89 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
90 IorangeTSMC090nm:NMOSxwk_low;1{ic}|NMOSwk@1||9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
91 IorangeTSMC090nm:NMOSxwk_high;1{ic}|NMOSwk@2||-9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
92 IorangeTSMC090nm:NMOSxwk_native;1{ic}|NMOSwk_l@0||18|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
93 IorangeTSMC090nm:PMOS4x_io25;1{ic}|PMOS4_io@0||-66|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
94 IorangeTSMC090nm:PMOS4x_io33;1{ic}|PMOS4_io@2||-75|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
95 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||-39|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
96 IorangeTSMC090nm:PMOS4f_low;1{ic}|PMOS4f@2||-30|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
97 IorangeTSMC090nm:PMOS4f_high;1{ic}|PMOS4f@3||-48|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D5G1;NPX3;Y1;)I3
98 IorangeTSMC090nm:PMOS4f_io18;1{ic}|PMOS4f_i@0||-57|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
99 IorangeTSMC090nm:PMOS4f_io25;1{ic}|PMOS4f_i@1||-66|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
100 IorangeTSMC090nm:PMOS4f_io33;1{ic}|PMOS4f_i@3||-75|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
101 IorangeTSMC090nm:PMOSf_io18;1{ic}|PMOS4f_i@5||-57|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
102 IorangeTSMC090nm:PMOSf_io25;1{ic}|PMOS4f_i@6||-66|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
103 IorangeTSMC090nm:PMOSf_io33;1{ic}|PMOS4f_i@7||-75|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
104 IorangeTSMC090nm:PMOS4fwk;1{ic}|PMOS4fwk@0||0|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
105 IorangeTSMC090nm:PMOS4fwk_high;1{ic}|PMOS4fwk@1||-9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
106 IorangeTSMC090nm:PMOS4fwk_low;1{ic}|PMOS4fwk@2||9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
107 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-39|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
108 IorangeTSMC090nm:PMOSx_low;1{ic}|PMOS@1||-30|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
109 IorangeTSMC090nm:PMOSx_high;1{ic}|PMOS@2||-48|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
110 IorangeTSMC090nm:PMOS4x_io18;1{ic}|PMOS_hig@0||-57|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
111 IorangeTSMC090nm:PMOSf;1{ic}|PMOSf@0||-39|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
112 IorangeTSMC090nm:PMOSf_high;1{ic}|PMOSf@2||-48|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
113 IorangeTSMC090nm:PMOSf_low;1{ic}|PMOSf_lo@1||-29.75|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
114 IorangeTSMC090nm:PMOSfwk;1{ic}|PMOSfwk@0||0|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
115 IorangeTSMC090nm:PMOSfwk_high;1{ic}|PMOSfwk@1||-9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
116 IorangeTSMC090nm:PMOSfwk_low;1{ic}|PMOSfwk@2||9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
117 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
118 IorangeTSMC090nm:PMOSxwk_high;1{ic}|PMOSwk@1||-9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
119 IorangeTSMC090nm:PMOSxwk_low;1{ic}|PMOSwk@2||9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
120 IorangeTSMC090nm:PMOS4x;1{ic}|PMOSx@0||-24|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
121 IorangeTSMC090nm:R440;1{ic}|R110@0||146|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
122 Ngeneric:Facet-Center|art@0||0|0||||AV
123 IorangeTSMC090nm:gateResistor;1{ic}|gateResi@0||119|49.5|||D0G4;|ATTR_W(D5G1;NPY-1.5;)I3
124 IredFive:hifidely;1{ic}|hifidely@0||94|17|||D5G4;
125 IredFive:inv2i;1{ic}|inv2i@0||-24|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
126 Iinv2i;1{ic}|inv2i@1||-24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
127 IredFive:inv2iHT;1{ic}|inv2iHT@0||8|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
128 Iinv2iHT;1{ic}|inv2iHT@1||8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
129 Iinv2iK;1{ic}|inv2iK@0||97|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
130 Iinv2iKn;1{ic}|inv2iK@1||113|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
131 Iinv2iKnD;1{ic}|inv2iKn@1||128|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
132 Iinv2iKp;1{ic}|inv2iKnD@1||106|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
133 Iinv2iKpD;1{ic}|inv2iKp@1||120.5|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
134 IredFive:inv2iLT;1{ic}|inv2iLT@0||-8|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
135 Iinv2iLT;2{ic}|inv2iLT@1||-8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
136 IredFive:inv2iV;1{ic}|inv2iV@0||151|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
137 Iinv2o;1{ic}|inv2o@0||146.5|-18|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1
138 IredFive:inv;1{ic}|inv@0||-104|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
139 Iinv;1{ic}|inv@1||-104|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
140 IredFive:invCLK;1{ic}|invCLK@0||-40|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
141 IinvCLK;1{ic}|invCLK@1||-40|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
142 IredFive:invCTLn;1{ic}|invCTLn@0||24|-27|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX3.5;Y-3;)I175
143 IinvCTLn;1{ic}|invCTLn@1||24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_LEPARALLGRP(D5G1;P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3.5;)I175|ATTR_su(D5G1;P)I-1
144 IinvCTLp;1{ic}|invCTLn@2||40|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_sloDelay(D5G1;NX1.75;Y-3.5;)I175
145 IredFive:invCTLp;1{ic}|invCTLn@3||40|-27|Y||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175
146 IredFive:invHT;1{ic}|invHT@0||-72|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
147 IinvHT;1{ic}|invHT@1||-72|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
148 IredFive:invHTI;2{ic}|invHTI@0||-72|-36|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
149 IredFive:invI;2{ic}|invI@0||-104|-36|||D5G4;|ATTR_Delay(D5G1;NPX1.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
150 IredFive:invK;1{ic}|invK@0||-56|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su()I-1
151 IinvK;1{ic}|invK@1||-56|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
152 IredFive:invKV;1{ic}|invKV@0||123|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.25;)I1|ATTR_XP(D5G1.5;NPX1.5;Y1.75;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
153 IredFive:invLT;1{ic}|invLT@0||-88|-27|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
154 IinvLT;1{ic}|invLT@1||-88|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
155 IredFive:invLTI;2{ic}|invLTI@0||-88|-36|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
156 IredFive:invV;1{ic}|invV@0||137|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.75;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.75;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
157 IredFive:invVn;1{ic}|invVn@0||95|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
158 IredFive:invVp;1{ic}|invVp@0||109|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
159 Iinv_passgate;1{ic}|inv_pass@0||145|-27|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
160 IredFive:mullerC;1{ic}|mullerC@0||74|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
161 ImullerC;1{ic}|mullerC@1||74|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
162 IredFive:mullerC_sy;1{ic}|mullerC_@0||57|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
163 ImullerC_sy;1{ic}|mullerC_@1||57|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
164 IredFive:mux21_tri;1{ic}|mux21_tr@0||129|-101|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5G1.5;NPX4;Y2;)I1
165 Imux21_tri;1{ic}|mux21_tr@1||129|-89|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1;OJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
166 Imux1;1{ic}|mux21_tr@2||162|-18|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;P)I-1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1;OJX4;Y2;)SLE.getdrive()|ATTR_drive0()Sstrong0|ATTR_drive1()Sstrong1
167 IredFive:nand2;1{ic}|nand2@0||-72|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
168 Inand2;1{ic}|nand2@1||-72|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
169 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||56|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
170 Inand2HLT_sy;1{ic}|nand2HLT@1||56|-48|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
171 IredFive:nand2HT;1{ic}|nand2HT@0||24|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
172 Inand2HT;1{ic}|nand2HT@1||24|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
173 IredFive:nand2HTen;1{ic}|nand2HTe@0||40|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
174 Inand2HTen;1{ic}|nand2HTe@1||40|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
175 IredFive:nand2LT;1{ic}|nand2LT@0||-24|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
176 Inand2LT;1{ic}|nand2LT@1||-24|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
177 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||-8|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
178 Inand2LT_sy;1{ic}|nand2LT_@1||-8|-48|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
179 IredFive:nand2LTen;1{ic}|nand2LTe@0||8|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
180 Inand2LTen;1{ic}|nand2LTe@1||8|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
181 Inand2PH;1{ic}|nand2PH@0||162|-27|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
182 Inand2PHfk;1{ic}|nand2PHf@0||162|-41|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
183 Inand2Schmitt;1{ic}|nand2PHf@1||162|-50|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_su(D5G1;P)I-1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1.5;NOJX2.5;Y2.5;)SLE.getdrive()
184 IredFive:nand2_sy;1{ic}|nand2_sy@0||-57|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
185 Inand2_sy;1{ic}|nand2_sy@1||-57|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
186 IredFive:nand2en;1{ic}|nand2en@0||-40|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
187 Inand2en;1{ic}|nand2en@1||-40|-48|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
188 Inand2k;1{ic}|nand2k@1||106|-48|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
189 IredFive:nand2n;1{ic}|nand2n@0||-72|-75|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
190 Inand2n;1{ic}|nand2n@1||-72|-57|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
191 IredFive:nand2n_sy;1{ic}|nand2n_s@0||-57|-75|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
192 Inand2n_sy;1{ic}|nand2n_s@1||-57|-57|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
193 IredFive:nand3;1{ic}|nand3@0||-104|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
194 Inand3;1{ic}|nand3@1||-104|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
195 IredFive:nand3LT;1{ic}|nand3LT@0||-4|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
196 Inand3LT;1{ic}|nand3LT@1||-4|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
197 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||54|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
198 Inand3LT_sy3;1{ic}|nand3LT_@1||54|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
199 Inand3LT_sy6;1{ic}|nand3LT_@2||74|-89|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y3.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
200 IredFive:nand3LT_sy6;1{ic}|nand3LT_@3||74|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
201 IredFive:nand3LTen;1{ic}|nand3LTe@0||14|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
202 IredFive:nand3LTen_sy;1{ic}|nand3LTe@1||34|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
203 Inand3LTen;1{ic}|nand3LTe@2||14|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
204 Inand3LTen_sy;1{ic}|nand3LTe@3||34|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
205 IredFive:nand3MLT;1{ic}|nand3MLT@0||-24|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
206 Inand3MLT;1{ic}|nand3MLT@1||-24|-89|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
207 IredFive:nand3en;1{ic}|nand3en@0||-64|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
208 Inand3en;1{ic}|nand3en@1||-64|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
209 IredFive:nand3en_sy;1{ic}|nand3en_@0||-44|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
210 Inand3en_sy;1{ic}|nand3en_@1||-44|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
211 IredFive:nand3_sy6;1{ic}|nand3en_@2||-84|-101|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
212 Inand3_sy6;1{ic}|nand3en_@3||-84|-89|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
213 Inms1;1{ic}|nms1@0||-48|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
214 IredFive:nms1;2{ic}|nms1@1||-56|55|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
215 Inms1K;1{ic}|nms1K@0||0|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1
216 IredFive:nms2b;1{ic}|nms2@0||-35|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S1
217 Inms2;1{ic}|nms2@1||41|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1
218 Inms2K;1{ic}|nms2K@0||11|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)S""
219 IredFive:nms2_sy;1{ic}|nms2_sy@0||43|42|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S1|ATTR_su()I-1
220 Inms2_sy;3{ic}|nms2_sy@1||55|75|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1
221 IredFive:nms2a;2{ic}|nms2a@0||-44.5|75|||D5G4;|ATTR_Delay(D5G1;NPX3.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-1.5;)I1
222 IredFive:nms3;1{ic}|nms3@0||18|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NPX-2;Y0.5;)I1
223 Inms3;1{ic}|nms3@1||72|75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
224 IredFive:nms3_2sy;1{ic}|nms3_2sy@0||97|37|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)I1
225 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||76|37|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-8.5;Y1;)I1
226 Inms3_sy3;1{ic}|nms3_sy3@1||87|75|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)S""
227 IredFive:nms3a;1{ic}|nms3a@0||-18|75|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-0.5;)I100|ATTR_X(D5G1;NOLPX4.5;Y0.5;)S1
228 IredFive:nms3b;1{ic}|nms3b@0||-6|75|||D5G4;|ATTR_Delay(D5G1;NPX-3.25;Y0.5;)I100|ATTR_X(D5G1;NOLPX-3.25;Y1.5;)S1
229 IredFive:nms3c;1{ic}|nms3c@0||6|75|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-3;)I100|ATTR_X(D5G1;NOLPX-3.5;Y-2;)S1
230 Inor2;1{ic}|nor2@1||-105|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
231 IredFive:nor2;1{ic}|nor2@2||-105|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
232 IredFive:nor2HT_sya;2{ic}|nor2HT_s@0||153|-82|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.5;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
233 Inor2_sy;2{ic}|nor2_sy@1||-87|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
234 IredFive:nor2_sy;1{ic}|nor2_sy@3||-87|-66|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
235 Inor2en;1{ic}|nor2en@0||72|-48|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
236 IredFive:nor2en;1{ic}|nor2en@1||72|-66|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
237 Inor2k;1{ic}|nor2k@0||90|-48|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
238 Inor2kresetV;1{ic}|nor2kres@0||162|-60.5|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;NPX-92.75;Y-40.75;)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
239 IredFive:nor2n;1{ic}|nor2n@0||-105|-75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
240 Inor2n;1{ic}|nor2n@1||-105|-57|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
241 Inor2n_sy;2{ic}|nor2n_sy@3||-87|-57|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
242 Inor2n_sy;2{ic}|nor2n_sy@4||162|-72|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
243 IredFive:nor2n_sy;1{ic}|nor2n_sy@5||-87|-75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
244 IredFive:passF;1{ic}|passF@0||121|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1
245 IredFive:passT;1{ic}|passT@0||109|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1
246 IredFive:passTF;1{ic}|passTF@0||134|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1
247 Ngeneric:Invisible-Pin|pin@0||127|10.5|||||ART_message(D5G2;)S[no PURPLE gates for these]
248 Ngeneric:Invisible-Pin|pin@1||41|33|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant]
249 Ngeneric:Invisible-Pin|pin@2||153|-12|||||ART_message(D5G2;)Sno RED gates for these
250 Ngeneric:Invisible-Pin|pin@3||48.5|-3.5|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant]
251 Ngeneric:Invisible-Pin|pin@4||128.5|42|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant]
252 Ngeneric:Invisible-Pin|pin@5||-39|20||||
253 Ngeneric:Invisible-Pin|pin@7||103.5|56.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant]
254 Ngeneric:Invisible-Pin|pin@8||74.5|22.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant]
255 Ngeneric:Invisible-Pin|pin@9||74|8|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant]
256 Ipms1;1{ic}|pms1@0||-45|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)I-1
257 IredFive:pms1;2{ic}|pms1@1||-57|22|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
258 Ipms1K;1{ic}|pms1K@0||0|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
259 IredFive:pms2;1{ic}|pms2@0||29.5|-1.5|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S1
260 Ipms2;1{ic}|pms2@1||27|23|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1
261 Ipms2K;1{ic}|pms2K@0||11|23|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)S""|ATTR_M(D5G1;NX2;)I1
262 IredFive:pms2_sy;1{ic}|pms2_sy@0||40|11.5|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1
263 Ipms2_sy;1{ic}|pms2_sy@1||40|23|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1
264 IredFive:pms2a;2{ic}|pms2a@0||22|-2|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
265 Ipms3;1{ic}|pms3@0||55|25.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1
266 IredFive:pms3;1{ic}|pms3@1||55|11.5|||D5G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1
267 IredFive:pms3a;1{ic}|pms3a@0||59.5|43|||D5G4;|ATTR_Delay(D5G1;NPX-12;Y12;)I100|ATTR_X(D5G1;NPX-12.25;Y13;)I1
268 IorangeTSMC090nm:R110;1{ic}|polyResi@1||133|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
269 IredFive:triInv;1{ic}|triInv@0||115|-101|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX2.5;Y2;)I1
270 ItriInv;1{ic}|triInv@1||115|-89|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
271 IorangeTSMC090nm:wire90xcpl2;1{ic}|wire90xc@0||161|35|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
272 IorangeTSMC090nm:wire90xcpl3;1{ic}|wire90xc@1||161|27|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
273 IorangeTSMC090nm:wire90;1{ic}|wire180@0||106|33|||D0G4;|ATTR_L(D5G1;PUD)I100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NPY-1;)I1|ATTR_width(D5G1;NPY-2;)I3
274 Iwire350;1{ic}|wire350@0||144|33|||D0G4;|ATTR_L(D5G1;P)I16666|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3
275 IorangeTSMC090nm:wire;1{ic}|wire@0||106|27|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-2.5;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5FLeave alone;G1;NOLPURY-1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
276 IorangeTSMC090nm:wireC;1{ic}|wireC@0||129|31|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
277 IorangeTSMC090nm:wireR;1{ic}|wireR@0||119|33|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3
278 IorangeTSMC090nm:wire_xcp_gnd;1{ic}|wire_xcp@0||161|48|||D5G4;|ATTR_C(D5G1;NPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;PURY1;)I100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8
279 IorangeTSMC090nm:wire_xcpl_sides;1{ic}|wire_xcp@1||161|42|||D5G4;|ATTR_C(D5G1;NPUCY-1;)S0.0000223p|ATTR_L(D5G1;PUDY1;)I100|ATTR_LEIGNORE(PUD)I1
280 IredFive:xor2;1{ic}|xor2@0||100|-101|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
281 Ixor2;1{ic}|xor2@1||100|-89|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
282 X
283
284 # Cell branchfactor;1{ic}
285 Cbranchfactor;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_BF(D5G1.5;HNPY3;)I1|ATTR_Delay(D5G1;HNPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;HNPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;HNPX4.75;Y1.5;)I-1|ATTR_M(D5G1;HNPX2;Y-1.25;)I1|ATTR_S(D5G1;HOJPT)SLE.getdrive()|ATTR_su(D5G1;HNPX2;Y-5.25;)I1|prototype_center()I[6000,0]
286 Ngeneric:Facet-Center|art@0||0|0||||AV
287 NOpened-Thicker-Polygon|art@1||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0,0.25/0,-0.25/0,-0.25/0.5,0.25/0.5]
288 NThick-Circle|art@2||-0.5|-0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
289 NOpened-Thicker-Polygon|art@3||-0.75|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
290 NThick-Circle|art@4||-0.5|0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
291 NOpened-Thicker-Polygon|art@5||0|0|3|4|||ART_color()I78|trace()V[-1.5/-2,-1.5/2,1.5/2,1.5/-2,-1.5/-2]
292 NPin|pin@0||2.5|0||||
293 NPin|pin@1||1.5|0||||
294 Nschematic:Bus_Pin|pin@2||2.5|0|-2|-2||
295 NPin|pin@3||-2.5|0||||
296 NPin|pin@4||-1.5|0|1|1||
297 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
298 AThicker|net@0|||FS0|pin@0||2.5|0|pin@1||1.5|0|ART_color()I78
299 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
300 Ein||D5G1;|pin@5||I
301 Eout||D5G1;|pin@2||O
302 X
303
304 # Cell branchfactor;1{sch}
305 Cbranchfactor;1{sch}||schematic|1021415734000|1028570639000||ATTR_BF(D5G1;HNPX-12;Y-8.25;)I1|ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I0|ATTR_LEGATE(D5G1;HNPX-12;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPX-12;Y-6.5;)I-1|ATTR_M(D5G1;HNPX-12;Y-6.5;)I1|ATTR_S(D5G1;HNOJPX-12;Y-4.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-12;Y-9.5;)I1|prototype_center()I[0,0]
306 Ngeneric:Facet-Center|art@0||0|0||||AV
307 Ibranchfactor;1{ic}|branchfa@0||18|8.5|||D0G4;|ATTR_BF(D5G1.5;NPY3;)I1|ATTR_Delay(D5G1;NPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;NPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;NPX4.75;Y1.5;)I-1|ATTR_M(D5G1;NPX2;Y-1.25;)I1|ATTR_S(OJP)S1|ATTR_su(D5G1;NPX2;Y-5.25;)S""
308 NOff-Page|conn@0||-11|0||||
309 NOff-Page|conn@1||8|0||||
310 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[branchfactor]
311 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[Implements a branch factor of BF]
312 Awire|net@0|||0|conn@1|a|6|0|conn@0|y|-9|0
313 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NOJY-2;)S@BF
314 Eout||D5G2;|conn@1|y|O
315 X
316
317 # Cell gallery;1{sch}
318 Cgallery;1{sch}||schematic|1044916063000|1238803698726||prototype_center()I[0,0]
319 ILEsettings;1{ic}|LEsettin@0||-100|6|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I40|ATTR_su(D6G1;NPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)S0.22
320 IorangeTSMC090nm:NMOS4x_io25;1{ic}|NMOS4_io@0||-66|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
321 IorangeTSMC090nm:NMOS4x_io33;1{ic}|NMOS4_io@2||-75|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
322 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||-39|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
323 IorangeTSMC090nm:NMOS4f_low;1{ic}|NMOS4f@2||-30|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
324 IorangeTSMC090nm:NMOS4f_high;1{ic}|NMOS4f@13||-48|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
325 IorangeTSMC090nm:NMOS4f_io18;1{ic}|NMOS4f_h@0||-57|32|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
326 IorangeTSMC090nm:NMOS4f_io25;1{ic}|NMOS4f_i@0||-66|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S5.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
327 IorangeTSMC090nm:NMOS4f_io33;1{ic}|NMOS4f_i@1||-75|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S7.6|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
328 IorangeTSMC090nm:NMOS4f_native;1{ic}|NMOS4f_n@1||-21|32|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)S10
329 IorangeTSMC090nm:NMOS4fwk;1{ic}|NMOS4fwk@0||0|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
330 IorangeTSMC090nm:NMOS4fwk_low;1{ic}|NMOS4fwk@2||9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
331 IorangeTSMC090nm:NMOS4fwk_high;1{ic}|NMOS4fwk@7||-9|32|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
332 IorangeTSMC090nm:NMOS4fwk_native;1{ic}|NMOS4fwk@8||18|32|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
333 IorangeTSMC090nm:NMOS4x;1{ic}|NMOS4x@0||-39|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S1
334 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-39|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
335 IorangeTSMC090nm:NMOSx_native;1{ic}|NMOS@1||-21|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
336 IorangeTSMC090nm:NMOSx_low;1{ic}|NMOS@2||-30|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
337 IorangeTSMC090nm:NMOSx_high;1{ic}|NMOS@3||-48|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
338 IorangeTSMC090nm:NMOS4x_io18;1{ic}|NMOS_hig@0||-57|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
339 IorangeTSMC090nm:NMOSx_native_od25;1{ic}|NMOS_nat@0||-96|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
340 IorangeTSMC090nm:NMOSx_native_od18;1{ic}|NMOS_nat@2||-87|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
341 IorangeTSMC090nm:NMOSx_native_od33;1{ic}|NMOS_nat@3||-105|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)S1
342 IorangeTSMC090nm:NMOSf;1{ic}|NMOSf@0||-39|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
343 IorangeTSMC090nm:NMOSf_high;1{ic}|NMOSf@1||-48|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
344 IorangeTSMC090nm:NMOSf_io25;1{ic}|NMOSf_25@0||-66|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D6G1;NPX2;Y1;)I3
345 IorangeTSMC090nm:NMOSf_io33;1{ic}|NMOSf_33@0||-75|39|||D5G4;|ATTR_Delay(P)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D6G1;NPX2;Y1;)I3
346 IorangeTSMC090nm:NMOSf_io18;1{ic}|NMOSf_io@0||-57|39|||D5G4;|ATTR_Delay(D5G1;NPX3.25;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)S4|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
347 IorangeTSMC090nm:NMOSf_low;1{ic}|NMOSf_na@1||-30|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
348 IorangeTSMC090nm:NMOSf_native;1{ic}|NMOSf_na@2||-21|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10
349 IorangeTSMC090nm:NMOSf_native_od25;1{ic}|NMOSf_na@3||-96|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
350 IorangeTSMC090nm:NMOSf_native_od18;1{ic}|NMOSf_na@5||-87|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
351 IorangeTSMC090nm:NMOSf_native_od33;1{ic}|NMOSf_na@6||-105|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S24|ATTR_W(D6G1;NPX2;Y1;)S10
352 IorangeTSMC090nm:NMOSfwk;1{ic}|NMOSfwk@0||0|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
353 IorangeTSMC090nm:NMOSfwk_low;1{ic}|NMOSfwk@1||9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
354 IorangeTSMC090nm:NMOSfwk_high;1{ic}|NMOSfwk@2||-9|39|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
355 IorangeTSMC090nm:NMOSfwk_native;1{ic}|NMOSfwk_@1||18|39|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D6G1;NPX2;Y1;)S10|ATTR_GEO()I0
356 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
357 IorangeTSMC090nm:NMOSxwk_low;1{ic}|NMOSwk@1||9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
358 IorangeTSMC090nm:NMOSxwk_high;1{ic}|NMOSwk@2||-9|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
359 IorangeTSMC090nm:NMOSxwk_native;1{ic}|NMOSwk_l@0||18|46|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
360 IorangeTSMC090nm:PMOS4x_io25;1{ic}|PMOS4_io@0||-66|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
361 IorangeTSMC090nm:PMOS4x_io33;1{ic}|PMOS4_io@2||-75|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
362 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||-39|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
363 IorangeTSMC090nm:PMOS4f_low;1{ic}|PMOS4f@2||-30|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
364 IorangeTSMC090nm:PMOS4f_high;1{ic}|PMOS4f@3||-48|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.75;Y-2.25;)I100|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D5G1;NPX3;Y1;)I3
365 IorangeTSMC090nm:PMOS4f_io18;1{ic}|PMOS4f_i@0||-57|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
366 IorangeTSMC090nm:PMOS4f_io25;1{ic}|PMOS4f_i@1||-66|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
367 IorangeTSMC090nm:PMOS4f_io33;1{ic}|PMOS4f_i@3||-75|-2|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
368 IorangeTSMC090nm:PMOSf_io18;1{ic}|PMOS4f_i@5||-57|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S4|ATTR_W(D5G1;NPX3;Y1;)I3
369 IorangeTSMC090nm:PMOSf_io25;1{ic}|PMOS4f_i@6||-66|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S5.6|ATTR_W(D5G1;NPX3;Y1;)I3
370 IorangeTSMC090nm:PMOSf_io33;1{ic}|PMOS4f_i@7||-75|5|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_L(D5G1;NPX3.5;)S7.6|ATTR_W(D5G1;NPX3;Y1;)I3
371 IorangeTSMC090nm:PMOS4fwk;1{ic}|PMOS4fwk@0||0|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
372 IorangeTSMC090nm:PMOS4fwk_high;1{ic}|PMOS4fwk@1||-9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
373 IorangeTSMC090nm:PMOS4fwk_low;1{ic}|PMOS4fwk@2||9|-2|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
374 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-39|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
375 IorangeTSMC090nm:PMOSx_low;1{ic}|PMOS@1||-30|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
376 IorangeTSMC090nm:PMOSx_high;1{ic}|PMOS@2||-48|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
377 IorangeTSMC090nm:PMOS4x_io18;1{ic}|PMOS_hig@0||-57|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_L()I2|ATTR_W()I3
378 IorangeTSMC090nm:PMOSf;1{ic}|PMOSf@0||-39|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
379 IorangeTSMC090nm:PMOSf_high;1{ic}|PMOSf@2||-48|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
380 IorangeTSMC090nm:PMOSf_low;1{ic}|PMOSf_lo@1||-29.75|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
381 IorangeTSMC090nm:PMOSfwk;1{ic}|PMOSfwk@0||0|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
382 IorangeTSMC090nm:PMOSfwk_high;1{ic}|PMOSfwk@1||-9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
383 IorangeTSMC090nm:PMOSfwk_low;1{ic}|PMOSfwk@2||9|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3|ATTR_GEO()I0
384 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
385 IorangeTSMC090nm:PMOSxwk_high;1{ic}|PMOSwk@1||-9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
386 IorangeTSMC090nm:PMOSxwk_low;1{ic}|PMOSwk@2||9|12|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
387 IorangeTSMC090nm:PMOS4x;1{ic}|PMOSx@0||-39|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
388 IorangeTSMC090nm:R440;1{ic}|R110@0||146|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
389 Ngeneric:Facet-Center|art@0||0|0||||AV
390 IorangeTSMC090nm:gateResistor;1{ic}|gateResi@0||119|49.5|||D0G4;|ATTR_W(D5G1;NPY-1.5;)I3
391 IredFive:hifidely;1{ic}|hifidely@0||94|17|||D5G4;
392 IredFive:inv2i;1{ic}|inv2i@0||-24|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
393 Iinv2i;1{ic}|inv2i@1||-24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
394 IredFive:inv2iHT;1{ic}|inv2iHT@0||8|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
395 Iinv2iHT;1{ic}|inv2iHT@1||8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
396 Iinv2iK;1{ic}|inv2iK@0||97|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
397 Iinv2iKn;1{ic}|inv2iK@1||113|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
398 Iinv2iKnD;1{ic}|inv2iKn@1||128|-16|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
399 Iinv2iKp;1{ic}|inv2iKnD@1||106|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
400 Iinv2iKpD;1{ic}|inv2iKp@1||120.5|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
401 IredFive:inv2iLT;1{ic}|inv2iLT@0||-8|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
402 Iinv2iLT;2{ic}|inv2iLT@1||-8|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
403 IredFive:inv2iV;1{ic}|inv2iV@0||151|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
404 Iinv2o;1{ic}|inv2o@0||146.5|-20|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1
405 IredFive:inv;1{ic}|inv@0||-104|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
406 Iinv;1{ic}|inv@1||-104|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
407 IredFive:invCLK;1{ic}|invCLK@0||-40|-28|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
408 IinvCLK;1{ic}|invCLK@1||-40|-18|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
409 IredFive:invCTLn;1{ic}|invCTLn@0||24|-28|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX3.5;Y-3;)I175
410 IinvCTLn;1{ic}|invCTLn@1||24|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_LEPARALLGRP(D5G1;P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3.5;)I175|ATTR_su(D5G1;P)I-1
411 IinvCTLp;1{ic}|invCTLn@2||40|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_sloDelay(D5G1;NX1.75;Y-3.5;)I175
412 IredFive:invCTLp;1{ic}|invCTLn@3||40|-28|Y||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175
413 IredFive:invHT;1{ic}|invHT@0||-72|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
414 IinvHT;1{ic}|invHT@1||-72|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
415 IredFive:invK;1{ic}|invK@0||-56|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su()I-1
416 IinvK;1{ic}|invK@1||-56|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
417 IredFive:invKV;1{ic}|invKV@0||123|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.5;Y-2.25;)I1|ATTR_XP(D5G1.5;NPX1.5;Y1.75;)I1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
418 IredFive:invLT;1{ic}|invLT@0||-88|-28|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
419 IinvLT;1{ic}|invLT@1||-88|-18|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
420 IredFive:invV;1{ic}|invV@0||137|5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5G1.5;NPX1.75;Y-2.5;)I1|ATTR_XP(D5G1.5;NPX1.75;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
421 IredFive:invVn;1{ic}|invVn@0||95|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
422 IredFive:invVp;1{ic}|invVp@0||109|5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
423 Iinv_passgate;1{ic}|inv_pass@0||145|-32|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
424 IredFive:mullerC;1{ic}|mullerC@0||74|-28|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
425 ImullerC;1{ic}|mullerC@1||74|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
426 IredFive:mullerC_sy;1{ic}|mullerC_@0||57|-28|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
427 ImullerC_sy;1{ic}|mullerC_@1||57|-18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
428 IredFive:mux21_tri;1{ic}|mux21_tr@0||129|-80|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5G1.5;NPX4;Y2;)I1
429 Imux21_tri;1{ic}|mux21_tr@1||129|-68|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1;OJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
430 Imux1;1{ic}|mux21_tr@2||162|-21|||D5G4;|ATTR_Delay(D5G1;PX3.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;P)I-1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1;OJX4;Y2;)SLE.getdrive()|ATTR_drive0()Sstrong0|ATTR_drive1()Sstrong1
431 IredFive:nand2;1{ic}|nand2@0||-104|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
432 Inand2;1{ic}|nand2@1||-104|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
433 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||24|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
434 Inand2HLT_sy;1{ic}|nand2HLT@1||24|-43|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
435 IredFive:nand2HT;1{ic}|nand2HT@0||-8|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
436 Inand2HT;1{ic}|nand2HT@1||-8|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
437 IredFive:nand2HTen;1{ic}|nand2HTe@0||8|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
438 Inand2HTen;1{ic}|nand2HTe@1||8|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
439 IredFive:nand2LT;1{ic}|nand2LT@0||-56|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
440 Inand2LT;1{ic}|nand2LT@1||-56|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
441 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||-40|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
442 Inand2LT_sy;1{ic}|nand2LT_@1||-40|-43|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
443 IredFive:nand2LTen;1{ic}|nand2LTe@0||-24|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
444 Inand2LTen;1{ic}|nand2LTe@1||-24|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
445 Inand2PH;1{ic}|nand2PH@0||163|-32|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
446 Inand2PHfk;1{ic}|nand2PHf@0||163|-41|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
447 Inand2Schmitt;1{ic}|nand2PHf@1||163|-50|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;P)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;P)Sstrong0|ATTR_drive1(D5G1;P)Sstrong1|ATTR_su(D5G1;P)I-1|ATTR_LEPARALLGRP()I-1|ATTR_X(D5G1.5;NOJX2.5;Y2.5;)SLE.getdrive()
448 IredFive:nand2_sy;1{ic}|nand2_sy@0||-88|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
449 Inand2_sy;1{ic}|nand2_sy@1||-88|-39.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
450 IredFive:nand2en;1{ic}|nand2en@0||-72|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
451 Inand2en;1{ic}|nand2en@1||-72|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
452 Inand2k;1{ic}|nand2k@1||-88|-46|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
453 IredFive:nand2n;1{ic}|nand2n@0||105|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
454 Inand2n;1{ic}|nand2n@1||105.5|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S"LE.subdrive(\"nand2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
455 IredFive:nand2n_sy;1{ic}|nand2n_s@0||121|-54|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
456 Inand2n_sy;1{ic}|nand2n_s@1||121|-43|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)S"LE.subdrive(\"nand2_sy\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
457 IredFive:nand3;1{ic}|nand3@0||-104|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
458 Inand3;1{ic}|nand3@1||-104|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
459 IredFive:nand3LT;1{ic}|nand3LT@0||-4|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
460 Inand3LT;1{ic}|nand3LT@1||-4|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
461 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||54|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
462 Inand3LT_sy3;1{ic}|nand3LT_@1||54|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
463 Inand3LT_sy6;1{ic}|nand3LT_@2||74|-68|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y3.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
464 IredFive:nand3LT_sy6;1{ic}|nand3LT_@3||74|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
465 IredFive:nand3LTen;1{ic}|nand3LTe@0||14|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
466 IredFive:nand3LTen_sy;1{ic}|nand3LTe@1||34|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
467 Inand3LTen;1{ic}|nand3LTe@2||14|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
468 Inand3LTen_sy;1{ic}|nand3LTe@3||34|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
469 IredFive:nand3MLT;1{ic}|nand3MLT@0||-24|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
470 Inand3MLT;1{ic}|nand3MLT@1||-24|-68|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
471 IredFive:nand3en;1{ic}|nand3en@0||-64|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
472 Inand3en;1{ic}|nand3en@1||-64|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
473 IredFive:nand3en_sy;1{ic}|nand3en_@0||-44|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
474 Inand3en_sy;1{ic}|nand3en_@1||-44|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
475 IredFive:nand3_sy6;1{ic}|nand3en_@2||-84|-80|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
476 Inand3_sy6;1{ic}|nand3en_@3||-84|-68|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
477 Inms1;1{ic}|nms1@0||-48|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
478 Inms1K;1{ic}|nms1K@0||0|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1
479 IredFive:nms2b;1{ic}|nms2@0||29|42|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S1
480 Inms2;1{ic}|nms2@1||29|54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1
481 Inms2K;1{ic}|nms2K@0||11|55|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)S""
482 IredFive:nms2_sy;1{ic}|nms2_sy@0||43|42|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S1|ATTR_LEGATE()I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
483 Inms2_sy;3{ic}|nms2_sy@1||43|54|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1
484 IredFive:nms3;1{ic}|nms3@0||60|37|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NPX-2;Y0.5;)I1
485 Inms3;1{ic}|nms3@1||60|54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
486 IredFive:nms3_2sy;1{ic}|nms3_2sy@0||97|37|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)I1
487 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||76|37|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX-8.5;Y1;)I1
488 Inms3_sy3;1{ic}|nms3_sy3@1||81|54|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)S""
489 IredFive:nor2;1{ic}|nor2@0||56|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su()I-1
490 Inor2;1{ic}|nor2@1||56|-39.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
491 IredFive:nor2HT_sya;2{ic}|nor2HT_s@0||153|-82|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.75;Y2.75;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
492 IredFive:nor2_sy;1{ic}|nor2_sy@0||72|-54|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(T)I-1
493 Inor2_sy;2{ic}|nor2_sy@1||72|-43|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
494 Inor2en;1{ic}|nor2en@0||40|-43|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
495 IredFive:nor2en;1{ic}|nor2en@1||40|-54|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
496 Inor2k;1{ic}|nor2k@0||56|-46|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
497 Inor2kresetV;1{ic}|nor2kres@0||163|-60.5|||D5G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;NPX-92.75;Y-19.75;)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
498 IredFive:nor2n;1{ic}|nor2n@0||88|-54|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
499 Inor2n;1{ic}|nor2n@1||88|-43|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
500 IredFive:nor2n_sy;1{ic}|nor2n_sy@1||165|-82|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
501 Inor2n_sy;2{ic}|nor2n_sy@2||163.5|-72|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
502 IredFive:passF;1{ic}|passF@0||121|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1
503 IredFive:passT;1{ic}|passT@0||109|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1|ATTR_Xinv(D5G1;NPY2.5;)I1
504 IredFive:passTF;1{ic}|passTF@0||134|17|||D5G4;|ATTR_XN(D5G1;NPX-3.25;Y-0.5;)I1|ATTR_XP(D5G1;NPX3.25;Y-0.5;)I1
505 Ngeneric:Invisible-Pin|pin@0||127|10.5|||||ART_message(D5G2;)S[no PURPLE gates for these]
506 Ngeneric:Invisible-Pin|pin@1||41|33|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant]
507 Ngeneric:Invisible-Pin|pin@2||153|-12|||||ART_message(D5G2;)Sno RED gates for these
508 Ngeneric:Invisible-Pin|pin@3||39.5|-0.5|||||ART_message(D5G2;)S[lambda-based for fixed size,technology-dependant]
509 Ngeneric:Invisible-Pin|pin@4||128.5|42|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant]
510 Ngeneric:Invisible-Pin|pin@5||-39|20||||
511 Ngeneric:Invisible-Pin|pin@7||103.5|56.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant]
512 Ngeneric:Invisible-Pin|pin@8||74.5|22.5|||||ART_message(D5G2;)S[strength-based for LE,technology-independant]
513 Ngeneric:Invisible-Pin|pin@9||74|8|||||ART_message(D5G2;)S[strength-based fixed-size,technology-independant]
514 Ipms1;1{ic}|pms1@0||-48|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)I-1
515 Ipms1K;1{ic}|pms1K@0||0|21|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
516 IredFive:pms2;1{ic}|pms2@0||26.5|11|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S1
517 Ipms2;1{ic}|pms2@1||27|23|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1
518 Ipms2K;1{ic}|pms2K@0||11|23|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)S""|ATTR_M(D5G1;NX2;)I1
519 IredFive:pms2_sy;1{ic}|pms2_sy@0||40|11.5|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1
520 Ipms2_sy;1{ic}|pms2_sy@1||40|23|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1
521 Ipms3;1{ic}|pms3@0||55|25.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1
522 IredFive:pms3;1{ic}|pms3@1||55|11.5|||D5G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1
523 IorangeTSMC090nm:R110;1{ic}|polyResi@1||133|50|||D5G1;T|ATTR_L(D5G1;NPX-2;Y-2.25;)I40|ATTR_W(D5G1;NPX2.25;Y-2.25;)D8.8
524 IredFive:triInv;1{ic}|triInv@0||115|-80|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5G1.5;NPX2.5;Y2;)I1
525 ItriInv;1{ic}|triInv@1||115|-68|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
526 IorangeTSMC090nm:wire90xcpl2;1{ic}|wire90xc@0||161|35|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
527 IorangeTSMC090nm:wire90xcpl3;1{ic}|wire90xc@1||161|27|||D5G4;|ATTR_L(D5G1;PUDY1;)I100|ATTR_layer(D5G1;NPY-0.5;)S2|ATTR_width(D5G1;NPY-1.5;)S2.8
528 IorangeTSMC090nm:wire90;1{ic}|wire180@0||106|33|||D0G4;|ATTR_L(D5G1;PUD)I100|ATTR_LEWIRE(P)I1|ATTR_layer(D5G1;NPY-1;)I1|ATTR_width(D5G1;NPY-2;)I3
529 Iwire350;1{ic}|wire350@0||144|33|||D0G4;|ATTR_L(D5G1;P)I16666|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3
530 IorangeTSMC090nm:wire;1{ic}|wire@0||106|27|||D0G4;|ATTR_C(D5FLeave alone;G1;NOLPUCY-2.5;)S(@layer==0?0.00441:@layer<8?0.011:0.016)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5FLeave alone;G1;NOLPURY-1.5;)S(@layer==0?8.5:@layer==1?0.104:@layer<8?0.0661:0.0221)/@width
531 IorangeTSMC090nm:wireC;1{ic}|wireC@0||129|31|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
532 IorangeTSMC090nm:wireR;1{ic}|wireR@0||119|33|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3
533 IorangeTSMC090nm:wire_xcp_gnd;1{ic}|wire_xcp@0||161|48|||D5G4;|ATTR_C(D5G1;NPURX2.5;Y-1;)S0.223f|ATTR_L(D5G1;PURY1;)I100|ATTR_LEWIRE(PUR)I1|ATTR_R(D5G1;NPURX-3;Y-1;)S24m|ATTR_layer(PUR)I2|ATTR_width(PUR)D2.8
534 IorangeTSMC090nm:wire_xcpl_sides;1{ic}|wire_xcp@1||161|42|||D5G4;|ATTR_C(D5G1;NPUCY-1;)S0.0000223p|ATTR_L(D5G1;PUDY1;)I100|ATTR_LEIGNORE(PUD)I1
535 IredFive:xor2;1{ic}|xor2@0||100|-80|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
536 Ixor2;1{ic}|xor2@1||100|-68|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
537 X
538
539 # Cell inv;1{doc}
540 Cinv;1{doc}||mocmos|1012171260000|1012171294000||FACET_message()S[This is the one parameter inverter.,Drawn by Ivan Sutherland 27 January 2002,""]
541 X
542
543 # Cell inv;1{ic}
544 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
545 Ngeneric:Facet-Center|art@0||0|0||||AV
546 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
547 NPin|pin@0||1.5|0|1|1||
548 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
549 NPin|pin@2||-1.5|0|1|1||
550 NPin|pin@3||-2.5|0||||
551 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
552 NPin|pin@5||-1.5|2|1|1||
553 NPin|pin@6||-1.5|-2|1|1||
554 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I78
555 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I78
556 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
557 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
558 Ein||D5G1;|pin@1||I
559 Eout||D5G1;|pin@4||O
560 X
561
562 # Cell inv;2{sch}
563 Cinv;2{sch}||schematic|1021415734000|1159375611590||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
564 Ngeneric:Facet-Center|art@0||0|0||||AV
565 NOff-Page|conn@0||11|0||||
566 NOff-Page|conn@1||-14|0||||
567 IredFive:inv;1{ic}|inv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
568 Iinv;1{ic}|inv@1||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
569 Ngeneric:Invisible-Pin|pin@0||-2|14.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
570 Ngeneric:Invisible-Pin|pin@1||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
571 Ngeneric:Invisible-Pin|pin@2||-2|16.5|||||ART_message(D5G2;)S[one-parameter inverter]
572 Ngeneric:Invisible-Pin|pin@3||-1.5|20|||||ART_message(D5G6;)S[inv]
573 Awire|net@0|||1800|conn@1|y|-12|0|inv@0|in|-2|0
574 Awire|net@1|||1800|inv@0|out|3|0|conn@0|a|9|0
575 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.0
576 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
577 X
578
579 # Cell inv2i;1{ic}
580 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
581 Ngeneric:Facet-Center|art@0||0|0||||AV
582 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
583 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
584 NPin|pin@0||-2.5|-1||||
585 NPin|pin@1||-1.5|-1|1|1||
586 NPin|pin@2||1.5|0|1|1||
587 NPin|pin@3||-1.5|1|1|1||
588 NPin|pin@4||-2.5|1||||
589 NPin|pin@5||-1.5|2|1|1||
590 NPin|pin@6||-1.5|-2|1|1||
591 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
592 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
593 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
594 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
595 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
596 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
597 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
598 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
599 Ein[n]||D5G1;|pin@9||I
600 Ein[p]||D5G1;|pin@8||I
601 Eout||D5G1;|pin@7||O
602 X
603
604 # Cell inv2i;1{sch}
605 Cinv2i;1{sch}||schematic|1021415734000|1159375631875||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
606 Ngeneric:Facet-Center|art@0||0|0||||AV
607 NOff-Page|conn@0||12|0||||
608 NOff-Page|conn@1||-10.5|1|||Y|
609 NOff-Page|conn@2||-10.5|-1|||Y|
610 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
611 Iinv2i;1{ic}|inv2i@1||13.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
612 Ngeneric:Invisible-Pin|pin@0||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
613 Ngeneric:Invisible-Pin|pin@1||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
614 Ngeneric:Invisible-Pin|pin@2||-4|25|||||ART_message(D5G6;)S[inv2i]
615 Ngeneric:Invisible-Pin|pin@3||-4|20|||||ART_message(D5G2;)S[two-input inverter]
616 Awire|net@0|||0|inv2i@0|in[n]|-2.5|-1|conn@2|y|-8.5|-1
617 Awire|net@1|||0|inv2i@0|in[p]|-2.5|1|conn@1|y|-8.5|1
618 Awire|net@2|||0|conn@0|a|10|0|inv2i@0|out|2.5|0
619 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
620 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
621 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
622 X
623
624 # Cell inv2iHT;1{ic}
625 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
626 Ngeneric:Facet-Center|art@0||0|0||||AV
627 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
628 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
629 NThick-Circle|art@3||-1|1|1|1|||ART_color()I78
630 NPin|pin@0||-2.5|-1||||
631 NPin|pin@1||-1.5|-1|1|1||
632 NPin|pin@2||1.5|0|1|1||
633 NPin|pin@3||-1.5|1|1|1||
634 NPin|pin@4||-2.5|1||||
635 NPin|pin@5||-1.5|2|1|1||
636 NPin|pin@6||-1.5|-2|1|1||
637 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
638 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
639 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
640 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
641 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
642 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
643 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
644 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
645 Ein[n]||D5G1;|pin@9||I
646 Ein[p]||D5G1;|pin@8||I
647 Eout||D5G1;|pin@7||O
648 X
649
650 # Cell inv2iHT;1{sch}
651 Cinv2iHT;1{sch}||schematic|1021415734000|1159375639594||ATTR_Delay(D5G1;HNPX-11;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11;Y-11.5;)I-1|prototype_center()I[0,0]
652 Ngeneric:Facet-Center|art@0||0|0||||AV
653 NOff-Page|conn@0||12|0||||
654 NOff-Page|conn@1||-10|1|||Y|
655 NOff-Page|conn@2||-10|-1|||Y|
656 IredFive:inv2iHT;1{ic}|inv2iHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
657 Iinv2iHT;1{ic}|inv2iHT@1||23|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
658 Ngeneric:Invisible-Pin|pin@0||13|-11|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
659 Ngeneric:Invisible-Pin|pin@1||-3.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
660 Ngeneric:Invisible-Pin|pin@2||-3.5|20.5|||||ART_message(D5G6;)S[inv2iHT]
661 Ngeneric:Invisible-Pin|pin@3||-3.5|15.5|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
662 Awire|net@0|||0|inv2iHT@0|in[p]|-2.5|1|conn@1|y|-8|1
663 Awire|net@1|||0|inv2iHT@0|in[n]|-2.5|-1|conn@2|y|-8|-1
664 Awire|net@2|||0|conn@0|a|10|0|inv2iHT@0|out|2.5|0
665 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.33
666 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
667 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.67
668 X
669
670 # Cell inv2iK;1{ic}
671 Cinv2iK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
672 Ngeneric:Facet-Center|art@0||0|0||||AV
673 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
674 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
675 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[K]
676 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
677 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
678 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
679 NPin|pin@4||-1.5|-2|1|1||
680 NPin|pin@5||-1.5|2|1|1||
681 NPin|pin@6||-2.5|1||||
682 NPin|pin@7||-1.5|1|1|1||
683 NPin|pin@8||1.5|0|1|1||
684 NPin|pin@9||-1.5|-1|1|1||
685 NPin|pin@10||-2.5|-1||||
686 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
687 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
688 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
689 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
690 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
691 Ein[n]||D5G1;|pin@1||I
692 Ein[p]||D5G1;|pin@2||I
693 Eout||D5G1;|pin@3||O
694 X
695
696 # Cell inv2iK;1{sch}
697 Cinv2iK;1{sch}||schematic|1021415734000|1159376928498||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
698 Ngeneric:Facet-Center|art@0||0|0||||AV
699 NOff-Page|conn@0||-10.5|-1|||Y|
700 NOff-Page|conn@1||-10.5|1|||Y|
701 NOff-Page|conn@2||24|0||||
702 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
703 Iinv2iK;1{ic}|inv2iK@0||24|17|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
704 IredFive:invK;1{ic}|invK@0||8|6|R||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
705 IredFive:invK;1{ic}|invK@1||14.5|6|YR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
706 NWire_Pin|pin@0||8|10.5||||
707 NWire_Pin|pin@1||14.5|10.5||||
708 NWire_Pin|pin@2||14.5|0||||
709 NWire_Pin|pin@3||8|0||||
710 Ngeneric:Invisible-Pin|pin@4||11|-5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
711 Ngeneric:Invisible-Pin|pin@5||-4|20|||||ART_message(D5G2;)S[two-input inverter with keeper]
712 Ngeneric:Invisible-Pin|pin@6||-4|25|||||ART_message(D5G6;)S[inv2iK]
713 Ngeneric:Invisible-Pin|pin@7||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
714 Ngeneric:Invisible-Pin|pin@8||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
715 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
716 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
717 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||8|0
718 Awire|net@3|||2700|pin@3||8|0|invK@0|in|8|3.5
719 Awire|net@4|||2700|invK@0|out|8|8.5|pin@0||8|10.5
720 Awire|net@5|||900|pin@1||14.5|10.5|invK@1|in|14.5|8.5
721 Awire|net@6|||2700|pin@2||14.5|0|invK@1|out|14.5|3.5
722 Awire|net@7|||1800|pin@0||8|10.5|pin@1||14.5|10.5
723 Awire|net@8|||1800|pin@3||8|0|pin@2||14.5|0
724 Awire|net@9|||1800|pin@2||14.5|0|conn@2|a|22|0
725 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
726 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
727 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
728 X
729
730 # Cell inv2iKn;1{ic}
731 Cinv2iKn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
732 Ngeneric:Facet-Center|art@0||0|0||||AV
733 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
734 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
735 NPin|pin@0||-2.5|-1||||
736 NPin|pin@1||-1.5|-1|1|1||
737 NPin|pin@2||1.5|0|1|1||
738 NPin|pin@3||-1.5|1|1|1||
739 NPin|pin@4||-2.5|1||||
740 NPin|pin@5||-1.5|2|1|1||
741 NPin|pin@6||-1.5|-2|1|1||
742 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
743 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
744 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
745 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kn]
746 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
747 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
748 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
749 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
750 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
751 Ein[n]||D5G1;|pin@9||I
752 Ein[p]||D5G1;|pin@8||I
753 Eout||D5G1;|pin@7||O
754 X
755
756 # Cell inv2iKn;1{sch}
757 Cinv2iKn;1{sch}||schematic|1021415734000|1159376541802||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
758 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
759 Ngeneric:Facet-Center|art@0||0|0||||AV
760 NOff-Page|conn@0||15|0||||
761 NOff-Page|conn@1||-10.5|1|||Y|
762 NOff-Page|conn@2||-10.5|-1|||Y|
763 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
764 Iinv2iKn;1{ic}|inv2iKn@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
765 Ngeneric:Invisible-Pin|pin@0||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
766 NWire_Pin|pin@1||-4.5|-1||||
767 NWire_Pin|pin@2||-4.5|-5.5||||
768 NWire_Pin|pin@3||4.5|0||||
769 Ngeneric:Invisible-Pin|pin@4||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
770 Ngeneric:Invisible-Pin|pin@5||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
771 Ngeneric:Invisible-Pin|pin@6||0|20|||||ART_message(D5G6;)S[inv2iKn]
772 Ngeneric:Invisible-Pin|pin@7||0|15|||||ART_message(D5G2;)S[two-input inverter with n-side keeper]
773 Ngeneric:Invisible-Pin|pin@8||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
774 NPower|pwr@0||4.5|-10.5||||
775 Awire|net@0|||1800|pin@1||-4.5|-1|inv2i@0|in[n]|-2.5|-1
776 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
777 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||4.5|0
778 Awire|net@3|||900|pin@3||4.5|0|PMOSwk@0|s|4.5|-3.5
779 Awire|net@4|||1800|pin@2||-4.5|-5.5|PMOSwk@0|g|1.5|-5.5
780 Awire|net@5|||900|PMOSwk@0|d|4.5|-7.5|pwr@0||4.5|-10.5
781 Awire|net@6|||0|conn@0|a|13|0|pin@3||4.5|0
782 Awire|net@7|||900|pin@1||-4.5|-1|pin@2||-4.5|-5.5
783 Awire|net@8|||1800|conn@2|y|-8.5|-1|pin@1||-4.5|-1
784 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
785 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
786 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
787 X
788
789 # Cell inv2iKnD;1{ic}
790 Cinv2iKnD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
791 Ngeneric:Facet-Center|art@0||0|0||||AV
792 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
793 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
794 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[KnD]
795 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
796 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
797 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
798 NPin|pin@4||-1.5|-2|1|1||
799 NPin|pin@5||-1.5|2|1|1||
800 NPin|pin@6||-2.5|1||||
801 NPin|pin@7||-1.5|1|1|1||
802 NPin|pin@8||1.5|0|1|1||
803 NPin|pin@9||-1.5|-1|1|1||
804 NPin|pin@10||-2.5|-1||||
805 Ngeneric:Invisible-Pin|pin@11||0|2||||
806 NPin|pin@12||0|1|||R|
807 NPin|pin@13||0|2|1|1|R|
808 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
809 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
810 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
811 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
812 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
813 AThicker|net@5|||FS900|pin@13||0|2|pin@12||0|1|ART_color()I78
814 Ectl||D5G2;|pin@11||I
815 Ein[n]||D5G1;|pin@1||I
816 Ein[p]||D5G1;|pin@2||I
817 Eout||D5G1;|pin@3||O
818 X
819
820 # Cell inv2iKnD;1{sch}
821 Cinv2iKnD;1{sch}||schematic|1021415734000|1159376976699||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
822 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
823 Ngeneric:Facet-Center|art@0||0|0||||AV
824 NOff-Page|conn@0||-10.5|-1|||Y|
825 NOff-Page|conn@1||-10.5|1|||Y|
826 NOff-Page|conn@2||15|0||||
827 NOff-Page|conn@3||-4|6||||
828 IredFive:inv2iCTLn;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
829 Iinv2iKnD;1{ic}|inv2iKnD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
830 Ngeneric:Invisible-Pin|pin@0||1|9|||||ART_message(D5G2;)S["Set input in N, reset input is P"]
831 Ngeneric:Invisible-Pin|pin@1||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
832 Ngeneric:Invisible-Pin|pin@2||0|15|||||ART_message(D5G2;)S[degradable two-input inverter with n-side keeper]
833 Ngeneric:Invisible-Pin|pin@3||0|20|||||ART_message(D5G6;)S[inv2iKnD]
834 Ngeneric:Invisible-Pin|pin@4||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
835 Ngeneric:Invisible-Pin|pin@5||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
836 NWire_Pin|pin@6||4.5|0||||
837 NWire_Pin|pin@7||-4.5|-5.5||||
838 NWire_Pin|pin@8||-4.5|-1||||
839 Ngeneric:Invisible-Pin|pin@9||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
840 NWire_Pin|pin@10||0|6||||
841 NPower|pwr@0||4.5|-10.5||||
842 Awire|net@0|||900|pin@6||4.5|0|PMOSwk@0|s|4.5|-3.5
843 Awire|net@1|||1800|pin@7||-4.5|-5.5|PMOSwk@0|g|1.5|-5.5
844 Awire|net@2|||900|PMOSwk@0|d|4.5|-7.5|pwr@0||4.5|-10.5
845 Awire|net@3|||1800|conn@1|y|-8.5|1|inv2iCTL@0|inP|-2.5|1
846 Awire|net@4|||2700|inv2iCTL@0|ctl|0|-2|pin@10||0|6
847 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@6||4.5|0
848 Awire|net@6|||1800|pin@8||-4.5|-1|inv2iCTL@0|inN|-2.5|-1
849 Awire|net@7|||1800|conn@0|y|-8.5|-1|pin@8||-4.5|-1
850 Awire|net@8|||900|pin@8||-4.5|-1|pin@7||-4.5|-5.5
851 Awire|net@9|||0|conn@2|a|13|0|pin@6||4.5|0
852 Awire|net@10|||0|pin@10||0|6|conn@3|y|-2|6
853 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F0.67
854 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.67
855 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
856 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.33
857 X
858
859 # Cell inv2iKp;1{ic}
860 Cinv2iKp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
861 Ngeneric:Facet-Center|art@0||0|0||||AV
862 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
863 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
864 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[Kp]
865 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
866 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
867 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
868 NPin|pin@4||-1.5|-2|1|1||
869 NPin|pin@5||-1.5|2|1|1||
870 NPin|pin@6||-2.5|1||||
871 NPin|pin@7||-1.5|1|1|1||
872 NPin|pin@8||1.5|0|1|1||
873 NPin|pin@9||-1.5|-1|1|1||
874 NPin|pin@10||-2.5|-1||||
875 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
876 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
877 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
878 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
879 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
880 Ein[n]||D5G1;|pin@1||I
881 Ein[p]||D5G1;|pin@2||I
882 Eout||D5G1;|pin@3||O
883 X
884
885 # Cell inv2iKp;1{sch}
886 Cinv2iKp;1{sch}||schematic|1021415734000|1159376567086||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
887 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
888 Ngeneric:Facet-Center|art@0||0|0||||AV
889 NOff-Page|conn@0||-10.5|-1|||Y|
890 NOff-Page|conn@1||-10.5|1|||Y|
891 NOff-Page|conn@2||15|0||||
892 NGround|gnd@0||4.5|11||-1|Y|
893 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
894 Iinv2iKp;1{ic}|inv2iKp@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
895 Ngeneric:Invisible-Pin|pin@0||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
896 NWire_Pin|pin@1||-4.5|1||||
897 Ngeneric:Invisible-Pin|pin@2||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
898 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[two-input inverter with p-side keeper]
899 Ngeneric:Invisible-Pin|pin@4||0|24|||||ART_message(D5G6;)S[inv2iKp]
900 Ngeneric:Invisible-Pin|pin@5||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
901 Ngeneric:Invisible-Pin|pin@6||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
902 NWire_Pin|pin@7||4.5|0||||
903 NWire_Pin|pin@8||-4.5|5.5||||
904 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
905 Awire|net@1|||1800|pin@1||-4.5|1|inv2i@0|in[p]|-2.5|1
906 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@7||4.5|0
907 Awire|net@3|||2700|pin@7||4.5|0|NMOSwk@0|s|4.5|3.5
908 Awire|net@4|||2700|NMOSwk@0|d|4.5|7.5|gnd@0||4.5|9.5
909 Awire|net@5|||1800|pin@8||-4.5|5.5|NMOSwk@0|g|1.5|5.5
910 Awire|net@6|||2700|pin@1||-4.5|1|pin@8||-4.5|5.5
911 Awire|net@7|||1800|conn@1|y|-8.5|1|pin@1||-4.5|1
912 Awire|net@8|||0|conn@2|a|13|0|pin@7||4.5|0
913 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
914 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
915 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I1
916 X
917
918 # Cell inv2iKpD;1{ic}
919 Cinv2iKpD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
920 Ngeneric:Facet-Center|art@0||0|0||||AV
921 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
922 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
923 NThick-Circle|art@3||0|1.5|1|1|||ART_color()I78
924 NPin|pin@0||-2.5|-1||||
925 NPin|pin@1||-1.5|-1|1|1||
926 NPin|pin@2||1.5|0|1|1||
927 NPin|pin@3||-1.5|1|1|1||
928 NPin|pin@4||-2.5|1||||
929 NPin|pin@5||-1.5|2|1|1||
930 NPin|pin@6||-1.5|-2|1|1||
931 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
932 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
933 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
934 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kp]
935 Ngeneric:Invisible-Pin|pin@11||0|2||||
936 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
937 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
938 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
939 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
940 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
941 Ectl||D5G2;|pin@11||I
942 Ein[n]||D5G1;|pin@9||I
943 Ein[p]||D5G1;|pin@8||I
944 Eout||D5G1;|pin@7||O
945 X
946
947 # Cell inv2iKpD;1{sch}
948 Cinv2iKpD;1{sch}||schematic|1021415734000|1159376918981||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
949 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
950 Ngeneric:Facet-Center|art@0||0|0||||AV
951 NOff-Page|conn@0||15|0||||
952 NOff-Page|conn@1||-10.5|1|||Y|
953 NOff-Page|conn@2||-10.5|-1|||Y|
954 NOff-Page|conn@3||-4|-6||||
955 NGround|gnd@0||4.5|11||-1|Y|
956 IredFive:inv2iCTLp;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
957 Iinv2iKpD;1{ic}|inv2iKpD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
958 Ngeneric:Invisible-Pin|pin@0||1|14|||||ART_message(D5G2;)S["set input is P, reset input is N"]
959 NWire_Pin|pin@1||-4.5|5.5||||
960 NWire_Pin|pin@2||4.5|0||||
961 Ngeneric:Invisible-Pin|pin@3||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
962 Ngeneric:Invisible-Pin|pin@4||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
963 Ngeneric:Invisible-Pin|pin@5||0|24|||||ART_message(D5G6;)S[inv2iKpD]
964 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[degradable two-input inverter with p-side keeper]
965 Ngeneric:Invisible-Pin|pin@7||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
966 NWire_Pin|pin@8||-4.5|1||||
967 Ngeneric:Invisible-Pin|pin@9||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
968 NWire_Pin|pin@10||0|-6||||
969 Awire|net@0|||2700|pin@2||4.5|0|NMOSwk@0|s|4.5|3.5
970 Awire|net@1|||2700|NMOSwk@0|d|4.5|7.5|gnd@0||4.5|9.5
971 Awire|net@2|||1800|pin@1||-4.5|5.5|NMOSwk@0|g|1.5|5.5
972 Awire|net@3|||1800|pin@8||-4.5|1|inv2iCTL@0|inP|-2.5|1
973 Awire|net@4|||900|inv2iCTL@0|ctl|0|-2|pin@10||0|-6
974 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@2||4.5|0
975 Awire|net@6|||1800|conn@2|y|-8.5|-1|inv2iCTL@0|inN|-2.5|-1
976 Awire|net@7|||0|conn@0|a|13|0|pin@2||4.5|0
977 Awire|net@8|||1800|conn@1|y|-8.5|1|pin@8||-4.5|1
978 Awire|net@9|||2700|pin@8||-4.5|1|pin@1||-4.5|5.5
979 Awire|net@10|||0|pin@10||0|-6|conn@3|y|-2|-6
980 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX1;Y-2;)F1.33
981 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
982 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
983 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)D1.67
984 X
985
986 # Cell inv2iLT;2{ic}
987 Cinv2iLT;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
988 Ngeneric:Facet-Center|art@0||0|0||||AV
989 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
990 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
991 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
992 NPin|pin@0||-2.5|-1||||
993 NPin|pin@1||-1.5|-1|1|1||
994 NPin|pin@2||1.5|0|1|1||
995 NPin|pin@3||-1.5|1|1|1||
996 NPin|pin@4||-2.5|1||||
997 NPin|pin@5||-1.5|2|1|1||
998 NPin|pin@6||-1.5|-2|1|1||
999 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
1000 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
1001 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
1002 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
1003 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1004 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
1005 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
1006 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
1007 Ein[n]||D5G1;|pin@7||I
1008 Ein[p]||D5G1;|pin@8||I
1009 Eout||D5G1;|pin@9||O
1010 X
1011
1012 # Cell inv2iLT;2{sch}
1013 Cinv2iLT;2{sch}||schematic|1021415734000|1159375635930||ATTR_Delay(D5G1;HNPX-11.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11.5;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-11.5;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11.5;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11.5;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11.5;Y-10.5;)I-1|prototype_center()I[0,0]
1014 Ngeneric:Facet-Center|art@0||0|0||||AV
1015 NOff-Page|conn@0||-10|-1|||Y|
1016 NOff-Page|conn@1||-10|1|||Y|
1017 NOff-Page|conn@2||12.5|0||||
1018 IredFive:inv2iLT;1{ic}|inv2iLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1019 Iinv2iLT;2{ic}|inv2iLT@1||21|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1020 Ngeneric:Invisible-Pin|pin@0||16|-12.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
1021 Ngeneric:Invisible-Pin|pin@1||0.5|11.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
1022 Ngeneric:Invisible-Pin|pin@2||-3.5|16|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
1023 Ngeneric:Invisible-Pin|pin@3||0.5|18.5|||||ART_message(D5G6;)S[inv2iLT]
1024 Awire|net@0|||0|inv2iLT@0|in[n]|-2.5|-1|conn@0|y|-8|-1
1025 Awire|net@1|||0|inv2iLT@0|in[p]|-2.5|1|conn@1|y|-8|1
1026 Awire|net@2|||0|conn@2|a|10.5|0|inv2iLT@0|out|2.5|0
1027 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F0.67
1028 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
1029 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
1030 X
1031
1032 # Cell inv2o;1{ic}
1033 Cinv2o;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_DelayH(D5G1;HNPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;HNPX2;Y-3.5;)I100|ATTR_X(D5G1.5;HNOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1034 Ngeneric:Facet-Center|art@0||0|0||||AV
1035 NOpened-Thicker-Polygon|art@1||-0.5|-1|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1036 NOpened-Thicker-Polygon|art@2||-0.5|1|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1037 NThick-Circle|art@3||1|1|1|1|1200||ART_color()I78|ART_degrees()F[0.0,2.0943952]
1038 NThick-Circle|art@4||2|-1|1|1|||ART_color()I78
1039 NThick-Circle|art@5||2|1|1|1|||ART_color()I78
1040 NPin|pin@0||1.5|-1|1|1||
1041 NPin|pin@1||0|0|1|1||
1042 NPin|pin@2||-1.5|-3|1|1||
1043 NPin|pin@3||1.5|1|1|1||
1044 NPin|pin@4||-1.5|3|1|1||
1045 NPin|pin@5||0|0|1|1||
1046 NPin|pin@6||-2.5|0|1|1||
1047 NPin|pin@7||-1.5|0|1|1||
1048 Nschematic:Wire_Pin|pin@8||2.5|-1|-0.5|-0.5||
1049 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
1050 Nschematic:Bus_Pin|pin@10||2.5|1|-2|-2||
1051 AThicker|net@0|||FS2700|pin@2||-1.5|-3|pin@4||-1.5|3|ART_color()I78
1052 AThicker|net@1|||FS337|pin@0||1.5|-1|pin@2||-1.5|-3|ART_color()I78
1053 AThicker|net@2|||FS3263|pin@0||1.5|-1|pin@1||0|0|ART_color()I78
1054 AThicker|net@3|||FS337|pin@3||1.5|1|pin@5||0|0|ART_color()I78
1055 AThicker|net@4|||FS3263|pin@3||1.5|1|pin@4||-1.5|3|ART_color()I78
1056 AThicker|net@5|||FS0|pin@7||-1.5|0|pin@6||-2.5|0|ART_color()I78
1057 Ein||D5G1;|pin@9||I
1058 Eout[n]||D5G1;|pin@8||O
1059 Eout[p]||D5G1;HN|pin@10||O
1060 X
1061
1062 # Cell inv2o;1{sch}
1063 Cinv2o;1{sch}||schematic|1021415734000|1197016374252||ATTR_DelayH(D5G1;HNPX-18;Y-5.5;)I100|ATTR_DelayL(D5G1;HNPX-18;Y-6.5;)I100|ATTR_X(D5G1;HNOJPX-18;Y-3.5;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HNPTX-18;Y-4.5;)I-1|prototype_center()I[0,0]
1064 Ngeneric:Facet-Center|art@0||0|0||||AV
1065 NOff-Page|conn@0||16|-2||||
1066 NOff-Page|conn@1||-16|0||||
1067 NOff-Page|conn@2||16|2||||
1068 Iinv2o;1{ic}|inv2o@0||26.5|19|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1
1069 IinvHT;1{ic}|invHT@0||-2.5|2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayH|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su|ATTR_S(D5G1;ILNRRX1.75;Y-8.5;)SLE.getdrive()
1070 IinvLT;1{ic}|invLT@0||5|-2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayL|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su
1071 Ngeneric:Invisible-Pin|pin@0||6.5|-7.5|||||ART_message(D5G2;)S[simply reports size of HT gate]
1072 NWire_Pin|pin@1||-9|-2||||
1073 NWire_Pin|pin@2||-9|2||||
1074 Ngeneric:Invisible-Pin|pin@3||-3|15|||||ART_message(D5G2;)S[these are width ratios]
1075 Ngeneric:Invisible-Pin|pin@4||2.5|12.5|||||ART_message(D5G2;)S[P:N=2:2]
1076 Ngeneric:Invisible-Pin|pin@5||-9|12.5|||||ART_message(D5G2;)S[P:N=4:1]
1077 NWire_Pin|pin@6||-9|0||||
1078 Ngeneric:Invisible-Pin|pin@7||-1|19|||||ART_message(D5G2;)S[with two outputs]
1079 Ngeneric:Invisible-Pin|pin@8||-1|21|||||ART_message(D5G2;)S[HI-LO-threshold inverters]
1080 Ngeneric:Invisible-Pin|pin@9||0|25.5|||||ART_message(D5G6;)S[inv2o]
1081 Awire|net@0|||900|pin@6||-9|0|pin@1||-9|-2
1082 Awire|net@1|||1800|pin@1||-9|-2|invLT@0|in|2.5|-2
1083 Awire|net@2|||0|conn@0|a|14|-2|invLT@0|out|7.5|-2
1084 Awire|net@3|||1800|pin@2||-9|2|invHT@0|in|-5|2
1085 Awire|net@4|||900|pin@2||-9|2|pin@6||-9|0
1086 Awire|net@5|||0|conn@2|a|14|2|invHT@0|out|0|2
1087 Awire|net@6|||0|pin@6||-9|0|conn@1|y|-14|0
1088 Ein||D5G2;|conn@1|a|I
1089 Eout[n]||D5G2;|conn@0|y|O
1090 Eout[p]||D5G2;|conn@2|y|O
1091 X
1092
1093 # Cell invCLK;1{ic}
1094 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1095 Ngeneric:Facet-Center|art@0||0|0||||AV
1096 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
1097 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
1098 NOpened-Thicker-Polygon|art@3||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
1099 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
1100 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1101 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
1102 NPin|pin@2||-1.5|-2|1|1||
1103 NPin|pin@3||-1.5|2|1|1||
1104 NPin|pin@4||-2.5|0||||
1105 NPin|pin@5||-1.5|0|1|1||
1106 NPin|pin@6||1.5|0|1|1||
1107 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I78
1108 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I78
1109 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I78
1110 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1111 Ein||D5G1;|pin@1||I
1112 Eout||D5G1;|pin@0||O
1113 X
1114
1115 # Cell invCLK;1{sch}
1116 CinvCLK;1{sch}||schematic|1021415734000|1159375628155||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1117 Ngeneric:Facet-Center|art@0||0|0||||AV
1118 NOff-Page|conn@0||9.5|0||||
1119 NOff-Page|conn@1||-10|0||||
1120 IredFive:invCLK;1{ic}|invCLK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1121 IinvCLK;1{ic}|invCLK@1||24|19|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1122 Ngeneric:Invisible-Pin|pin@0||-2|11.5|||||ART_message(D5G2;)S[should give equal R/F Delay]
1123 Ngeneric:Invisible-Pin|pin@1||-1|20.5|||||ART_message(D5G6;)S[inv3to1]
1124 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[higher-threshold inverter]
1125 Ngeneric:Invisible-Pin|pin@3||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is 1.5x N strength]
1126 Ngeneric:Invisible-Pin|pin@4||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
1127 Awire|net@0|||0|invCLK@0|in|-2.5|0|conn@1|y|-8|0
1128 Awire|net@1|||1800|invCLK@0|out|2.5|0|conn@0|a|7.5|0
1129 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1130 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.33
1131 X
1132
1133 # Cell invCTLn;1{ic}
1134 CinvCTLn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;HNPX2;Y-4;)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;HNPX1.75;Y-3;)I175|ATTR_su(D5G1;HNPX2;Y-5;)I-1|prototype_center()I[6000,0]
1135 Ngeneric:Facet-Center|art@0||0|0||||AV
1136 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
1137 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1138 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1139 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1140 NPin|pin@0||0|-1|1|1|R|
1141 NPin|pin@1||0|-2|||R|
1142 Ngeneric:Invisible-Pin|pin@2||0|-2||||
1143 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
1144 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
1145 NPin|pin@5||-1.5|-2|1|1||
1146 NPin|pin@6||-1.5|2|1|1||
1147 NPin|pin@7||-2.5|0||||
1148 NPin|pin@8||-1.5|0|1|1||
1149 NPin|pin@9||1.5|0|1|1||
1150 AThicker|net@0|||FS900|pin@0||0|-1|pin@1||0|-2|ART_color()I78
1151 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I78
1152 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I78
1153 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I78
1154 AThicker|net@4|||FS0|pin@8||-1.5|0|pin@7||-2.5|0|ART_color()I78
1155 Ectl||D5G1;|pin@2||I
1156 Ein||D5G1;|pin@4||I
1157 Eout||D5G1;|pin@3||O
1158 X
1159
1160 # Cell invCTLn;1{sch}
1161 CinvCTLn;1{sch}||schematic|1021415734000|1159375665094||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-11;)Sstrong1|ATTR_sloDelay(D5G1;HNPX-12.5;Y-6.25;)I175|ATTR_su(D5G1;HNPTX-12;Y-9;)I-1|prototype_center()I[0,0]
1162 Ngeneric:Facet-Center|art@0||0|0||||AV
1163 NOff-Page|conn@0||0|-7.5|||R|
1164 NOff-Page|conn@1||12.5|0||||
1165 NOff-Page|conn@2||-8.5|0||||
1166 IredFive:invCTLn;1{ic}|invCTLn@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_sloDelay(D5G1;NOJPX4.5;Y-3;)S@sloDelay
1167 IinvCTLn;1{ic}|invCTLn@1||27.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;NPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;NPX2;Y-4;)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;NPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3;)I175|ATTR_su(D5G1;NPX2;Y-5;)I-1
1168 Ngeneric:Invisible-Pin|pin@0||-1|24|||||ART_message(D5G6;)S[invCTLn]
1169 Ngeneric:Invisible-Pin|pin@1||0|19|||||ART_message(D5G2;)S[current starved inverter]
1170 Ngeneric:Invisible-Pin|pin@2||0.5|17|||||ART_message(D5G2;)S[only low-going output transition is affected]
1171 Ngeneric:Invisible-Pin|pin@3||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
1172 Awire|net@0|||900|invCTLn@0|ctl|0|-2|conn@0|y|0|-5.5
1173 Awire|net@1|||0|conn@1|a|10.5|0|invCTLn@0|out|2.5|0
1174 Awire|net@2|||1800|conn@2|y|-6.5|0|invCTLn@0|in|-2.5|0
1175 Ectl||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
1176 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
1177 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
1178 X
1179
1180 # Cell invCTLp;1{ic}
1181 CinvCTLp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1182 Ngeneric:Facet-Center|art@0||0|0||||AV
1183 NOpened-Thicker-Polygon|art@1||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1184 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1185 NOpened-Thicker-Polygon|art@3||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1186 NOpened-Thicker-Polygon|art@4||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
1187 Ngeneric:Invisible-Pin|pin@0||0.5|1.25|||||ART_message(D5G1;)S[p]
1188 NPin|pin@1||1.5|0|1|1||
1189 NPin|pin@2||-1.5|0|1|1||
1190 NPin|pin@3||-2.5|0||||
1191 NPin|pin@4||-1.5|2|1|1||
1192 NPin|pin@5||-1.5|-2|1|1||
1193 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
1194 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1195 Ngeneric:Invisible-Pin|pin@8||0|2||||
1196 NPin|pin@9||0|1|||R|
1197 NPin|pin@10||0|2|1|1|R|
1198 AThicker|net@0|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
1199 AThicker|net@1|||FS2700|pin@5||-1.5|-2|pin@4||-1.5|2|ART_color()I78
1200 AThicker|net@2|||FS337|pin@1||1.5|0|pin@5||-1.5|-2|ART_color()I78
1201 AThicker|net@3|||FS3263|pin@1||1.5|0|pin@4||-1.5|2|ART_color()I78
1202 AThicker|net@4|||FS900|pin@10||0|2|pin@9||0|1|ART_color()I78
1203 Ectl||D5G1;|pin@8||I
1204 Ein||D5G1;|pin@6||I
1205 Eout||D5G1;|pin@7||O
1206 X
1207
1208 # Cell invCTLp;1{sch}
1209 CinvCTLp;1{sch}||schematic|1021415734000|1159377383524||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
1210 Ngeneric:Facet-Center|art@0||0|0||||AV
1211 NOff-Page|conn@0||-8.5|0||||
1212 NOff-Page|conn@1||8|0||||
1213 NOff-Page|conn@2||0|-7.5|||R|
1214 IredFive:invCTLp;1{ic}|invCTLp@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
1215 IinvCTLp;1{ic}|invCTLp@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1216 Ngeneric:Invisible-Pin|pin@0||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
1217 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[only high-going output transition is affected]
1218 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[current starved inverter]
1219 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invCTLp]
1220 Awire|net@0|||900|invCTLp@0|ctl|0|-2|conn@2|y|0|-5.5
1221 Awire|net@1|||0|conn@1|a|6|0|invCTLp@0|out|2.5|0
1222 Awire|net@2|||1800|conn@0|y|-6.5|0|invCTLp@0|in|-2.5|0
1223 Ectl||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
1224 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.67
1225 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)S1.67
1226 X
1227
1228 # Cell invHT;1{ic}
1229 CinvHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1230 Ngeneric:Facet-Center|art@0||0|0||||AV
1231 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1232 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1233 NPin|pin@0||1.5|0|1|1||
1234 NPin|pin@1||-1.5|0|1|1||
1235 NPin|pin@2||-2.5|0||||
1236 NPin|pin@3||-1.5|2|1|1||
1237 NPin|pin@4||-1.5|-2|1|1||
1238 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
1239 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1240 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1241 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
1242 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
1243 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
1244 Ein||D5G1;|pin@5||I
1245 Eout||D5G1;|pin@6||O
1246 X
1247
1248 # Cell invHT;2{sch}
1249 CinvHT;2{sch}||schematic|1021415734000|1159375620196||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1250 Ngeneric:Facet-Center|art@0||0|0||||AV
1251 NOff-Page|conn@0||-10|0||||
1252 NOff-Page|conn@1||9.5|0||||
1253 IredFive:invHT;1{ic}|invHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1254 IinvHT;1{ic}|invHT@1||15|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1255 Ngeneric:Invisible-Pin|pin@0||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
1256 Ngeneric:Invisible-Pin|pin@1||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
1257 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[HI-threshold inverter]
1258 Ngeneric:Invisible-Pin|pin@3||-1|20.5|||||ART_message(D5G6;)S[invHT]
1259 Awire|net@0|||0|invHT@0|in|-2.5|0|conn@0|y|-8|0
1260 Awire|net@1|||1800|invHT@0|out|2.5|0|conn@1|a|7.5|0
1261 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
1262 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY1.5;)F1.67
1263 X
1264
1265 # Cell invK;1{ic}
1266 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[2000,0]
1267 Ngeneric:Facet-Center|art@0||0|0||||AV
1268 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
1269 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
1270 NPin|pin@0||1.5|0|1|1||
1271 NPin|pin@1||-1.5|2|1|1||
1272 NPin|pin@2||-1.5|-2|1|1||
1273 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
1274 NPin|pin@4||2.5|0||||
1275 NPin|pin@5||1.5|0|1|1||
1276 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1277 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I78
1278 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I78
1279 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I78
1280 AThicker|net@3|||FS0|pin@4||2.5|0|pin@5||1.5|0|ART_color()I78
1281 Ein||D5G1;|pin@3||I
1282 Eout||D5G1;|pin@6||O
1283 X
1284
1285 # Cell invK;1{sch}
1286 CinvK;1{sch}||schematic|1021415734000|1159375623973||ATTR_Delay(D5G1;HNPX-14;Y-7.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-14;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-14;Y-5.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14;Y-9.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-10.5;)Sweak1|ATTR_su(D5G1;HNPTX-14;Y-8.5;)I-1|prototype_center()I[0,0]
1287 Ngeneric:Facet-Center|art@0||0|0||||AV
1288 NOff-Page|conn@0||-11|0||||
1289 NOff-Page|conn@1||8|0||||
1290 IredFive:invK;1{ic}|invK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1291 IinvK;1{ic}|invK@1||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
1292 Ngeneric:Invisible-Pin|pin@0||24.5|-8.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
1293 Ngeneric:Invisible-Pin|pin@1||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
1294 Ngeneric:Invisible-Pin|pin@2||-1|23.5|||||ART_message(D5G6;)S[invK]
1295 Ngeneric:Invisible-Pin|pin@3||-1|18|||||ART_message(D5G2;)S[LO threshold keeper inverter]
1296 Awire|net@0|||1800|conn@0|y|-9|0|invK@0|in|-2.5|0
1297 Awire|net@1|||0|conn@1|a|6|0|invK@0|out|2.5|0
1298 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
1299 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
1300 X
1301
1302 # Cell invLT;1{ic}
1303 CinvLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1304 Ngeneric:Facet-Center|art@0||0|0||||AV
1305 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1306 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1307 NPin|pin@0||1.5|0|1|1||
1308 NPin|pin@1||-1.5|0|1|1||
1309 NPin|pin@2||-2.5|0||||
1310 NPin|pin@3||-1.5|2|1|1||
1311 NPin|pin@4||-1.5|-2|1|1||
1312 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
1313 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1314 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
1315 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1316 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
1317 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
1318 Ein||D5G1;|pin@5||I
1319 Eout||D5G1;|pin@6||O
1320 X
1321
1322 # Cell invLT;2{sch}
1323 CinvLT;2{sch}||schematic|1021415734000|1159375615839||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
1324 Ngeneric:Facet-Center|art@0||0|0||||AV
1325 NOff-Page|conn@0||-8.5|0||||
1326 NOff-Page|conn@1||8|0||||
1327 IredFive:invLT;1{ic}|invLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1328 IinvLT;1{ic}|invLT@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1329 Ngeneric:Invisible-Pin|pin@0||18.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
1330 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
1331 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[LO-threshold inverter]
1332 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invLT]
1333 Awire|net@0|||1800|conn@0|y|-6.5|0|invLT@0|in|-2.5|0
1334 Awire|net@1|||0|conn@1|a|6|0|invLT@0|out|2.5|0
1335 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
1336 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
1337 X
1338
1339 # Cell inv_passgate;1{ic}
1340 Cinv_passgate;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1341 Ngeneric:Facet-Center|art@0||0|0||||AV
1342 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
1343 NPin|pin@0||-1.5|-2|1|1||
1344 NPin|pin@1||-1.5|2|1|1||
1345 Nschematic:Bus_Pin|pin@2||5.5|0|-2|-2||
1346 NPin|pin@3||-2.5|0||||
1347 NPin|pin@4||-1.5|0|1|1||
1348 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
1349 NPin|pin@6||1.5|0|1|1||
1350 Ngeneric:Invisible-Pin|pin@7||4|2||||
1351 NPin|pin@8||3.25|0|1|1||
1352 NPin|pin@9||2.5|0||||
1353 NPin|pin@10||3.25|1|0.5|0.5||
1354 NPin|pin@11||4.75|1|0.5|0.5||
1355 NPin|pin@12||4.75|0|0.5|0.5||
1356 NPin|pin@13||5.5|0|0.5|0.5||
1357 NPin|pin@14||4.75|1.25|1|1||
1358 NPin|pin@15||3.25|1.25||||
1359 NPin|pin@16||4|1.25|0.5|0.5||
1360 NPin|pin@17||4|2|0.5|0.5||
1361 AThicker|net@0|||FS2700|pin@0||-1.5|-2|pin@1||-1.5|2|ART_color()I78
1362 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
1363 AThicker|net@2|||FS337|pin@6||1.5|0|pin@0||-1.5|-2|ART_color()I78
1364 AThicker|net@3|||FS3263|pin@6||1.5|0|pin@1||-1.5|2|ART_color()I78
1365 AThicker|net@4|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
1366 AThicker|net@5|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
1367 AThicker|net@6|||FS900|pin@10||3.25|1|pin@8||3.25|0|ART_color()I78
1368 AThicker|net@7|||FS0|pin@11||4.75|1|pin@10||3.25|1|ART_color()I78
1369 AThicker|net@8|||FS2700|pin@12||4.75|0|pin@11||4.75|1|ART_color()I78
1370 AThicker|net@9|||FS0|pin@13||5.5|0|pin@12||4.75|0|ART_color()I78
1371 AThicker|net@10|||FS0|pin@16||4|1.25|pin@15||3.25|1.25|ART_color()I78
1372 AThicker|net@11|||FS0|pin@14||4.75|1.25|pin@16||4|1.25|ART_color()I78
1373 AThicker|net@12|||FS900|pin@17||4|2|pin@16||4|1.25|ART_color()I78
1374 Een||D5G2;|pin@7||I
1375 Ein||D5G1;|pin@5||I
1376 Eout||D5G1;|pin@2||O
1377 X
1378
1379 # Cell inv_passgate;1{sch}
1380 Cinv_passgate;1{sch}||schematic|1021415734000|1159377687524||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
1381 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||10|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_X(D5G1.5;NOJPX-0.5;Y2.5;)S@X*2.0
1382 Ngeneric:Facet-Center|art@0||0|0||||AV
1383 NOff-Page|conn@0||-14|0||||
1384 NOff-Page|conn@1||18|0||||
1385 NOff-Page|conn@2||4|7||||
1386 IredFive:invLT;1{ic}|invLT@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1387 Iinv_passgate;1{ic}|inv_pass@0||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
1388 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[inv_passgate]
1389 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter inverter]
1390 Ngeneric:Invisible-Pin|pin@2||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
1391 Ngeneric:Invisible-Pin|pin@3||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
1392 NWire_Pin|pin@4||10|7||||
1393 Awire|net@0|||0|NMOS@0|s|8|0|invLT@0|out|3|0
1394 Awire|net@1|||0|conn@1|a|16|0|NMOS@0|d|12|0
1395 Awire|net@2|||2700|NMOS@0|g|10|3|pin@4||10|7
1396 Awire|net@3|||1800|conn@0|y|-12|0|invLT@0|in|-2|0
1397 Awire|net@4|||0|pin@4||10|7|conn@2|y|6|7
1398 Een||D4G2;|conn@2|a|I|ATTR_le(D5G1;NY-1;)F0.67
1399 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)F1.33
1400 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;N)D1.33
1401 X
1402
1403 # Cell mullerC;1{ic}
1404 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1405 Ngeneric:Facet-Center|art@0||0|0||||AV
1406 NOpened-Thicker-Polygon|art@1||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1407 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1408 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1409 NPin|pin@0||-1.5|1|1|1||
1410 NPin|pin@1||-2.5|1||||
1411 NPin|pin@2||-0.5|-2|1|1||
1412 NPin|pin@3||-1.5|-2|1|1||
1413 NPin|pin@4||-1.5|2|1|1||
1414 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1415 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1416 NPin|pin@7||-0.5|2|1|1||
1417 NPin|pin@8||-2.5|-1||||
1418 NPin|pin@9||-1.5|-1|1|1||
1419 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1420 NPin|pin@11||-1.5|-0.75|1|1||
1421 NPin|pin@12||-0.25|-2|1|1||
1422 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
1423 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
1424 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
1425 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
1426 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
1427 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
1428 Eina||D5G1;|pin@10||I
1429 Einb||D5G1;|pin@6||I
1430 Eout||D5G1;|pin@5||O
1431 X
1432
1433 # Cell mullerC;1{sch}
1434 CmullerC;1{sch}||schematic|1021415734000|1159375649419||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1435 Ngeneric:Facet-Center|art@0||0|0||||AV
1436 NOff-Page|conn@0||10|0|||Y|
1437 NOff-Page|conn@1||-14.5|2.5||||
1438 NOff-Page|conn@2||-14.5|-2.5||||
1439 IredFive:mullerC;1{ic}|mullerC@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1440 ImullerC;1{ic}|mullerC@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1441 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[mullerC]
1442 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter muller C-element]
1443 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1444 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1445 NWire_Pin|pin@4||-7|-2.5||||
1446 NWire_Pin|pin@5||-7|-1||||
1447 NWire_Pin|pin@6||-7|1||||
1448 NWire_Pin|pin@7||-7|2.5||||
1449 Awire|net@0|||0|mullerC@0|ina|-2.5|-1|pin@5||-7|-1
1450 Awire|net@1|||1800|mullerC@0|out|2.5|0|conn@0|a|8|0
1451 Awire|net@2|||1800|pin@6||-7|1|mullerC@0|inb|-2.5|1
1452 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
1453 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
1454 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
1455 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
1456 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
1457 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1458 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-1;Y-2.5;)I2
1459 X
1460
1461 # Cell mullerC_sy;1{ic}
1462 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1463 Ngeneric:Facet-Center|art@0||0|0||||AV
1464 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1465 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1466 NOpened-Thicker-Polygon|art@3||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1467 NPin|pin@0||-0.25|-2|1|1||
1468 NPin|pin@1||-1.5|-0.75|1|1||
1469 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1470 NPin|pin@3||-1.5|-1|1|1||
1471 NPin|pin@4||-2.5|-1||||
1472 NPin|pin@5||-0.5|2|1|1||
1473 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1474 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1475 NPin|pin@8||-1.5|2|1|1||
1476 NPin|pin@9||-1.5|-2|1|1||
1477 NPin|pin@10||-0.5|-2|1|1||
1478 NPin|pin@11||-2.5|1||||
1479 NPin|pin@12||-1.5|1|1|1||
1480 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1481 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1482 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1483 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1484 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1485 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1486 Eina||D5G1;|pin@2||I
1487 Einb||D5G1;|pin@6||I
1488 Eout||D5G1;|pin@7||O
1489 X
1490
1491 # Cell mullerC_sy;1{sch}
1492 CmullerC_sy;1{sch}||schematic|1021415734000|1159375644961||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1493 Ngeneric:Facet-Center|art@0||0|0||||AV
1494 NOff-Page|conn@0||-14.5|-2.5||||
1495 NOff-Page|conn@1||-14.5|2.5||||
1496 NOff-Page|conn@2||10|0|||Y|
1497 IredFive:mullerC_sy;1{ic}|mullerC_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1498 ImullerC_sy;1{ic}|mullerC_@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1499 NWire_Pin|pin@0||-7|2.5||||
1500 NWire_Pin|pin@1||-7|1||||
1501 NWire_Pin|pin@2||-7|-1||||
1502 NWire_Pin|pin@3||-7|-2.5||||
1503 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1504 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1505 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1506 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[mullerC_sy]
1507 Awire|net@0|||0|mullerC_@0|ina|-2.5|-1|pin@2||-7|-1
1508 Awire|net@1|||1800|mullerC_@0|out|2.5|0|conn@2|a|8|0
1509 Awire|net@2|||1800|pin@1||-7|1|mullerC_@0|inb|-2.5|1
1510 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1511 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1512 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1513 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1514 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I2
1515 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1516 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)I2
1517 X
1518
1519 # Cell mux1;1{ic}
1520 Cmux1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3.75;)I100|ATTR_M(D5G1;HNPX3;Y-2.75;)I1|ATTR_S(D5G1.5;HNOJPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;HNPX2.75;Y-6.5;)I-1|prototype_center()I[0,0]
1521 Ngeneric:Facet-Center|art@0||0|0||||AV
1522 NThick-Circle|art@1||0|2|1|1|||ART_color()I78
1523 NThick-Circle|art@2||1.5|0|1|1|||ART_color()I78
1524 Nschematic:Bus_Pin|pin@0||-2|0|-2|-2||
1525 NPin|pin@1||-1|0|1|1||
1526 NPin|pin@2||-2|0|1|1||
1527 NPin|pin@3||0|3|1|1|RRR|
1528 NPin|pin@4||0|2.5|1|1|RRR|
1529 NPin|pin@5||1|1|1|1||
1530 NPin|pin@6||0|-1.5|1|1|RRR|
1531 NPin|pin@7||0|-3|1|1|RRR|
1532 Nschematic:Bus_Pin|pin@8||0|-3|-2|-2||
1533 NPin|pin@9||1|-1|1|1||
1534 Nschematic:Bus_Pin|pin@10||0|3|-2|-2||
1535 Nschematic:Bus_Pin|pin@11||2|0|-2|-2||
1536 NPin|pin@12||-1|2|1|1||
1537 NPin|pin@13||-1|-2|1|1||
1538 AThicker|net@0|||FS0|pin@1||-1|0|pin@2||-2|0|ART_color()I78
1539 AThicker|net@1|||FS2700|pin@4||0|2.5|pin@3||0|3|ART_color()I78
1540 AThicker|net@2|||FS2700|pin@9||1|-1|pin@5||1|1|ART_color()I78
1541 AThicker|net@3|||FS3334|pin@5||1|1|pin@12||-1|2|ART_color()I78
1542 AThicker|net@4|||FS2700|pin@7||0|-3|pin@6||0|-1.5|ART_color()I78
1543 AThicker|net@5|||FS266|pin@9||1|-1|pin@13||-1|-2|ART_color()I78
1544 AThicker|net@6|||FS2700|pin@13||-1|-2|pin@12||-1|2|ART_color()I78
1545 Ec[n]||D5G1;|pin@8||I
1546 Ec[p]||D5G1;|pin@10||I
1547 Ein||D5G1;|pin@0||I
1548 Eout||D5G1;|pin@11||O
1549 X
1550
1551 # Cell mux1;1{sch}
1552 Cmux1;1{sch}||schematic|1021415734000|1159378523994||ATTR_Delay(D5G1;HNPX-15;Y-3.5;)I100|ATTR_M(D5G1;HNPX-15;Y-2.5;)I1|ATTR_S(D5G1;HNOJPX-15;Y-1.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-15;Y-4.5;)I-1|prototype_center()I[0,0]
1553 Ngeneric:Facet-Center|art@0||0|0||||AV
1554 NOff-Page|conn@0||-10.5|1|||Y|
1555 NOff-Page|conn@1||9.5|-3|||YRR|
1556 NOff-Page|conn@2||9.5|5|||YRR|
1557 NOff-Page|conn@3||16.5|1||||
1558 Imux1;1{ic}|mux1@0||10|16.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3.75;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)I1|ATTR_su(D5G1;NPX2.75;Y-6.5;)I-1
1559 Inms2;1{ic}|nms2@0||2|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
1560 NWire_Pin|pin@0||-6|1||||
1561 NWire_Pin|pin@1||-6|9||||
1562 NWire_Pin|pin@2||-6|-7||||
1563 Ngeneric:Invisible-Pin|pin@3||-6.5|16|||||ART_message(D5G2;)S[single multiplexer point]
1564 Ngeneric:Invisible-Pin|pin@4||-6.5|21|||||ART_message(D5G6;)S[mux1]
1565 NWire_Pin|pin@5||2|1||||
1566 Ipms2;1{ic}|pms2@0||2|9|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX2;)S@M
1567 Awire|net@0|||0|pin@0||-6|1|conn@0|y|-8.5|1
1568 Awire|net@1|||900|pin@1||-6|9|pin@0||-6|1
1569 Awire|net@2|||900|pin@0||-6|1|pin@2||-6|-7
1570 Awire|net@3|||0|pms2@0|g|-1|9|pin@1||-6|9
1571 Awire|net@4|||1800|pin@2||-6|-7|nms2@0|g|-1|-7
1572 Awire|net@5|||1800|nms2@0|g2|5|-3|conn@1|y|7.5|-3
1573 Awire|net@6|||1800|pms2@0|g2|5|5|conn@2|y|7.5|5
1574 Awire|net@7|||900|pms2@0|d|2|3|pin@5||2|1
1575 Awire|net@8|||2700|nms2@0|d|2|-1|pin@5||2|1
1576 Awire|net@9|||0|conn@3|a|14.5|1|pin@5||2|1
1577 Ec[n]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.667
1578 Ec[p]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.333
1579 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1580 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY-2;)I2
1581 X
1582
1583 # Cell mux21_tri;1{ic}
1584 Cmux21_tri;1{ic}||artwork|1092163151000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
1585 Ngeneric:Facet-Center|art@0||0|0||||AV
1586 NThick-Circle|art@3||2.5|0|1|1|||ART_color()I78
1587 Nschematic:Bus_Pin|pin@0||-2|2||||
1588 Nschematic:Bus_Pin|pin@2||-2|-2||||
1589 Nschematic:Bus_Pin|pin@4||3|0||||
1590 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1591 Ngeneric:Invisible-Pin|pin@14||0|2|||||ART_message(D5G1;)S0
1592 Ngeneric:Invisible-Pin|pin@15||0|-2|||||ART_message(D5G1;)S1
1593 NPin|pin@28||-1|-4|1|1||
1594 NPin|pin@29||-1|4|1|1||
1595 NPin|pin@30||2|-2.5|1|1||
1596 NPin|pin@31||2|2.5|1|1||
1597 NPin|pin@32||-1|-4|1|1||
1598 NPin|pin@33||2|-2.5|1|1||
1599 NPin|pin@34||2|2.5|1|1||
1600 NPin|pin@35||-1|4|1|1||
1601 NPin|pin@36||-1|2|1|1||
1602 NPin|pin@37||-2|2||||
1603 NPin|pin@38||-1|-2|1|1||
1604 NPin|pin@39||-2|-2||||
1605 NPin|pin@40||0.5|4.5|1|1||
1606 NPin|pin@41||0.5|3.25||||
1607 AThicker|net@11|||FS2700|pin@28||-1|-4|pin@29||-1|4|ART_color()I78
1608 AThicker|net@12|||FS2700|pin@30||2|-2.5|pin@31||2|2.5|ART_color()I78
1609 AThicker|net@13|||FS2066|pin@32||-1|-4|pin@33||2|-2.5|ART_color()I78
1610 AThicker|net@14|||FS3334|pin@34||2|2.5|pin@35||-1|4|ART_color()I78
1611 AThicker|net@15|||FS0|pin@36||-1|2|pin@37||-2|2|ART_color()I78
1612 AThicker|net@16|||FS0|pin@38||-1|-2|pin@39||-2|-2|ART_color()I78
1613 AThicker|net@17|||FS900|pin@40||0.5|4.5|pin@41||0.5|3.25|ART_color()I78
1614 Ein0||D5G2;|pin@0||I
1615 Ein1||D5G2;|pin@2||I
1616 Eout||D5G2;|pin@4||O
1617 Esel||D5G2;|pin@6||I
1618 X
1619
1620 # Cell mux21_tri;1{sch}
1621 Cmux21_tri;1{sch}||schematic|1092161401000|1159376025241||ATTR_Delay(D5G1;HNPX-5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-5;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-5;Y-9;)Sstrong0|ATTR_drive1(D5G1;HNPTX-5;Y-10;)Sstrong1|ATTR_su(D5G1;HNPTX-5;Y-11;)I-1|prototype_center()I[0,0]
1622 Ngeneric:Facet-Center|art@0||0|0||||AV
1623 NOff-Page|conn@0||-12|2||||
1624 NOff-Page|conn@1||-12|-2||||
1625 NOff-Page|conn@2||14|0||||
1626 NOff-Page|conn@3||-12|7||||
1627 IredFive:mux21_tri;1{ic}|mux21_tr@0||0|0|||D5G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-4.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
1628 Imux21_tri;1{ic}|mux21_tr@1||19|16|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1629 NWire_Pin|pin@0||0.5|7||||
1630 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G5;)Smux21_tri
1631 Ngeneric:Invisible-Pin|pin@2||0|11|||||ART_message(D5G2;)Sa slow one-parameter 2:1 mux made of tristates
1632 Awire|net@0|||1800|conn@3|y|-10|7|pin@0||0.5|7
1633 Awire|net@1|||900|pin@0||0.5|7|mux21_tr@0|sel|0.5|4.5
1634 Awire|net@2|||1800|conn@0|y|-10|2|mux21_tr@0|in0|-2|2
1635 Awire|net@3|||1800|conn@1|y|-10|-2|mux21_tr@0|in1|-2|-2
1636 Awire|net@4|||1800|mux21_tr@0|out|3|0|conn@2|a|12|0
1637 Ein0||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1638 Ein1||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I2
1639 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I4
1640 Esel||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F2.5
1641 X
1642
1643 # Cell nand2;1{ic}
1644 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1645 Ngeneric:Facet-Center|art@0||0|0||||AV
1646 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1647 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1648 NPin|pin@0||-0.25|-2|1|1||
1649 NPin|pin@1||-1.5|-0.75|1|1||
1650 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1651 NPin|pin@3||-1.5|-1|1|1||
1652 NPin|pin@4||-2.5|-1||||
1653 NPin|pin@5||-0.5|2|1|1||
1654 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1655 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1656 NPin|pin@8||-1.5|2|1|1||
1657 NPin|pin@9||-1.5|-2|1|1||
1658 NPin|pin@10||-0.5|-2|1|1||
1659 NPin|pin@11||-2.5|1||||
1660 NPin|pin@12||-1.5|1|1|1||
1661 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1662 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1663 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1664 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1665 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1666 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1667 Eina||D5G1;|pin@2||I
1668 Einb||D5G1;|pin@6||I
1669 Eout||D5G1;|pin@7||O
1670 X
1671
1672 # Cell nand2;1{sch}
1673 Cnand2;1{sch}||schematic|1021415734000|1159375675453||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1674 Ngeneric:Facet-Center|art@0||0|0||||AV
1675 NOff-Page|conn@0||-14.5|-2.5||||
1676 NOff-Page|conn@1||-14.5|2.5||||
1677 NOff-Page|conn@2||10|0|||Y|
1678 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1679 Inand2;1{ic}|nand2@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1680 NWire_Pin|pin@0||-7|2.5||||
1681 NWire_Pin|pin@1||-7|1||||
1682 NWire_Pin|pin@2||-7|-1||||
1683 NWire_Pin|pin@3||-7|-2.5||||
1684 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1685 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
1686 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
1687 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[nand2]
1688 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@2||-7|-1
1689 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@2|a|8|0
1690 Awire|net@2|||1800|pin@1||-7|1|nand2@0|inb|-2.5|1
1691 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1692 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1693 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1694 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1695 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1696 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
1697 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)I2
1698 X
1699
1700 # Cell nand2HLT_sy;1{ic}
1701 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1702 Ngeneric:Facet-Center|art@0||0|0||||AV
1703 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1704 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1705 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1706 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1707 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1708 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
1709 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1710 NPin|pin@3||-1.5|1|1|1||
1711 NPin|pin@4||-2.5|1||||
1712 NPin|pin@5||-0.5|-2|1|1||
1713 NPin|pin@6||-1.5|-2|1|1||
1714 NPin|pin@7||-1.5|2|1|1||
1715 NPin|pin@8||-0.5|2|1|1||
1716 NPin|pin@9||-2.5|-1||||
1717 NPin|pin@10||-1.5|-1|1|1||
1718 AThicker|net@0|||FS0|pin@5||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1719 AThicker|net@1|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
1720 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
1721 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@7||-1.5|2|ART_color()I78
1722 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
1723 Eina||D5G1;|pin@2||I
1724 Einb||D5G1;|pin@1||I
1725 Eout||D5G1;|pin@0||O
1726 X
1727
1728 # Cell nand2HLT_sy;1{sch}
1729 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1159375725680||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1730 Ngeneric:Facet-Center|art@0||0|0||||AV
1731 NOff-Page|conn@0||-15|-2.5|||Y|
1732 NOff-Page|conn@1||9|0|||Y|
1733 NOff-Page|conn@2||-14.75|2.5||||
1734 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1735 Inand2HLT_sy;1{ic}|nand2HLT@1||25.75|17.25|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1736 Ngeneric:Invisible-Pin|pin@0||-2|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1737 Ngeneric:Invisible-Pin|pin@1||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1738 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1739 Ngeneric:Invisible-Pin|pin@3||17|-11.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1740 Ngeneric:Invisible-Pin|pin@4||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1741 NWire_Pin|pin@5||-7.5|-2.5||||
1742 NWire_Pin|pin@6||-7.5|-1||||
1743 NWire_Pin|pin@7||-7.5|2.5||||
1744 NWire_Pin|pin@8||-7.5|1||||
1745 Awire|net@0|||0|nand2HLT@0|ina|-2.5|-1|pin@6||-7.5|-1
1746 Awire|net@1|||1800|nand2HLT@0|out|2.5|0|conn@1|a|7|0
1747 Awire|net@2|||0|nand2HLT@0|inb|-2.5|1|pin@8||-7.5|1
1748 Awire|net@3|||0|pin@7||-7.5|2.5|conn@2|y|-12.75|2.5
1749 Awire|net@4|||1800|conn@0|y|-13|-2.5|pin@5||-7.5|-2.5
1750 Awire|net@5|||900|pin@6||-7.5|-1|pin@5||-7.5|-2.5
1751 Awire|net@6|||2700|pin@8||-7.5|1|pin@7||-7.5|2.5
1752 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.166
1753 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.166
1754 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.67
1755 X
1756
1757 # Cell nand2HT;1{ic}
1758 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1759 Ngeneric:Facet-Center|art@0||0|0||||AV
1760 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
1761 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1762 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1763 NPin|pin@0||-1.5|1|1|1||
1764 NPin|pin@1||-2.5|1||||
1765 NPin|pin@2||-0.5|-2|1|1||
1766 NPin|pin@3||-1.5|-2|1|1||
1767 NPin|pin@4||-1.5|2|1|1||
1768 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1769 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1770 NPin|pin@7||-0.5|2|1|1||
1771 NPin|pin@8||-2.5|-1||||
1772 NPin|pin@9||-1.5|-1|1|1||
1773 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1774 NPin|pin@11||-1.5|-0.75|1|1||
1775 NPin|pin@12||-0.25|-2|1|1||
1776 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
1777 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
1778 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
1779 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
1780 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
1781 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
1782 Eina||D5G1;|pin@10||I
1783 Einb||D5G1;|pin@6||I
1784 Eout||D5G1;|pin@5||O
1785 X
1786
1787 # Cell nand2HT;1{sch}
1788 Cnand2HT;1{sch}||schematic|1021415734000|1159375717292||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-21;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
1789 Ngeneric:Facet-Center|art@0||0|0||||AV
1790 NOff-Page|conn@0||13|0|||Y|
1791 NOff-Page|conn@1||-12|3||||
1792 NOff-Page|conn@2||-12|-3||||
1793 IredFive:nand2HT;1{ic}|nand2HT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1794 Inand2HT;1{ic}|nand2HT@1||25.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1795 NWire_Pin|pin@0||-6|3||||
1796 NWire_Pin|pin@1||-6|1||||
1797 NWire_Pin|pin@2||-6|-1||||
1798 NWire_Pin|pin@3||-6|-3||||
1799 Ngeneric:Invisible-Pin|pin@4||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1800 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1801 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1802 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1803 Awire|net@0|||0|nand2HT@0|ina|-2.5|-1|pin@2||-6|-1
1804 Awire|net@1|||1800|nand2HT@0|out|2.5|0|conn@0|a|11|0
1805 Awire|net@2|||1800|pin@1||-6|1|nand2HT@0|inb|-2.5|1
1806 Awire|net@3|||1800|conn@1|y|-10|3|pin@0||-6|3
1807 Awire|net@4|||900|pin@0||-6|3|pin@1||-6|1
1808 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-3
1809 Awire|net@6|||0|pin@3||-6|-3|conn@2|y|-10|-3
1810 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
1811 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1812 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F3.33
1813 X
1814
1815 # Cell nand2HTen;1{ic}
1816 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1817 Ngeneric:Facet-Center|art@0||0|0||||AV
1818 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1819 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1820 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1821 NPin|pin@0||-0.25|-2|1|1||
1822 NPin|pin@1||-1.5|-0.75|1|1||
1823 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1824 NPin|pin@3||-1.5|-1|1|1||
1825 NPin|pin@4||-2.5|-1||||
1826 NPin|pin@5||-0.5|2|1|1||
1827 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1828 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1829 NPin|pin@8||-1.5|2|1|1||
1830 NPin|pin@9||-1.5|-2|1|1||
1831 NPin|pin@10||-0.5|-2|1|1||
1832 NPin|pin@11||-2.5|1||||
1833 NPin|pin@12||-1.5|1|1|1||
1834 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1835 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1836 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1837 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1838 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1839 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1840 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1841 Eina||D5G1;|pin@2||I
1842 Einb||D5G1;|pin@6||I
1843 Eout||D5G1;|pin@7||O
1844 X
1845
1846 # Cell nand2HTen;1{sch}
1847 Cnand2HTen;1{sch}||schematic|1021415734000|1159375721547||ATTR_Delay(D5G1;HNPX-16.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1848 Ngeneric:Facet-Center|art@0||0|0||||AV
1849 NOff-Page|conn@0||-14.5|-2.5||||
1850 NOff-Page|conn@1||-14.5|2.5||||
1851 NOff-Page|conn@2||15|0||||
1852 IredFive:nand2HTen;1{ic}|nand2HTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1853 Inand2HTen;1{ic}|nand2HTe@1||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1854 NWire_Pin|pin@0||-6|2.5||||
1855 NWire_Pin|pin@1||-6|1||||
1856 NWire_Pin|pin@2||-6|-1||||
1857 NWire_Pin|pin@3||-6|-2.5||||
1858 Ngeneric:Invisible-Pin|pin@4||28.5|-11|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1859 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2 (4/10 for enable)]
1860 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
1861 Ngeneric:Invisible-Pin|pin@7||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1862 Awire|net@0|||0|nand2HTe@0|ina|-2.5|-1|pin@2||-6|-1
1863 Awire|net@1|||1800|nand2HTe@0|out|2.5|0|conn@2|a|13|0
1864 Awire|net@2|||1800|pin@1||-6|1|nand2HTe@0|inb|-2.5|1
1865 Awire|net@3|||1800|conn@1|y|-12.5|2.5|pin@0||-6|2.5
1866 Awire|net@4|||900|pin@0||-6|2.5|pin@1||-6|1
1867 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-2.5
1868 Awire|net@6|||0|pin@3||-6|-2.5|conn@0|y|-12.5|-2.5
1869 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.8
1870 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1871 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I2
1872 X
1873
1874 # Cell nand2LT;1{ic}
1875 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1876 Ngeneric:Facet-Center|art@0||0|0||||AV
1877 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1878 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1879 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1880 NPin|pin@0||-0.25|-2|1|1||
1881 NPin|pin@1||-1.5|-0.75|1|1||
1882 NPin|pin@2||-1.5|-1|1|1||
1883 NPin|pin@3||-2.5|-1||||
1884 NPin|pin@4||-0.5|2|1|1||
1885 NPin|pin@5||-1.5|2|1|1||
1886 NPin|pin@6||-1.5|-2|1|1||
1887 NPin|pin@7||-0.5|-2|1|1||
1888 NPin|pin@8||-2.5|1||||
1889 NPin|pin@9||-1.5|1|1|1||
1890 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1891 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
1892 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
1893 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
1894 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1895 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
1896 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1897 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
1898 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1899 Eina||D5G1;|pin@12||I
1900 Einb||D5G1;|pin@11||I
1901 Eout||D5G1;|pin@10||O
1902 X
1903
1904 # Cell nand2LT;1{sch}
1905 Cnand2LT;1{sch}||schematic|1021415734000|1159375693945||ATTR_Delay(D5G1;HNPX-17;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y-10.5;)I-1|prototype_center()I[0,0]
1906 Ngeneric:Facet-Center|art@0||0|0||||AV
1907 NOff-Page|conn@0||-14|-2.5||||
1908 NOff-Page|conn@1||-14|2.5||||
1909 NOff-Page|conn@2||14|0||||
1910 IredFive:nand2LT;1{ic}|nand2LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1911 Inand2LT;1{ic}|nand2LT@1||25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1912 NWire_Pin|pin@0||-6.5|-2.5||||
1913 NWire_Pin|pin@1||-6.5|-1||||
1914 NWire_Pin|pin@2||-6.5|1||||
1915 NWire_Pin|pin@3||-6.5|2.5||||
1916 Ngeneric:Invisible-Pin|pin@4||-1|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1917 Ngeneric:Invisible-Pin|pin@5||22|-13|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1918 Ngeneric:Invisible-Pin|pin@6||-1.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1919 Ngeneric:Invisible-Pin|pin@7||-2|25|||||ART_message(D5G6;)S[nand2LT]
1920 Ngeneric:Invisible-Pin|pin@8||-2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1921 Awire|net@0|||1800|pin@1||-6.5|-1|nand2LT@0|ina|-2.5|-1
1922 Awire|net@1|||1800|nand2LT@0|out|2.5|0|conn@2|a|12|0
1923 Awire|net@2|||0|nand2LT@0|inb|-2.5|1|pin@2||-6.5|1
1924 Awire|net@3|||900|pin@1||-6.5|-1|pin@0||-6.5|-2.5
1925 Awire|net@4|||0|pin@0||-6.5|-2.5|conn@0|y|-12|-2.5
1926 Awire|net@5|||2700|pin@2||-6.5|1|pin@3||-6.5|2.5
1927 Awire|net@6|||0|pin@3||-6.5|2.5|conn@1|y|-12|2.5
1928 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
1929 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I1
1930 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
1931 X
1932
1933 # Cell nand2LT_sy;1{ic}
1934 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1935 Ngeneric:Facet-Center|art@0||0|0||||AV
1936 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1937 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1938 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1939 NPin|pin@0||-1.5|-1|1|1||
1940 NPin|pin@1||-2.5|-1||||
1941 NPin|pin@2||-0.5|2|1|1||
1942 NPin|pin@3||-1.5|2|1|1||
1943 NPin|pin@4||-1.5|-2|1|1||
1944 NPin|pin@5||-0.5|-2|1|1||
1945 NPin|pin@6||-2.5|1||||
1946 NPin|pin@7||-1.5|1|1|1||
1947 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
1948 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
1949 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1950 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
1951 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
1952 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1953 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
1954 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
1955 Eina||D5G1;|pin@8||I
1956 Einb||D5G1;|pin@9||I
1957 Eout||D5G1;|pin@10||O
1958 X
1959
1960 # Cell nand2LT_sy;1{sch}
1961 Cnand2LT_sy;1{sch}||schematic|1021415734000|1159375698504||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1962 Ngeneric:Facet-Center|art@0||0|0||||AV
1963 NOff-Page|conn@0||-17.25|2.5||||
1964 NOff-Page|conn@1||16.5|0|||Y|
1965 NOff-Page|conn@2||-17.5|-2.5|||Y|
1966 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1967 Inand2LT_sy;1{ic}|nand2LT_@1||33.25|20.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1968 NWire_Pin|pin@12||-7.5|1||||
1969 NWire_Pin|pin@13||-7.5|2.5||||
1970 NWire_Pin|pin@14||-7.5|-1||||
1971 NWire_Pin|pin@15||-7.5|-2.5||||
1972 Ngeneric:Invisible-Pin|pin@26||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1973 Ngeneric:Invisible-Pin|pin@27||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1974 Ngeneric:Invisible-Pin|pin@28||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1975 Ngeneric:Invisible-Pin|pin@29||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1976 Ngeneric:Invisible-Pin|pin@30||-2|25|||||ART_message(D5G6;)S[nand2LT_sy]
1977 Awire|net@0|||0|nand2LT_@0|ina|-2.5|-1|pin@14||-7.5|-1
1978 Awire|net@2|||0|nand2LT_@0|inb|-2.5|1|pin@12||-7.5|1
1979 Awire|net@27|||2700|pin@12||-7.5|1|pin@13||-7.5|2.5
1980 Awire|net@28|||900|pin@14||-7.5|-1|pin@15||-7.5|-2.5
1981 Awire|net@38|||0|conn@1|a|14.5|0|nand2LT_@0|out|2.5|0
1982 Awire|net@43|||0|pin@13||-7.5|2.5|conn@0|y|-15.25|2.5
1983 Awire|net@44|||1800|conn@2|y|-15.5|-2.5|pin@15||-7.5|-2.5
1984 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)I1
1985 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
1986 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.33
1987 X
1988
1989 # Cell nand2LTen;1{ic}
1990 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1991 Ngeneric:Facet-Center|art@0||0|0||||AV
1992 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1993 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1994 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1995 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1996 NPin|pin@1||-0.25|-2|1|1||
1997 NPin|pin@2||-1.5|-0.75|1|1||
1998 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
1999 NPin|pin@4||-1.5|-1|1|1||
2000 NPin|pin@5||-2.5|-1||||
2001 NPin|pin@6||-0.5|2|1|1||
2002 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2003 NPin|pin@8||-1.5|2|1|1||
2004 NPin|pin@9||-1.5|-2|1|1||
2005 NPin|pin@10||-0.5|-2|1|1||
2006 NPin|pin@11||-2.5|1||||
2007 NPin|pin@12||-1.5|1|1|1||
2008 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2009 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I78
2010 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I78
2011 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@8||-1.5|2|ART_color()I78
2012 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
2013 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
2014 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
2015 Eina||D5G1;|pin@3||I
2016 Einb||D5G1;|pin@7||I
2017 Eout||D5G1;|pin@0||O
2018 X
2019
2020 # Cell nand2LTen;1{sch}
2021 Cnand2LTen;1{sch}||schematic|1021415734000|1159375713300||ATTR_Delay(D5G1;HNPX-16.5;Y-9;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-10;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-11;)I-1|prototype_center()I[0,0]
2022 Ngeneric:Facet-Center|art@0||0|0||||AV
2023 NOff-Page|conn@0||-14|-3||||
2024 NOff-Page|conn@1||-14|3||||
2025 NOff-Page|conn@2||12.5|0||||
2026 IredFive:nand2LTen;1{ic}|nand2LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2027 Inand2LTen;1{ic}|nand2LTe@1||30.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2028 NWire_Pin|pin@0||-6.5|3||||
2029 NWire_Pin|pin@1||-6.5|1||||
2030 NWire_Pin|pin@2||-6.5|-1||||
2031 NWire_Pin|pin@3||-6.5|-3||||
2032 Ngeneric:Invisible-Pin|pin@4||31|-10|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
2033 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
2034 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2035 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[nand2LTen]
2036 Awire|net@0|||0|nand2LTe@0|ina|-2.5|-1|pin@2||-6.5|-1
2037 Awire|net@1|||1800|nand2LTe@0|out|2.5|0|conn@2|a|10.5|0
2038 Awire|net@2|||1800|pin@1||-6.5|1|nand2LTe@0|inb|-2.5|1
2039 Awire|net@3|||1800|conn@1|y|-12|3|pin@0||-6.5|3
2040 Awire|net@4|||900|pin@0||-6.5|3|pin@1||-6.5|1
2041 Awire|net@5|||900|pin@2||-6.5|-1|pin@3||-6.5|-3
2042 Awire|net@6|||0|pin@3||-6.5|-3|conn@0|y|-12|-3
2043 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.7
2044 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I1
2045 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-1;Y2;)F1.033
2046 X
2047
2048 # Cell nand2PH;1{ic}
2049 Cnand2PH;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2050 Ngeneric:Facet-Center|art@0||0|0||||AV
2051 NThick-Circle|art@1||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
2052 NThick-Circle|art@2||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2053 NThick-Circle|art@3||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2054 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
2055 NThick-Circle|art@5||-2|1|1|1|||ART_color()I78
2056 NOpened-Thicker-Polygon|art@6||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
2057 NOpened-Thicker-Polygon|art@7||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
2058 NPin|pin@0||1.5|0|1|1|R|
2059 NPin|pin@1||1|0|||R|
2060 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2061 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
2062 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
2063 NPin|pin@5||-0.5|2.5||||
2064 NPin|pin@6||-0.5|1.62|1|1||
2065 Ngeneric:Invisible-Pin|pin@7||-0.5|2.5||||
2066 AThicker|net@0|||FS0|pin@0||1.5|0|pin@1||1|0|ART_color()I78
2067 AThicker|net@1|||FS2700|pin@6||-0.5|1.62|pin@5||-0.5|2.5|ART_color()I78
2068 Eina||D5G1;|pin@2||I
2069 Einb||D5G1;|pin@3||I
2070 Eout||D5G1;|pin@4||O
2071 EresetN||D5G2;|pin@7||I
2072 X
2073
2074 # Cell nand2PH;1{sch}
2075 Cnand2PH;1{sch}||schematic|1021415734000|1159375653663||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
2076 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||1|-10|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2077 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||6|-3|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
2078 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@1||-5|-3|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
2079 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2080 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2081 Ngeneric:Facet-Center|art@0||0|0||||AV
2082 NOff-Page|conn@0||14.5|6|||YRR|
2083 NOff-Page|conn@1||19.5|1|||Y|
2084 NOff-Page|conn@2||-13.5|6||||
2085 NOff-Page|conn@3||-15|-10||||
2086 NGround|gnd@0||1|-16||||
2087 NGround|gnd@1||-5|-7||||
2088 NGround|gnd@2||6|-7||||
2089 Inand2PH;1{ic}|nand2PH@0||26.75|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2090 Ngeneric:Invisible-Pin|pin@0||-2|16|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses)]
2091 Ngeneric:Invisible-Pin|pin@1||-2|23|||||ART_message(D5G6;)S[nand2PH]
2092 Ngeneric:Invisible-Pin|pin@2||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2093 NWire_Pin|pin@3||6|1||||
2094 NWire_Pin|pin@4||-5|1||||
2095 NWire_Pin|pin@5||1|1||||
2096 NWire_Pin|pin@6||11|-3||||
2097 NWire_Pin|pin@7||11|6||||
2098 NWire_Pin|pin@8||-10|-3||||
2099 NWire_Pin|pin@9||-10|6||||
2100 NPower|pwr@0||-5|11||||
2101 NPower|pwr@1||6|11||||
2102 Awire|net@0|||2700|NMOSwk@0|s|6|-5|gnd@2||6|-5
2103 Awire|net@1|||900|pin@3||6|1|NMOSwk@0|d|6|-1
2104 Awire|net@2|||0|pin@6||11|-3|NMOSwk@0|g|9|-3
2105 Awire|net@3|||2700|NMOSwk@1|s|-5|-5|gnd@1||-5|-5
2106 Awire|net@4|||900|pin@4||-5|1|NMOSwk@1|d|-5|-1
2107 Awire|net@5|||1800|pin@8||-10|-3|NMOSwk@1|g|-8|-3
2108 Awire|net@6|||900|NMOS@0|s|1|-12|gnd@0||1|-14
2109 Awire|net@7|||900|pin@5||1|1|NMOS@0|d|1|-8
2110 Awire|net@8|||0|NMOS@0|g|-2|-10|conn@3|y|-13|-10
2111 Awire|net@9|||2700|PMOS@0|s|6|8|pwr@1||6|11
2112 Awire|net@10|||1800|PMOS@0|g|9|6|pin@7||11|6
2113 Awire|net@11|||2700|pin@3||6|1|PMOS@0|d|6|4
2114 Awire|net@12|||2700|PMOS@1|s|-5|8|pwr@0||-5|11
2115 Awire|net@13|||0|PMOS@1|g|-8|6|pin@9||-10|6
2116 Awire|net@14|||900|PMOS@1|d|-5|4|pin@4||-5|1
2117 Awire|net@15|||1800|pin@3||6|1|conn@1|a|17.5|1
2118 Awire|net@16|||1800|pin@5||1|1|pin@3||6|1
2119 Awire|net@17|||1800|pin@4||-5|1|pin@5||1|1
2120 Awire|net@18|||1800|pin@7||11|6|conn@0|y|12.5|6
2121 Awire|net@19|||900|pin@7||11|6|pin@6||11|-3
2122 Awire|net@20|||0|pin@9||-10|6|conn@2|y|-11.5|6
2123 Awire|net@21|||900|pin@9||-10|6|pin@8||-10|-3
2124 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
2125 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.667
2126 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-1.5;)F1.67
2127 EresetN||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
2128 X
2129
2130 # Cell nand2PHfk;1{ic}
2131 Cnand2PHfk;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2132 Ngeneric:Facet-Center|art@0||0|0||||AV
2133 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
2134 NOpened-Thicker-Polygon|art@2||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
2135 NThick-Circle|art@3||-2|1|1|1|||ART_color()I78
2136 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
2137 NThick-Circle|art@5||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2138 NThick-Circle|art@6||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2139 NThick-Circle|art@7||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
2140 Ngeneric:Invisible-Pin|pin@0||1|0.5|||||ART_message(D5G1.5;)S[keep]
2141 Ngeneric:Invisible-Pin|pin@1||-0.5|2.5||||
2142 NPin|pin@2||-0.5|1.62|1|1||
2143 NPin|pin@3||-0.5|2.5||||
2144 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
2145 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
2146 Nschematic:Bus_Pin|pin@6||-2.5|-1|-2|-2||
2147 NPin|pin@7||1|0|||R|
2148 NPin|pin@8||1.5|0|1|1|R|
2149 AThicker|net@0|||FS2700|pin@2||-0.5|1.62|pin@3||-0.5|2.5|ART_color()I78
2150 AThicker|net@1|||FS0|pin@8||1.5|0|pin@7||1|0|ART_color()I78
2151 Eina||D5G1;|pin@6||I
2152 Einb||D5G1;|pin@5||I
2153 Eout||D5G1;|pin@4||O
2154 EresetN||D5G2;|pin@1||I
2155 X
2156
2157 # Cell nand2PHfk;1{sch}
2158 Cnand2PHfk;1{sch}||schematic|1021415734000|1159378861202||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
2159 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||1|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2160 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|6|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2161 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2162 Ngeneric:Facet-Center|art@0||0|0||||AV
2163 NOff-Page|conn@0||-15|-10||||
2164 NOff-Page|conn@1||-13.5|6||||
2165 NOff-Page|conn@2||25|1|||Y|
2166 NOff-Page|conn@3||14.5|6|||YRR|
2167 NGround|gnd@0||1|-16||||
2168 IredFive:inv;1{ic}|inv@0||15.5|-4|RRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2169 IredFive:invK;1{ic}|invK@0||10|-4|YRRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/10.|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2170 Inand2PHfk;1{ic}|nand2PHf@0||27|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2171 Ngeneric:Invisible-Pin|pin@0||24.5|-6|||||SIM_spice_card(D6G1;)S[.ic v(out) 0]
2172 NWire_Pin|pin@1||10|1||||
2173 NWire_Pin|pin@2||15.5|-9||||
2174 NWire_Pin|pin@3||10|-9||||
2175 NWire_Pin|pin@4||15.5|1||||
2176 NWire_Pin|pin@5||1|1||||
2177 NWire_Pin|pin@6||-5|1||||
2178 NWire_Pin|pin@7||6|1||||
2179 Ngeneric:Invisible-Pin|pin@8||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2180 Ngeneric:Invisible-Pin|pin@9||0|26|||||ART_message(D5G6;)S[nand2PHfk]
2181 Ngeneric:Invisible-Pin|pin@10||0|19|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses),this version has a full keeper on the output]
2182 NPower|pwr@0||6|11||||
2183 NPower|pwr@1||-5|11||||
2184 Awire|net@0|||2700|PMOS@0|s|-5|8|pwr@1||-5|11
2185 Awire|net@1|||1800|conn@1|y|-11.5|6|PMOS@0|g|-8|6
2186 Awire|net@2|||900|PMOS@0|d|-5|4|pin@6||-5|1
2187 Awire|net@3|||2700|PMOS@1|s|6|8|pwr@0||6|11
2188 Awire|net@4|||0|conn@3|y|12.5|6|PMOS@1|g|9|6
2189 Awire|net@5|||2700|pin@7||6|1|PMOS@1|d|6|4
2190 Awire|net@6|||900|NMOS@0|s|1|-12|gnd@0||1|-14
2191 Awire|net@7|||900|pin@5||1|1|NMOS@0|d|1|-8
2192 Awire|net@8|||0|NMOS@0|g|-2|-10|conn@0|y|-13|-10
2193 Awire|net@9|||900|pin@4||15.5|1|inv@0|in|15.5|-1.5
2194 Awire|net@10|||900|inv@0|out|15.5|-6.5|pin@2||15.5|-9
2195 Awire|net@11|||2700|pin@3||10|-9|invK@0|in|10|-6.5
2196 Awire|net@12|||900|pin@1||10|1|invK@0|out|10|-1.5
2197 Awire|net@13|||1800|pin@7||6|1|pin@1||10|1
2198 Awire|net@14|||1800|pin@1||10|1|pin@4||15.5|1
2199 Awire|net@15|||0|pin@2||15.5|-9|pin@3||10|-9
2200 Awire|net@16|||1800|pin@4||15.5|1|conn@2|a|23|1
2201 Awire|net@17|||1800|pin@6||-5|1|pin@5||1|1
2202 Awire|net@18|||1800|pin@5||1|1|pin@7||6|1
2203 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
2204 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F0.667
2205 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.67
2206 EresetN||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
2207 X
2208
2209 # Cell nand2Schmitt;1{ic}
2210 Cnand2Schmitt;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HNPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;HNPX2.5;Y-2;)I1|ATTR_S(D5G1.5;HNOJPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;HNPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;HNPX-30.75;Y-20;)I-1|prototype_center()I[6000,0]
2211 Ngeneric:Facet-Center|art@0||0|0||||AV
2212 NThick-Circle|art@1||0|-0.25|0.5|1|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2213 NThick-Circle|art@2||0|0.25|0.5|1|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2214 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2215 NThick-Circle|art@4||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2216 NPin|pin@0||0|-0.5|1|1||
2217 NPin|pin@1||-0.5|-0.5||||
2218 NPin|pin@2||0|0.5||||
2219 NPin|pin@3||0.25|0.5|1|1||
2220 NPin|pin@4||2.5|-1.5|1|1||
2221 NPin|pin@5||0.75|-1.5||||
2222 Ngeneric:Invisible-Pin|pin@6||2.5|-1.5||||
2223 NPin|pin@7||-1.5|1|1|1||
2224 NPin|pin@8||-2.5|1||||
2225 NPin|pin@9||-0.5|-2|1|1||
2226 NPin|pin@10||-1.5|-2|1|1||
2227 NPin|pin@11||-1.5|2|1|1||
2228 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
2229 Nschematic:Bus_Pin|pin@13||-2.5|1|-2|-2||
2230 NPin|pin@14||-0.5|2|1|1||
2231 NPin|pin@15||-2.5|-1||||
2232 NPin|pin@16||-1.5|-1|1|1||
2233 Nschematic:Bus_Pin|pin@17||-2.5|-1|-2|-2||
2234 NPin|pin@18||-1.5|-0.75|1|1||
2235 NPin|pin@19||-0.25|-2|1|1||
2236 AThicker|net@0|||FS0|pin@0||0|-0.5|pin@1||-0.5|-0.5|ART_color()I78
2237 AThicker|net@1|||FS0|pin@3||0.25|0.5|pin@2||0|0.5|ART_color()I78
2238 AThicker|net@2|||FS0|pin@4||2.5|-1.5|pin@5||0.75|-1.5|ART_color()I78
2239 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@8||-2.5|1|ART_color()I78
2240 AThicker|net@4|||FS0|pin@9||-0.5|-2|pin@10||-1.5|-2|ART_color()I78
2241 AThicker|net@5|||FS2700|pin@10||-1.5|-2|pin@11||-1.5|2|ART_color()I78
2242 AThicker|net@6|||FS0|pin@14||-0.5|2|pin@11||-1.5|2|ART_color()I78
2243 AThicker|net@7|||FS0|pin@16||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
2244 AThicker|net@8|||FS3150|pin@19||-0.25|-2|pin@18||-1.5|-0.75|ART_color()I78
2245 EinC||D5G1;|pin@6||I
2246 Eina||D5G1;|pin@17||I
2247 Einb||D5G1;|pin@13||I
2248 Eout||D5G1;|pin@12||O
2249 X
2250
2251 # Cell nand2Schmitt;1{sch}
2252 Cnand2Schmitt;1{sch}||schematic|1021415734000|1159378938131||ATTR_Delay(D5G1;HNPX-27.5;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPX-16.5;Y-5;)I1|ATTR_M(D5G1;HNPX-27.5;Y-10.5;)I1|ATTR_S(D5G1;HNOJPX-27.5;Y-14.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-27.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-27.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPX-27.5;Y-9.5;)I-1|ATTR_verilog_template(D5G1;NTX6;Y-16;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2253 Ngeneric:Facet-Center|art@0||0|0||||AV
2254 NOff-Page|conn@0||20|-2.5|||RR|
2255 NOff-Page|conn@1||20|11.5||||
2256 NOff-Page|conn@2||-23.5|9||||
2257 NOff-Page|conn@3||-23.5|3||||
2258 Inand2Schmitt;1{ic}|nand2Sch@0||29|22|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;NPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)I1|ATTR_drive0(D5G1;NPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;NPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;NPX-30.75;Y-20;)I-1
2259 IorangeTSMC090nm:NMOSx;1{ic}|nms0@0||-4|6|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
2260 IorangeTSMC090nm:NMOSx;1{ic}|nms0@1||-9.5|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
2261 Inms1;1{ic}|nms1@0||9.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
2262 Inms1;1{ic}|nms1@1||0.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
2263 Inms1;1{ic}|nms1@2||-11.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
2264 NWire_Pin|pin@0||-7.5|6||||
2265 NWire_Pin|pin@1||-6|6||||
2266 NWire_Pin|pin@2||-7.5|6||||
2267 NWire_Pin|pin@3||-6|6||||
2268 Ngeneric:Invisible-Pin|pin@4||16.5|-8.5|||||ART_message(D6G2;)S[inC should be tied,to out externally]
2269 NWire_Pin|pin@5||14.5|-2.5||||
2270 NWire_Pin|pin@6||14.5|-6.5||||
2271 NWire_Pin|pin@7||14.5|1.5||||
2272 NWire_Pin|pin@8||-2|11.5||||
2273 NWire_Pin|pin@9||-14.5|3||||
2274 NWire_Pin|pin@10||-14.5|15.5||||
2275 NWire_Pin|pin@11||-4.5|-2.5||||
2276 NWire_Pin|pin@12||-4.5|-6.5||||
2277 NWire_Pin|pin@13||-11.5|-2.5||||
2278 NWire_Pin|pin@14||0.5|-2.5||||
2279 NWire_Pin|pin@15||5|-2.5||||
2280 NWire_Pin|pin@16||9.5|-2.5||||
2281 NWire_Pin|pin@17||5|1.5||||
2282 NWire_Pin|pin@18||5|-6.5||||
2283 Ngeneric:Invisible-Pin|pin@19||-1|30.5|||||ART_message(D5G6;)S[nand2Schmitt]
2284 Ngeneric:Invisible-Pin|pin@20||-1|25.5|||||ART_message(D5G2;)S[schmitt trigger with enable on pull-down (inb)]
2285 Ngeneric:Invisible-Pin|pin@21||-1|23|||||ART_message(D5G2;)S["hysteresis on ina, NOTE connect out to inC externally"]
2286 Ipms1;1{ic}|pms1@0||9.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
2287 Ipms1;1{ic}|pms1@1||0.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
2288 Ipms1;1{ic}|pms1@2||-2|15.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
2289 Awire|net@0|||900|pin@3||-6|6|pin@1||-6|6
2290 Awire|net@1|||0|pin@1||-6|6|pin@0||-7.5|6
2291 Awire|net@2|||2700|pin@0||-7.5|6|pin@2||-7.5|6
2292 Awire|net@3|||0|pin@3||-6|6|nms0@0|s|-6|6
2293 Awire|net@4|||2700|nms0@1|d|-7.5|0|pin@2||-7.5|6
2294 Awire|net@5|||1800|pin@8||-2|11.5|conn@1|a|18|11.5
2295 Awire|net@6|||1800|pin@5||14.5|-2.5|conn@0|y|18|-2.5
2296 Awire|net@7|||2700|pin@6||14.5|-6.5|pin@5||14.5|-2.5
2297 Awire|net@8|||2700|pin@5||14.5|-2.5|pin@7||14.5|1.5
2298 Awire|net@9|||1800|nms1@0|g|12.5|-6.5|pin@6||14.5|-6.5
2299 Awire|net@10|||0|pin@7||14.5|1.5|pms1@0|g|12.5|1.5
2300 Awire|net@11|||900|pin@8||-2|11.5|nms0@0|d|-2|6
2301 Awire|net@12|||2700|pin@8||-2|11.5|pms1@2|d|-2|13.5
2302 Awire|net@13|||2700|pin@9||-14.5|3|pin@10||-14.5|15.5
2303 Awire|net@14|||1800|pin@9||-14.5|3|nms0@1|g|-9.5|3
2304 Awire|net@15|||0|pin@9||-14.5|3|conn@3|y|-21.5|3
2305 Awire|net@16|||1800|pin@10||-14.5|15.5|pms1@2|g|-5|15.5
2306 Awire|net@17|||1800|conn@2|y|-21.5|9|nms0@0|g|-4|9
2307 Awire|net@18|||900|pin@11||-4.5|-2.5|pin@12||-4.5|-6.5
2308 Awire|net@19|||1800|pin@13||-11.5|-2.5|pin@11||-4.5|-2.5
2309 Awire|net@20|||1800|pin@11||-4.5|-2.5|pin@14||0.5|-2.5
2310 Awire|net@21|||0|pin@12||-4.5|-6.5|nms1@2|g|-8.5|-6.5
2311 Awire|net@22|||2700|nms1@2|d|-11.5|-4.5|pin@13||-11.5|-2.5
2312 Awire|net@23|||900|nms0@1|s|-11.5|0|pin@13||-11.5|-2.5
2313 Awire|net@24|||2700|nms1@1|d|0.5|-4.5|pin@14||0.5|-2.5
2314 Awire|net@25|||2700|pin@14||0.5|-2.5|pms1@1|d|0.5|-0.5
2315 Awire|net@26|||1800|pin@15||5|-2.5|pin@16||9.5|-2.5
2316 Awire|net@27|||900|pin@17||5|1.5|pin@15||5|-2.5
2317 Awire|net@28|||900|pin@15||5|-2.5|pin@18||5|-6.5
2318 Awire|net@29|||2700|nms1@0|d|9.5|-4.5|pin@16||9.5|-2.5
2319 Awire|net@30|||2700|pin@16||9.5|-2.5|pms1@0|d|9.5|-0.5
2320 Awire|net@31|||1800|pms1@1|g|3.5|1.5|pin@17||5|1.5
2321 Awire|net@32|||0|pin@18||5|-6.5|nms1@1|g|3.5|-6.5
2322 EinC||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)F1.17
2323 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
2324 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.67
2325 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D1.33
2326 X
2327
2328 # Cell nand2V;1{ic}
2329 Cnand2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4.5;)I100|ATTR_M(D5G1;HNPX3;Y1.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.25;Y-13.75;)I-1|prototype_center()I[6000,0]
2330 Ngeneric:Facet-Center|art@0||0|0||||AV
2331 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2332 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2333 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2334 NPin|pin@0||-0.25|-2|1|1||
2335 NPin|pin@1||-1.5|-0.75|1|1||
2336 NPin|pin@2||-1.5|-1|1|1||
2337 NPin|pin@3||-2.5|-1||||
2338 NPin|pin@4||-0.5|2|1|1||
2339 NPin|pin@5||-1.5|2|1|1||
2340 NPin|pin@6||-1.5|-2|1|1||
2341 NPin|pin@7||-0.5|-2|1|1||
2342 NPin|pin@8||-2.5|1||||
2343 NPin|pin@9||-1.5|1|1|1||
2344 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2345 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
2346 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
2347 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
2348 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
2349 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
2350 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
2351 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
2352 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
2353 Eina||D5G1;|pin@10||I
2354 Einb||D5G1;|pin@11||I
2355 Eout||D5G1;|pin@12||O
2356 X
2357
2358 # Cell nand2V;1{sch}
2359 Cnand2V;1{sch}||schematic|1021415734000|1084377409000||ATTR_Delay(D5G1;HNPX-14.5;Y-8.5;)I100|ATTR_M(D5G1;HNPX-14.5;Y-4.5;)I1|ATTR_WN(D5G1;HNPX-14.5;Y-6.5;)I1|ATTR_WP(D5G1;HNPX-14.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPX-14.5;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14.5;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPX-14.5;Y-7.5;)I-1|ATTR_verilog_template(D5G1;NTX2.5;Y-14.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2360 Ngeneric:Facet-Center|art@0||0|0||||AV
2361 NOff-Page|conn@0||14|-1|||RR|
2362 NOff-Page|conn@1||0|11|||R|
2363 NOff-Page|conn@2||-14|-1||||
2364 Inand2V;1{ic}|nand2V@0||17|13|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y1.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;NPX-16.25;Y-13.75;)I-1
2365 Inms2;1{ic}|nms2@0||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
2366 Ngeneric:Invisible-Pin|pin@0||1|18|||||ART_message(D5G2;)S[variable ratio]
2367 Ngeneric:Invisible-Pin|pin@1||13.5|-11.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
2368 NWire_Pin|pin@2||9|-1||||
2369 NWire_Pin|pin@3||-9|-1||||
2370 NWire_Pin|pin@4||-9|-7||||
2371 NWire_Pin|pin@5||-9|4||||
2372 NWire_Pin|pin@6||9|-3||||
2373 NWire_Pin|pin@7||0|0||||
2374 NWire_Pin|pin@8||-5|0||||
2375 NWire_Pin|pin@9||5|0||||
2376 NWire_Pin|pin@10||9|4||||
2377 Ngeneric:Invisible-Pin|pin@11||1|20|||||ART_message(D5G2;)S[two-parameter NAND]
2378 Ngeneric:Invisible-Pin|pin@12||1|24|||||ART_message(D5G6;)S[nand2V]
2379 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
2380 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
2381 Awire|net@0|||900|pin@2||9|-1|pin@6||9|-3
2382 Awire|net@1|||900|conn@1|a|0|9|pin@7||0|0
2383 Awire|net@2|||1800|pin@2||9|-1|conn@0|y|12|-1
2384 Awire|net@3|||2700|pin@2||9|-1|pin@10||9|4
2385 Awire|net@4|||0|pin@3||-9|-1|conn@2|y|-12|-1
2386 Awire|net@5|||900|pin@5||-9|4|pin@3||-9|-1
2387 Awire|net@6|||900|pin@3||-9|-1|pin@4||-9|-7
2388 Awire|net@7|||1800|nms2@0|g2|3|-3|pin@6||9|-3
2389 Awire|net@8|||1800|pin@4||-9|-7|nms2@0|g|-3|-7
2390 Awire|net@9|||1800|pms1@1|g|8|4|pin@10||9|4
2391 Awire|net@10|||900|pin@7||0|0|nms2@0|d|0|-1
2392 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
2393 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
2394 Awire|net@13|||1800|pin@5||-9|4|pms1@0|g|-8|4
2395 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
2396 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
2397 Eina||D5G2;|conn@2|a|I
2398 Einb||D5G2;|conn@0|a|I
2399 Eout||D5G2;|conn@1|y|O
2400 X
2401
2402 # Cell nand2V_sy;1{ic}
2403 Cnand2V_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-5.5;)I100|ATTR_M(D5G1;HNPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX1;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19.5;Y-10.25;)I-1|prototype_center()I[6000,0]
2404 Ngeneric:Facet-Center|art@0||0|0||||AV
2405 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2406 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2407 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2408 NPin|pin@0||-1.5|-1|1|1||
2409 NPin|pin@1||-2.5|-1||||
2410 NPin|pin@2||-0.5|2|1|1||
2411 NPin|pin@3||-1.5|2|1|1||
2412 NPin|pin@4||-1.5|-2|1|1||
2413 NPin|pin@5||-0.5|-2|1|1||
2414 NPin|pin@6||-2.5|1||||
2415 NPin|pin@7||-1.5|1|1|1||
2416 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
2417 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
2418 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
2419 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
2420 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
2421 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
2422 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
2423 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2424 Eina||D5G1;|pin@8||I
2425 Einb||D5G1;|pin@9||I
2426 Eout||D5G1;|pin@10||O
2427 X
2428
2429 # Cell nand2V_sy;1{sch}
2430 Cnand2V_sy;1{sch}||schematic|1021415734000|1084377390000||ATTR_Delay(D5G1;HNPX-17.5;Y-7;)I100|ATTR_M(D5G1;HNPX-17.5;Y-3;)I1|ATTR_WN(D5G1;HNPX-17.5;Y-5;)I1|ATTR_WP(D5G1;HNPX-17.5;Y-4;)I1|ATTR_drive0(D5G1;HNPX-17.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPX-17.5;Y-9;)Sstrong1|ATTR_su(D5G1;HNPX-17.5;Y-6;)I-1|ATTR_verilog_template(D5G1;NTX2;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2431 Ngeneric:Facet-Center|art@0||0|0||||AV
2432 NOff-Page|conn@0||14|-1|||RR|
2433 NOff-Page|conn@1||0|11|||R|
2434 NOff-Page|conn@2||-14|-1||||
2435 Inand2V_sy;1{ic}|nand2V_s@0||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-5.5;)I100|ATTR_M(D5G1;NPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19.5;Y-10.25;)I-1
2436 Inms2_sy;3{ic}|nms2_sy@0||0|-8.25|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(OJP)S@su
2437 Ngeneric:Invisible-Pin|pin@0||-1.5|16|||||ART_message(D5G2;)S[P to N ratio is variable]
2438 Ngeneric:Invisible-Pin|pin@1||14.5|-14.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
2439 NWire_Pin|pin@2||-9|-8.25||||
2440 NWire_Pin|pin@3||9|-4.25||||
2441 NWire_Pin|pin@4||9|-1||||
2442 NWire_Pin|pin@5||-9|-1||||
2443 NWire_Pin|pin@6||-9|4||||
2444 NWire_Pin|pin@7||0|0||||
2445 NWire_Pin|pin@8||-5|0||||
2446 NWire_Pin|pin@9||5|0||||
2447 NWire_Pin|pin@10||9|4||||
2448 Ngeneric:Invisible-Pin|pin@11||-2|18|||||ART_message(D5G2;)S[symetric two-parameter NAND]
2449 Ngeneric:Invisible-Pin|pin@12||-2|23|||||ART_message(D5G6;)S[nand2V_sy]
2450 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
2451 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
2452 Awire|net@0|||900|pin@4||9|-1|pin@3||9|-4.25
2453 Awire|net@1|||0|pin@3||9|-4.25|nms2_sy@0|g2|3|-4.25
2454 Awire|net@2|||900|pin@7||0|0|nms2_sy@0|d|0|-2.25
2455 Awire|net@3|||1800|pin@2||-9|-8.25|nms2_sy@0|g|-3|-8.25
2456 Awire|net@4|||900|pin@5||-9|-1|pin@2||-9|-8.25
2457 Awire|net@5|||900|conn@1|a|0|9|pin@7||0|0
2458 Awire|net@6|||2700|pin@4||9|-1|pin@10||9|4
2459 Awire|net@7|||0|pin@5||-9|-1|conn@2|y|-12|-1
2460 Awire|net@8|||1800|pin@4||9|-1|conn@0|y|12|-1
2461 Awire|net@9|||900|pin@6||-9|4|pin@5||-9|-1
2462 Awire|net@10|||1800|pms1@1|g|8|4|pin@10||9|4
2463 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
2464 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
2465 Awire|net@13|||1800|pin@6||-9|4|pms1@0|g|-8|4
2466 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
2467 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
2468 Eina||D5G2;|conn@2|a|I
2469 Einb||D5G2;|conn@0|a|I
2470 Eout||D5G2;|conn@1|y|O
2471 X
2472
2473 # Cell nand2Vn;1{ic}
2474 Cnand2Vn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5.5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19;Y-4;)I-1|prototype_center()I[6000,0]
2475 Ngeneric:Facet-Center|art@0||0|0||||AV
2476 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2477 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2478 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2479 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2480 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2481 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2482 NPin|pin@0||-0.5|-1.75|1|1||
2483 NPin|pin@1||-1|-1.25|1|1||
2484 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2485 NPin|pin@3||-2|-1|1|1||
2486 NPin|pin@4||-2.5|-1||||
2487 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
2488 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2489 NPin|pin@7||-2.5|1||||
2490 NPin|pin@8||-2|1|1|1||
2491 NPin|pin@9||1.5|0|1|1||
2492 NPin|pin@10||2.5|0||||
2493 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
2494 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
2495 AThicker|net@2|||FS0|pin@3||-2|-1|pin@4||-2.5|-1|ART_color()I78
2496 AThicker|net@3|||FS0|pin@8||-2|1|pin@7||-2.5|1|ART_color()I78
2497 AThicker|net@4|||FS0|pin@10||2.5|0|pin@9||1.5|0|ART_color()I78
2498 Eina||D5G1;|pin@2||I
2499 Einb||D5G1;|pin@5||I
2500 Eout||D5G1;|pin@6||O
2501 X
2502
2503 # Cell nand2Vn;1{sch}
2504 Cnand2Vn;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-14;Y1.5;)I100|ATTR_M(D5G1;HNPX-14;Y5.5;)I1|ATTR_WN(D5G1;HNPX-14;Y3.5;)I1|ATTR_WP(D5G1;HNPX-14;Y4.5;)I1|ATTR_drive0(D5G1;HNPX-14;Y0.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14;Y-0.5;)Sstrong1|ATTR_su(D5G1;HNPX-14;Y2.5;)I-1|prototype_center()I[0,0]
2505 Ngeneric:Facet-Center|art@0||0|0||||AV
2506 NOff-Page|conn@0||-6|-9|||YRRR|
2507 NOff-Page|conn@1||8|0||||
2508 NOff-Page|conn@2||-6|8|||YR|
2509 Inand2V;1{ic}|nand2V@0||1|0|||D0G4;|ATTR_Delay(D5G1;NOJPX1.5;Y-4.5;)S@Delay|ATTR_M(D5G1;NOJPX3;Y1.5;)S@M|ATTR_WN(D5G1.5;NOJPX0.5;Y-3.5;)S@WN|ATTR_WP(D5G1.5;NOJPX0.5;Y3;)S@WP|ATTR_drive0(D5G1;NOJPX2;Y-5.5;)S@drive0|ATTR_drive1(D5G1;NOJPX2;Y-6.5;)S@drive1|ATTR_su(D5G1;NOJPX-16.25;Y-13.75;)S@su
2510 Inand2Vn;1{ic}|nand2Vn@0||14.5|11|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5.5;)I100|ATTR_M(D5G1;NPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19;Y-4;)I-1
2511 NWire_Pin|pin@0||-6|-1||||
2512 NWire_Pin|pin@1||-6|1||||
2513 Ngeneric:Invisible-Pin|pin@2||1|24|||||ART_message(D5G6;)S[nand2Vn]
2514 Ngeneric:Invisible-Pin|pin@3||1|20|||||ART_message(D5G2;)S[duplicate icon for nand2V]
2515 NWire_Pin|pin@4||9|-5||||
2516 NWire_Pin|pin@5||9|-5||||
2517 Awire|net@0|||0|conn@1|a|6|0|nand2V@0|out|3.5|0
2518 Awire|net@1|||1800|pin@0||-6|-1|nand2V@0|ina|-1.5|-1
2519 Awire|net@2|||1800|pin@1||-6|1|nand2V@0|inb|-1.5|1
2520 Awire|net@3|||900|pin@0||-6|-1|conn@0|y|-6|-7
2521 Awire|net@4|||2700|pin@1||-6|1|conn@2|y|-6|6
2522 Awire|net@5|||2700|pin@5||9|-5|pin@4||9|-5
2523 Eina||D5G2;|conn@0|a|I
2524 Einb||D5G2;|conn@2|a|I
2525 Eout||D5G2;|conn@1|y|O
2526 X
2527
2528 # Cell nand2Vn_sy;1{ic}
2529 Cnand2Vn_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1;Y-5;)I100|ATTR_M(D5G1;HNPX1;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-21.75;Y-2.5;)I-1|prototype_center()I[6000,0]
2530 Ngeneric:Facet-Center|art@0||0|0||||AV
2531 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2532 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2533 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2534 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2535 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2536 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2537 NPin|pin@0||-1|-1.25|1|1||
2538 NPin|pin@1||2.5|0||||
2539 NPin|pin@2||1.5|0|1|1||
2540 NPin|pin@3||-2|1|1|1||
2541 NPin|pin@4||-2.5|1||||
2542 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2543 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2544 NPin|pin@7||-2.5|-1||||
2545 NPin|pin@8||-2|-1|1|1||
2546 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
2547 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2548 AThicker|net@1|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I78
2549 AThicker|net@2|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I78
2550 AThicker|net@3|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I78
2551 Eina||D5G1;|pin@9||I
2552 Einb||D5G1;|pin@6||I
2553 Eout||D5G1;|pin@5||O
2554 X
2555
2556 # Cell nand2Vn_sy;1{sch}
2557 Cnand2Vn_sy;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-16.5;Y3.5;)I100|ATTR_M(D5G1;HNPX-16.5;Y7.5;)I1|ATTR_WN(D5G1;HNPX-16.5;Y5.5;)I1|ATTR_WP(D5G1;HNPX-16.5;Y6.5;)I1|ATTR_drive0(D5G1;HNPX-16.5;Y2.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-16.5;Y1.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.5;Y4.5;)I-1|prototype_center()I[0,0]
2558 Ngeneric:Facet-Center|art@0||0|0||||AV
2559 NOff-Page|conn@0||-6|-7|||R|
2560 NOff-Page|conn@1||9|0||||
2561 NOff-Page|conn@2||-6|7|||RRR|
2562 Inand2V_sy;1{ic}|nand2V_s@0||1|0|||D0G4;|ATTR_Delay(D5G1;OJP)S@Delay|ATTR_M(D5G1;OJP)S@M|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;OJP)S@drive0|ATTR_drive1(D5G1;OJP)S@drive1|ATTR_su(D5G1;NOJPX-19.5;Y-10.25;)S@su
2563 Inand2Vn_sy;1{ic}|nand2Vn_@0||15|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1;Y-5;)I100|ATTR_M(D5G1;NPX1;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-21.75;Y-2.5;)I-1
2564 NWire_Pin|pin@0||-6|1||||
2565 NWire_Pin|pin@1||-6|-1||||
2566 Ngeneric:Invisible-Pin|pin@2||-2|23|||||ART_message(D5G6;)S[nand2Vn_sy]
2567 Ngeneric:Invisible-Pin|pin@3||-2|18|||||ART_message(D5G2;)S[duplicate icon for nand2V_sy]
2568 Awire|net@0|||0|conn@1|a|7|0|nand2V_s@0|out|3.5|0
2569 Awire|net@1|||1800|pin@1||-6|-1|nand2V_s@0|ina|-1.5|-1
2570 Awire|net@2|||1800|pin@0||-6|1|nand2V_s@0|inb|-1.5|1
2571 Awire|net@3|||2700|pin@0||-6|1|conn@2|y|-6|5
2572 Awire|net@4|||900|pin@1||-6|-1|conn@0|y|-6|-5
2573 Eina||D5G2;|conn@0|a|I
2574 Einb||D5G2;|conn@2|a|I
2575 Eout||D5G2;|conn@1|y|O
2576 X
2577
2578 # Cell nand2_sy;1{ic}
2579 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2580 Ngeneric:Facet-Center|art@0||0|0||||AV
2581 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2582 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2583 NPin|pin@0||-1.5|-1|1|1||
2584 NPin|pin@1||-2.5|-1||||
2585 NPin|pin@2||-0.5|2|1|1||
2586 NPin|pin@3||-1.5|2|1|1||
2587 NPin|pin@4||-1.5|-2|1|1||
2588 NPin|pin@5||-0.5|-2|1|1||
2589 NPin|pin@6||-2.5|1||||
2590 NPin|pin@7||-1.5|1|1|1||
2591 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2592 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
2593 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2594 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
2595 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
2596 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
2597 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
2598 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2599 Eina||D5G1;|pin@10||I
2600 Einb||D5G1;|pin@9||I
2601 Eout||D5G1;|pin@8||O
2602 X
2603
2604 # Cell nand2_sy;1{sch}
2605 Cnand2_sy;1{sch}||schematic|1021415734000|1159375680290||ATTR_Delay(D5G1;HNPX-16.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-7.5;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
2606 Ngeneric:Facet-Center|art@0||0|0||||AV
2607 NOff-Page|conn@0||-14.5|2.5||||
2608 NOff-Page|conn@1||11.5|0|||Y|
2609 NOff-Page|conn@2||-14.5|-2.5||||
2610 IredFive:nand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2611 Inand2_sy;1{ic}|nand2_sy@1||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2612 NWire_Pin|pin@0||-7.5|-1||||
2613 NWire_Pin|pin@1||-7.5|-2.5||||
2614 NWire_Pin|pin@2||-7.5|1||||
2615 NWire_Pin|pin@3||-7.5|2.5||||
2616 Ngeneric:Invisible-Pin|pin@4||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2617 Ngeneric:Invisible-Pin|pin@5||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2618 Ngeneric:Invisible-Pin|pin@6||-2|23|||||ART_message(D5G6;)S[nand2_sy]
2619 Ngeneric:Invisible-Pin|pin@7||-2|18|||||ART_message(D5G2;)S[symetric one-parameter NAND]
2620 Awire|net@0|||0|nand2_sy@0|ina|-2.5|-1|pin@0||-7.5|-1
2621 Awire|net@1|||1800|nand2_sy@0|out|2.5|0|conn@1|a|9.5|0
2622 Awire|net@2|||0|nand2_sy@0|inb|-2.5|1|pin@2||-7.5|1
2623 Awire|net@3|||900|pin@0||-7.5|-1|pin@1||-7.5|-2.5
2624 Awire|net@4|||0|pin@1||-7.5|-2.5|conn@2|y|-12.5|-2.5
2625 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
2626 Awire|net@6|||0|pin@3||-7.5|2.5|conn@0|y|-12.5|2.5
2627 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F1.33
2628 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2629 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y-2.5;)I2
2630 X
2631
2632 # Cell nand2en;1{ic}
2633 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2634 Ngeneric:Facet-Center|art@0||0|0||||AV
2635 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2636 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2637 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2638 NPin|pin@1||-1.5|1|1|1||
2639 NPin|pin@2||-2.5|1||||
2640 NPin|pin@3||-0.5|-2|1|1||
2641 NPin|pin@4||-1.5|-2|1|1||
2642 NPin|pin@5||-1.5|2|1|1||
2643 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2644 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2645 NPin|pin@8||-0.5|2|1|1||
2646 NPin|pin@9||-2.5|-1||||
2647 NPin|pin@10||-1.5|-1|1|1||
2648 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2649 NPin|pin@12||-1.5|-0.75|1|1||
2650 NPin|pin@13||-0.25|-2|1|1||
2651 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I78
2652 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2653 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
2654 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I78
2655 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
2656 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I78
2657 Eina||D5G1;|pin@11||I
2658 Einb||D5G1;|pin@7||I
2659 Eout||D5G1;|pin@6||O
2660 X
2661
2662 # Cell nand2en;1{sch}
2663 Cnand2en;1{sch}||schematic|1021415734000|1159375684491||ATTR_Delay(D5G1;HNPX-16.5;Y-10;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
2664 Ngeneric:Facet-Center|art@0||0|0||||AV
2665 NOff-Page|conn@0||13|0|||Y|
2666 NOff-Page|conn@1||-14|2.5||||
2667 NOff-Page|conn@2||-13.5|-3||||
2668 IredFive:nand2en;1{ic}|nand2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2669 Inand2en;1{ic}|nand2en@1||26.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2670 NWire_Pin|pin@0||-6|-1|||Y|
2671 NWire_Pin|pin@1||-6|-3|||Y|
2672 NWire_Pin|pin@2||-6|2.5|||Y|
2673 NWire_Pin|pin@3||-6|1|||Y|
2674 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2675 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where inb is DC signal (enable)]
2676 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2677 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
2678 Awire|net@0|||1800|pin@0||-6|-1|nand2en@0|ina|-2.5|-1
2679 Awire|net@1|||1800|nand2en@0|out|2.5|0|conn@0|a|11|0
2680 Awire|net@2|||1800|pin@3||-6|1|nand2en@0|inb|-2.5|1
2681 Awire|net@3|||900|pin@0||-6|-1|pin@1||-6|-3
2682 Awire|net@4|||0|pin@1||-6|-3|conn@2|y|-11.5|-3
2683 Awire|net@5|||1800|conn@1|y|-12|2.5|pin@2||-6|2.5
2684 Awire|net@6|||900|pin@2||-6|2.5|pin@3||-6|1
2685 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F0.733
2686 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2687 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)F1.4
2688 X
2689
2690 # Cell nand2k;1{ic}
2691 Cnand2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2692 Ngeneric:Facet-Center|art@0||0|0||||AV
2693 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
2694 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2695 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2696 NPin|pin@0||-1.5|1|1|1||
2697 NPin|pin@1||-2.5|1||||
2698 NPin|pin@2||-0.5|-2|1|1||
2699 NPin|pin@3||-1.5|-2|1|1||
2700 NPin|pin@4||-1.5|2|1|1||
2701 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2702 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2703 NPin|pin@7||-0.5|2|1|1||
2704 NPin|pin@8||-2.5|-1||||
2705 NPin|pin@9||-1.5|-1|1|1||
2706 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2707 NPin|pin@11||-1.5|-0.75|1|1||
2708 NPin|pin@12||-0.25|-2|1|1||
2709 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
2710 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
2711 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
2712 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
2713 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
2714 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
2715 Eina||D5G1;|pin@10||I
2716 Einb||D5G1;|pin@6||I
2717 Eout||D5G1;|pin@5||O
2718 X
2719
2720 # Cell nand2k;1{sch}
2721 Cnand2k;1{sch}||schematic|1021415734000|1159379778053||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEKEEPER(D5G1;HNPX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sweak0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sweak1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
2722 Ngeneric:Facet-Center|art@0||0|0||||AV
2723 NOff-Page|conn@0||10|0|||Y|
2724 NOff-Page|conn@1||-14.5|2.5||||
2725 NOff-Page|conn@2||-14.5|-2.5||||
2726 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2727 Inand2k;1{ic}|nand2k@0||18.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2728 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[nand2k]
2729 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
2730 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2731 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2732 NWire_Pin|pin@4||-7|-2.5||||
2733 NWire_Pin|pin@5||-7|-1||||
2734 NWire_Pin|pin@6||-7|1||||
2735 NWire_Pin|pin@7||-7|2.5||||
2736 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@5||-7|-1
2737 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@0|a|8|0
2738 Awire|net@2|||1800|pin@6||-7|1|nand2@0|inb|-2.5|1
2739 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
2740 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
2741 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
2742 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
2743 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
2744 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2745 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NOJY2;)S2
2746 X
2747
2748 # Cell nand2n;1{ic}
2749 Cnand2n;1{ic}||artwork|1021415734000|1231281526605|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2750 Ngeneric:Facet-Center|art@0||0|0||||AV
2751 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2752 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2753 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2754 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2755 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2756 NPin|pin@0||-0.5|-1.75|1|1||
2757 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
2758 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
2759 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2760 NPin|pin@4||-1|-1.25|1|1||
2761 NPin|pin@5||-2|1|1|1||
2762 NPin|pin@6||-2.5|1||||
2763 NPin|pin@7||1.5|0|1|1||
2764 NPin|pin@8||2.5|0||||
2765 NPin|pin@9||-2.5|-1||||
2766 NPin|pin@10||-2|-1|1|1||
2767 AThicker|net@0|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78
2768 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78
2769 AThicker|net@2|||FS0|pin@5||-2|1|pin@6||-2.5|1|ART_color()I78
2770 AThicker|net@3|||FS0|pin@8||2.5|0|pin@7||1.5|0|ART_color()I78
2771 AThicker|net@4|||FS0|pin@10||-2|-1|pin@9||-2.5|-1|ART_color()I78
2772 Eina||D5G1;|pin@3||I
2773 Einb||D5G1;|pin@2||I
2774 Eout||D5G1;|pin@1||O
2775 X
2776
2777 # Cell nand2n;1{sch}
2778 Cnand2n;1{sch}||schematic|1021415734000|1231281554613||ATTR_Delay(D5G1;HNPX-19;Y-0.5;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-19;Y-1.5;)I-1|ATTR_X(D5G1;HNOJPX-19;Y0.75;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-19;Y-2.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-19;Y-3.5;)Sstrong1|ATTR_su(D5G1;HNPTX-19;Y-4.5;)I-1|prototype_center()I[0,0]
2779 Ngeneric:Facet-Center|art@0||0|0||||AV
2780 NOff-Page|conn@0||-7|-9|||R|
2781 NOff-Page|conn@1||10|0||||
2782 NOff-Page|conn@2||-7|8|||RRR|
2783 IredFive:nand2;1{ic}|nand2|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2784 Inand2n;1{ic}|nand2n@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2785 NWire_Pin|pin@0||-7|-1||||
2786 NWire_Pin|pin@1||-7|1||||
2787 Ngeneric:Invisible-Pin|pin@2||-10|21|||||ART_message(D5G6;)S[nand2n]
2788 Ngeneric:Invisible-Pin|pin@3||-11|16|||||ART_message(D5G2;)S[duplicate icon for nand2]
2789 Awire|net@0|||0|nand2|ina|-1|-1|pin@0||-7|-1
2790 Awire|net@1|||0|conn@1|a|8|0|nand2|out|4|0
2791 Awire|net@2|||0|nand2|inb|-1|1|pin@1||-7|1
2792 Awire|net@3|||900|pin@0||-7|-1|conn@0|y|-7|-7
2793 Awire|net@4|||2700|pin@1||-7|1|conn@2|y|-7|6
2794 Eina||D5G2;|conn@0|a|I
2795 Einb||D5G2;|conn@2|a|I
2796 Eout||D5G2;|conn@1|y|O
2797 X
2798
2799 # Cell nand2n_sy;1{ic}
2800 Cnand2n_sy;1{ic}||artwork|1021415734000|1231281686791|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2801 Ngeneric:Facet-Center|art@0||0|0||||AV
2802 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2803 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2804 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2805 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2806 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2807 NPin|pin@0||-1|-1.25|1|1||
2808 NPin|pin@1||-2|-1|1|1||
2809 NPin|pin@2||-2.5|-1||||
2810 NPin|pin@3||2.5|0||||
2811 NPin|pin@4||1.5|0|1|1||
2812 NPin|pin@5||-2.5|1||||
2813 NPin|pin@6||-2|1|1|1||
2814 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
2815 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
2816 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2817 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2818 AThicker|net@1|||FS0|pin@1||-2|-1|pin@2||-2.5|-1|ART_color()I78
2819 AThicker|net@2|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I78
2820 AThicker|net@3|||FS0|pin@6||-2|1|pin@5||-2.5|1|ART_color()I78
2821 Eina||D5G1;|pin@7||I
2822 Einb||D5G1;|pin@8||I
2823 Eout||D5G1;|pin@9||O
2824 X
2825
2826 # Cell nand2n_sy;1{sch}
2827 Cnand2n_sy;1{sch}||schematic|1021415734000|1231281686791||ATTR_Delay(D5G1;HNPX-20;Y4;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-20;Y3;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y2;)Sstrong1|ATTR_su(D5G1;HNPTX-20;Y1;)I-1|prototype_center()I[0,0]
2828 Ngeneric:Facet-Center|art@0||0|0||||AV
2829 NOff-Page|conn@0||-6|8|||RRR|
2830 NOff-Page|conn@1||10|0||||
2831 NOff-Page|conn@2||-6|-10|||R|
2832 IredFive:nand2_sy;1{ic}|nand2_sy|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2833 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2834 NWire_Pin|pin@0||-6|1||||
2835 NWire_Pin|pin@1||-6|-1||||
2836 Ngeneric:Invisible-Pin|pin@2||-11|16|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2837 Ngeneric:Invisible-Pin|pin@3||-10|21|||||ART_message(D5G6;)S[nand2n_sy]
2838 Awire|net@0|||0|nand2_sy|inb|-1|1|pin@0||-6|1
2839 Awire|net@1|||2700|pin@0||-6|1|conn@0|y|-6|6
2840 Awire|net@2|||0|nand2_sy|ina|-1|-1|pin@1||-6|-1
2841 Awire|net@3|||900|pin@1||-6|-1|conn@2|y|-6|-8
2842 Awire|net@4|||0|conn@1|a|8|0|nand2_sy|out|4|0
2843 Eina||D5G2;|conn@2|a|I
2844 Einb||D5G2;|conn@0|a|I
2845 Eout||D5G2;|conn@1|y|O
2846 X
2847
2848 # Cell nand3;1{ic}
2849 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2850 Ngeneric:Facet-Center|art@0||0|0||||AV
2851 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2852 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2853 NPin|pin@0||-2.5|2||||
2854 NPin|pin@1||-1.5|2|1|1||
2855 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2856 NPin|pin@3||-1.5|0|1|1||
2857 NPin|pin@4||-2.5|0||||
2858 NPin|pin@5||-0.5|-3|1|1||
2859 NPin|pin@6||-1.5|-3|1|1||
2860 NPin|pin@7||-1.5|3|1|1||
2861 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2862 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2863 NPin|pin@10||-0.5|3|1|1||
2864 NPin|pin@11||-2.5|-2||||
2865 NPin|pin@12||-1.5|-2|1|1||
2866 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2867 NPin|pin@14||-1.5|-1.75|1|1||
2868 NPin|pin@15||-0.25|-3|1|1||
2869 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I78
2870 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I78
2871 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I78
2872 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I78
2873 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I78
2874 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I78
2875 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I78
2876 Eina||D5G1;|pin@13||I
2877 Einb||D5G1;|pin@9||I
2878 Einc||D5G1;|pin@2||I
2879 Eout||D5G1;|pin@8||O
2880 X
2881
2882 # Cell nand3;1{sch}
2883 Cnand3;1{sch}||schematic|1021415734000|1159379591711||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2884 Ngeneric:Facet-Center|art@0||0|0||||AV
2885 NOff-Page|conn@0||-18|2||||
2886 NOff-Page|conn@1||15.5|0|||Y|
2887 NOff-Page|conn@2||-9|0|||Y|
2888 NOff-Page|conn@3||-23.5|-2||||
2889 IredFive:nand3;1{ic}|nand3@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2890 Inand3;1{ic}|nand3@1||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2891 Ngeneric:Invisible-Pin|pin@0||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2892 Ngeneric:Invisible-Pin|pin@1||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2893 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2894 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3]
2895 Awire|net@0|||0|nand3@0|inc|-2.5|2|conn@0|y|-16|2
2896 Awire|net@1|||0|nand3@0|ina|-2.5|-2|conn@3|y|-21.5|-2
2897 Awire|net@2|||1800|nand3@0|out|2.5|0|conn@1|a|13.5|0
2898 Awire|net@3|||0|nand3@0|inb|-2.5|0|conn@2|y|-7|0
2899 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
2900 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2901 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2902 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I3
2903 X
2904
2905 # Cell nand3LT;1{ic}
2906 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2907 Ngeneric:Facet-Center|art@0||0|0||||AV
2908 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2909 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2910 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2911 NPin|pin@0||-0.25|-3|1|1||
2912 NPin|pin@1||-1.5|-1.75|1|1||
2913 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2914 NPin|pin@3||-1.5|-2|1|1||
2915 NPin|pin@4||-2.5|-2||||
2916 NPin|pin@5||-0.5|3|1|1||
2917 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2918 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2919 NPin|pin@8||-1.5|3|1|1||
2920 NPin|pin@9||-1.5|-3|1|1||
2921 NPin|pin@10||-0.5|-3|1|1||
2922 NPin|pin@11||-2.5|0||||
2923 NPin|pin@12||-1.5|0|1|1||
2924 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2925 NPin|pin@14||-1.5|2|1|1||
2926 NPin|pin@15||-2.5|2||||
2927 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
2928 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
2929 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
2930 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2931 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
2932 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
2933 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
2934 Eina||D5G1;|pin@2||I
2935 Einb||D5G1;|pin@6||I
2936 Einc||D5G1;|pin@13||I
2937 Eout||D5G1;|pin@7||O
2938 X
2939
2940 # Cell nand3LT;1{sch}
2941 Cnand3LT;1{sch}||schematic|1021415734000|1159376000942||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2942 Ngeneric:Facet-Center|art@0||0|0||||AV
2943 NOff-Page|conn@0||-22.5|-2||||
2944 NOff-Page|conn@1||-11|0|||Y|
2945 NOff-Page|conn@2||12.5|0|||Y|
2946 NOff-Page|conn@3||-19.5|2||||
2947 IredFive:nand3LT;1{ic}|nand3LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2948 Inand3LT;1{ic}|nand3LT@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2949 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2950 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2951 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2952 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2953 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2954 Awire|net@0|||0|nand3LT@0|inc|-2.5|2|conn@3|y|-17.5|2
2955 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LT@0|ina|-2.5|-2
2956 Awire|net@2|||1800|nand3LT@0|out|2.5|0|conn@2|a|10.5|0
2957 Awire|net@3|||0|nand3LT@0|inb|-2.5|0|conn@1|y|-9|0
2958 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2959 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2960 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2961 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2962 X
2963
2964 # Cell nand3LT_sy3;1{ic}
2965 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2966 Ngeneric:Facet-Center|art@0||0|0||||AV
2967 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2968 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2969 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2970 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2971 NPin|pin@1||-2.5|2||||
2972 NPin|pin@2||-1.5|2|1|1||
2973 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2974 NPin|pin@4||-1.5|0|1|1||
2975 NPin|pin@5||-2.5|0||||
2976 NPin|pin@6||-0.5|-3|1|1||
2977 NPin|pin@7||-1.5|-3|1|1||
2978 NPin|pin@8||-1.5|3|1|1||
2979 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2980 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2981 NPin|pin@11||-0.5|3|1|1||
2982 NPin|pin@12||-2.5|-2||||
2983 NPin|pin@13||-1.5|-2|1|1||
2984 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2985 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2986 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2987 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2988 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2989 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2990 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2991 Eina||D5G1;|pin@14||I
2992 Einb||D5G1;|pin@10||I
2993 Einc||D5G1;|pin@3||I
2994 Eout||D5G1;|pin@9||O
2995 X
2996
2997 # Cell nand3LT_sy3;1{sch}
2998 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1159376011909||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2999 Ngeneric:Facet-Center|art@0||0|0||||AV
3000 NOff-Page|conn@0||-19.5|2||||
3001 NOff-Page|conn@1||12.5|0|||Y|
3002 NOff-Page|conn@2||-11|0|||Y|
3003 NOff-Page|conn@3||-22.5|-2||||
3004 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3005 Inand3LT_sy3;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3006 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
3007 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3008 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
3009 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3010 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
3011 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
3012 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
3013 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
3014 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
3015 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
3016 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
3017 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
3018 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
3019 X
3020
3021 # Cell nand3LT_sy6;1{ic}
3022 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3023 Ngeneric:Facet-Center|art@0||0|0||||AV
3024 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3025 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3026 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
3027 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
3028 NPin|pin@1||-2.5|2||||
3029 NPin|pin@2||-1.5|2|1|1||
3030 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3031 NPin|pin@4||-1.5|0|1|1||
3032 NPin|pin@5||-2.5|0||||
3033 NPin|pin@6||-0.5|-3|1|1||
3034 NPin|pin@7||-1.5|-3|1|1||
3035 NPin|pin@8||-1.5|3|1|1||
3036 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3037 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3038 NPin|pin@11||-0.5|3|1|1||
3039 NPin|pin@12||-2.5|-2||||
3040 NPin|pin@13||-1.5|-2|1|1||
3041 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3042 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
3043 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
3044 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
3045 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
3046 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
3047 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
3048 Eina||D5G1;|pin@14||I
3049 Einb||D5G1;|pin@10||I
3050 Einc||D5G1;|pin@3||I
3051 Eout||D5G1;|pin@9||O
3052 X
3053
3054 # Cell nand3LT_sy6;1{sch}
3055 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1159379295448||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
3056 Ngeneric:Facet-Center|art@0||0|0||||AV
3057 NOff-Page|conn@0||-19.5|2||||
3058 NOff-Page|conn@1||12.5|0|||Y|
3059 NOff-Page|conn@2||-11|0|||Y|
3060 NOff-Page|conn@3||-22.5|-2||||
3061 IredFive:nand3LT_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3062 Inand3LT_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3063 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
3064 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3065 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
3066 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3067 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
3068 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
3069 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
3070 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
3071 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
3072 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
3073 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
3074 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
3075 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
3076 X
3077
3078 # Cell nand3LTen;1{ic}
3079 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3080 Ngeneric:Facet-Center|art@0||0|0||||AV
3081 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3082 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3083 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
3084 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3085 NPin|pin@1||-2.5|2||||
3086 NPin|pin@2||-1.5|2|1|1||
3087 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3088 NPin|pin@4||-1.5|0|1|1||
3089 NPin|pin@5||-2.5|0||||
3090 NPin|pin@6||-0.5|-3|1|1||
3091 NPin|pin@7||-1.5|-3|1|1||
3092 NPin|pin@8||-1.5|3|1|1||
3093 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3094 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3095 NPin|pin@11||-0.5|3|1|1||
3096 NPin|pin@12||-2.5|-2||||
3097 NPin|pin@13||-1.5|-2|1|1||
3098 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3099 NPin|pin@15||-1.5|-1.75|1|1||
3100 NPin|pin@16||-0.25|-3|1|1||
3101 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
3102 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
3103 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
3104 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
3105 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
3106 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
3107 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
3108 Eina||D5G1;|pin@14||I
3109 Einb||D5G1;|pin@10||I
3110 Einc||D5G1;|pin@3||I
3111 Eout||D5G1;|pin@9||O
3112 X
3113
3114 # Cell nand3LTen;1{sch}
3115 Cnand3LTen;1{sch}||schematic|1021415734000|1159376004533||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
3116 Ngeneric:Facet-Center|art@0||0|0||||AV
3117 NOff-Page|conn@0||-19.5|2||||
3118 NOff-Page|conn@1||12.5|0|||Y|
3119 NOff-Page|conn@2||-11|0|||Y|
3120 NOff-Page|conn@3||-22.5|-2||||
3121 IredFive:nand3LTen;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3122 Inand3LTen;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3123 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
3124 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable)]
3125 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
3126 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3127 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
3128 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@0|y|-17.5|2
3129 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
3130 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@1|a|10.5|0
3131 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@2|y|-9|0
3132 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
3133 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.33
3134 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
3135 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y-2.5;)I2
3136 X
3137
3138 # Cell nand3LTen_sy;1{ic}
3139 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3140 Ngeneric:Facet-Center|art@0||0|0||||AV
3141 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
3142 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3143 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
3144 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
3145 NPin|pin@1||-0.25|-3|1|1||
3146 NPin|pin@2||-1.5|-1.75|1|1||
3147 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
3148 NPin|pin@4||-1.5|-2|1|1||
3149 NPin|pin@5||-2.5|-2||||
3150 NPin|pin@6||-0.5|3|1|1||
3151 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
3152 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
3153 NPin|pin@9||-1.5|3|1|1||
3154 NPin|pin@10||-1.5|-3|1|1||
3155 NPin|pin@11||-0.5|-3|1|1||
3156 NPin|pin@12||-2.5|0||||
3157 NPin|pin@13||-1.5|0|1|1||
3158 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
3159 NPin|pin@15||-1.5|2|1|1||
3160 NPin|pin@16||-2.5|2||||
3161 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3162 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
3163 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
3164 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
3165 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
3166 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
3167 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
3168 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
3169 Eina||D5G1;|pin@3||I
3170 Einb||D5G1;|pin@7||I
3171 Einc||D5G1;|pin@14||I
3172 Eout||D5G1;|pin@8||O
3173 X
3174
3175 # Cell nand3LTen_sy;1{sch}
3176 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1159376008564||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
3177 Ngeneric:Facet-Center|art@0||0|0||||AV
3178 NOff-Page|conn@0||-22.5|-2||||
3179 NOff-Page|conn@1||-11|0|||Y|
3180 NOff-Page|conn@2||12.5|0|||Y|
3181 NOff-Page|conn@3||-19.5|2||||
3182 IredFive:nand3LTen_sy;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3183 Inand3LTen_sy;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3184 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
3185 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3186 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
3187 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric]
3188 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
3189 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@3|y|-17.5|2
3190 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
3191 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@2|a|10.5|0
3192 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@1|y|-9|0
3193 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
3194 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
3195 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
3196 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3197 X
3198
3199 # Cell nand3MLT;1{ic}
3200 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3201 Ngeneric:Facet-Center|art@0||0|0||||AV
3202 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I78|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
3203 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
3204 NThick-Circle|art@3||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3205 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
3206 NPin|pin@0||-0.25|-3|1|1||
3207 NPin|pin@1||-1.5|-1.75|1|1||
3208 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
3209 NPin|pin@3||-1.5|-2|1|1||
3210 NPin|pin@4||-2.5|-2||||
3211 NPin|pin@5||-0.5|3|1|1||
3212 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
3213 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
3214 NPin|pin@8||-1.5|3|1|1||
3215 NPin|pin@9||-1.5|-3|1|1||
3216 NPin|pin@10||-0.5|-3|1|1||
3217 NPin|pin@11||-2.5|0||||
3218 NPin|pin@12||-1.5|0|1|1||
3219 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
3220 NPin|pin@14||-1.5|2|1|1||
3221 NPin|pin@15||-2.5|2||||
3222 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
3223 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
3224 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
3225 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
3226 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
3227 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
3228 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
3229 Eina||D5G1;|pin@2||I
3230 Einb||D5G1;|pin@6||I
3231 Einc||D5G1;|pin@13||I
3232 Eout||D5G1;|pin@7||O
3233 X
3234
3235 # Cell nand3MLT;1{sch}
3236 Cnand3MLT;1{sch}||schematic|1021415734000|1159375997206||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-16;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
3237 Ngeneric:Facet-Center|art@0||0|0||||AV
3238 NOff-Page|conn@0||-21|-2|||Y|
3239 NOff-Page|conn@1||-9|0|||Y|
3240 NOff-Page|conn@2||13.5|0|||Y|
3241 NOff-Page|conn@3||-17.5|2||||
3242 IredFive:nand3MLT;1{ic}|nand3MLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3243 Inand3MLT;1{ic}|nand3MLT@1||30|17|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3244 Ngeneric:Invisible-Pin|pin@0||1|11|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
3245 Ngeneric:Invisible-Pin|pin@1||23.5|-10|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
3246 Ngeneric:Invisible-Pin|pin@2||-0.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
3247 Ngeneric:Invisible-Pin|pin@3||-0.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
3248 Ngeneric:Invisible-Pin|pin@4||-0.5|20.5|||||ART_message(D5G6;)S[nand3LT]
3249 Awire|net@0|||0|nand3MLT@0|inc|-2.5|2|conn@3|y|-15.5|2
3250 Awire|net@1|||0|nand3MLT@0|ina|-2.5|-2|conn@0|y|-19|-2
3251 Awire|net@2|||1800|nand3MLT@0|out|2.5|0|conn@2|a|11.5|0
3252 Awire|net@3|||0|nand3MLT@0|inb|-2.5|0|conn@1|y|-7|0
3253 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
3254 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
3255 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
3256 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3257 X
3258
3259 # Cell nand3_sy6;1{ic}
3260 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3261 Ngeneric:Facet-Center|art@0||0|0||||AV
3262 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3263 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3264 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
3265 NPin|pin@1||-2.5|2||||
3266 NPin|pin@2||-1.5|2|1|1||
3267 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3268 NPin|pin@4||-1.5|0|1|1||
3269 NPin|pin@5||-2.5|0||||
3270 NPin|pin@6||-0.5|-3|1|1||
3271 NPin|pin@7||-1.5|-3|1|1||
3272 NPin|pin@8||-1.5|3|1|1||
3273 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3274 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3275 NPin|pin@11||-0.5|3|1|1||
3276 NPin|pin@12||-2.5|-2||||
3277 NPin|pin@13||-1.5|-2|1|1||
3278 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3279 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
3280 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
3281 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
3282 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
3283 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
3284 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
3285 Eina||D5G1;|pin@14||I
3286 Einb||D5G1;|pin@10||I
3287 Einc||D5G1;|pin@3||I
3288 Eout||D5G1;|pin@9||O
3289 X
3290
3291 # Cell nand3_sy6;1{sch}
3292 Cnand3_sy6;1{sch}||schematic|1021415734000|1159379441239||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
3293 Ngeneric:Facet-Center|art@0||0|0||||AV
3294 NOff-Page|conn@0||-19.5|2||||
3295 NOff-Page|conn@1||12.5|0|||Y|
3296 NOff-Page|conn@2||-11|0|||Y|
3297 NOff-Page|conn@3||-22.5|-2||||
3298 IredFive:nand3_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3299 Inand3_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3300 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
3301 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3302 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
3303 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3304 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
3305 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
3306 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
3307 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
3308 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
3309 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)S1.67
3310 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)S1.67
3311 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)S1.67
3312 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)S3
3313 X
3314
3315 # Cell nand3en;1{ic}
3316 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3317 Ngeneric:Facet-Center|art@0||0|0||||AV
3318 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3319 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
3320 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3321 NPin|pin@1||-0.25|-3|1|1||
3322 NPin|pin@2||-1.5|-1.75|1|1||
3323 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
3324 NPin|pin@4||-1.5|-2|1|1||
3325 NPin|pin@5||-2.5|-2||||
3326 NPin|pin@6||-0.5|3|1|1||
3327 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
3328 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
3329 NPin|pin@9||-1.5|3|1|1||
3330 NPin|pin@10||-1.5|-3|1|1||
3331 NPin|pin@11||-0.5|-3|1|1||
3332 NPin|pin@12||-2.5|0||||
3333 NPin|pin@13||-1.5|0|1|1||
3334 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
3335 NPin|pin@15||-1.5|2|1|1||
3336 NPin|pin@16||-2.5|2||||
3337 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
3338 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
3339 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
3340 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
3341 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
3342 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
3343 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
3344 Eina||D5G1;|pin@3||I
3345 Einb||D5G1;|pin@7||I
3346 Einc||D5G1;|pin@14||I
3347 Eout||D5G1;|pin@8||O
3348 X
3349
3350 # Cell nand3en;1{sch}
3351 Cnand3en;1{sch}||schematic|1021415734000|1159375988991||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
3352 Ngeneric:Facet-Center|art@0||0|0||||AV
3353 NOff-Page|conn@0||-23.5|-2||||
3354 NOff-Page|conn@1||-9|0|||Y|
3355 NOff-Page|conn@2||15.5|0|||Y|
3356 NOff-Page|conn@3||-18|2||||
3357 IredFive:nand3en;1{ic}|nand3en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3358 Inand3en;1{ic}|nand3en@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3359 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
3360 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3en]
3361 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3362 Ngeneric:Invisible-Pin|pin@3||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3363 Awire|net@0|||0|nand3en@0|inc|-2.5|2|conn@3|y|-16|2
3364 Awire|net@1|||0|nand3en@0|ina|-2.5|-2|conn@0|y|-21.5|-2
3365 Awire|net@2|||1800|nand3en@0|out|2.5|0|conn@2|a|13.5|0
3366 Awire|net@3|||0|nand3en@0|inb|-2.5|0|conn@1|y|-7|0
3367 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
3368 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.67
3369 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
3370 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
3371 X
3372
3373 # Cell nand3en_sy;1{ic}
3374 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
3375 Ngeneric:Facet-Center|art@0||0|0||||AV
3376 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3377 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3378 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
3379 NPin|pin@1||-2.5|2||||
3380 NPin|pin@2||-1.5|2|1|1||
3381 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3382 NPin|pin@4||-1.5|0|1|1||
3383 NPin|pin@5||-2.5|0||||
3384 NPin|pin@6||-0.5|-3|1|1||
3385 NPin|pin@7||-1.5|-3|1|1||
3386 NPin|pin@8||-1.5|3|1|1||
3387 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3388 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3389 NPin|pin@11||-0.5|3|1|1||
3390 NPin|pin@12||-2.5|-2||||
3391 NPin|pin@13||-1.5|-2|1|1||
3392 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3393 NPin|pin@15||-1.5|-1.75|1|1||
3394 NPin|pin@16||-0.25|-3|1|1||
3395 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3396 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
3397 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
3398 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
3399 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
3400 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
3401 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
3402 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
3403 Eina||D5G1;|pin@14||I
3404 Einb||D5G1;|pin@10||I
3405 Einc||D5G1;|pin@3||I
3406 Eout||D5G1;|pin@9||O
3407 X
3408
3409 # Cell nand3en_sy;1{sch}
3410 Cnand3en_sy;1{sch}||schematic|1021415734000|1159375992880||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
3411 Ngeneric:Facet-Center|art@0||0|0||||AV
3412 NOff-Page|conn@0||-18|2||||
3413 NOff-Page|conn@1||15.5|0|||Y|
3414 NOff-Page|conn@2||-9|0|||Y|
3415 NOff-Page|conn@3||-23.5|-2||||
3416 IredFive:nand3en_sy;1{ic}|nand3en_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3417 Inand3en_sy;1{ic}|nand3en_@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3418 Ngeneric:Invisible-Pin|pin@0||-0.5|19.5|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
3419 Ngeneric:Invisible-Pin|pin@1||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3420 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3421 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3en_sy]
3422 Awire|net@0|||0|nand3en_@0|inc|-2.5|2|conn@0|y|-16|2
3423 Awire|net@1|||0|nand3en_@0|ina|-2.5|-2|conn@3|y|-21.5|-2
3424 Awire|net@2|||1800|nand3en_@0|out|2.5|0|conn@1|a|13.5|0
3425 Awire|net@3|||0|nand3en_@0|inb|-2.5|0|conn@2|y|-7|0
3426 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
3427 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
3428 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
3429 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
3430 X
3431
3432 # Cell nms1;1{ic}
3433 Cnms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3434 Ngeneric:Facet-Center|art@0||0|0||||AV
3435 NPin|pin@0||0|2||||
3436 NPin|pin@1||0|1|1|1||
3437 NPin|pin@2||-0.75|1|1|1||
3438 NPin|pin@3||-0.75|-1|1|1||
3439 NPin|pin@4||0|-1|1|1||
3440 NPin|pin@5||-1.5|-1|1|1||
3441 NPin|pin@6||-1.5|1|1|1||
3442 NPin|pin@7||-1|-2|1|1||
3443 NPin|pin@8||0|-3||||
3444 NPin|pin@9||1|-2||||
3445 NPin|pin@10||0|-2|1|1||
3446 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
3447 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3448 NPin|pin@13||-3|0|||RR|
3449 NPin|pin@14||-1.5|0|1|1|RR|
3450 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
3451 AThicker|net@1|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
3452 AThicker|net@2|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
3453 AThicker|net@3|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
3454 AThicker|net@4|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
3455 AThicker|net@5|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
3456 AThicker|net@6|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
3457 AThicker|net@7|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3458 AThicker|net@8|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3459 AThicker|net@9|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3460 AThicker|net@10|||FS1800|pin@13||-3|0|pin@14||-1.5|0|ART_color()I78
3461 Ed||D5G1;|pin@11||O
3462 Eg||D5G1;|pin@12||I
3463 X
3464
3465 # Cell nms1;2{sch}
3466 Cnms1;2{sch}||schematic|1021415734000|1159375552613||ATTR_Delay(D5G1;HNPX-8.5;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y-15.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-16.5;)I-1|prototype_center()I[0,0]
3467 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3468 Ngeneric:Facet-Center|art@0||0|0||||AV
3469 NOff-Page|conn@0||23|0||||
3470 NOff-Page|conn@1||-17|-8||||
3471 NGround|gnd@0||0|-16||||
3472 Inms1;1{ic}|nms1@0||24|10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3473 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1]
3474 Ngeneric:Invisible-Pin|pin@1||-0.5|5.5|||||ART_message(D5G2;)S[N-type transistor to GND]
3475 NWire_Pin|pin@2||0|0||||
3476 Awire|net@0|||2700|gnd@0||0|-14|NMOS@0|s|0|-10
3477 Awire|net@1|||900|pin@2||0|0|NMOS@0|d|0|-6
3478 Awire|net@2|||1800|conn@1|y|-15|-8|NMOS@0|g|-3|-8
3479 Awire|net@3|||1800|pin@2||0|0|conn@0|a|21|0
3480 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.33
3481 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.33
3482 X
3483
3484 # Cell nms1K;1{ic}
3485 Cnms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX3;Y-5;)Sweak0|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3486 Ngeneric:Facet-Center|art@0||0|0||||AV
3487 NOpened-Thicker-Polygon|art@1||-2|-2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3488 NPin|pin@0||0|2||||
3489 NPin|pin@1||0|1|1|1||
3490 NPin|pin@2||-0.75|1|1|1||
3491 NPin|pin@3||-0.75|-1|1|1||
3492 NPin|pin@4||0|-1|1|1||
3493 NPin|pin@5||-1.5|-1|1|1||
3494 NPin|pin@6||-1.5|1|1|1||
3495 NPin|pin@7||-1|-2|1|1||
3496 NPin|pin@8||0|-3||||
3497 NPin|pin@9||1|-2||||
3498 NPin|pin@10||0|-2|1|1||
3499 NPin|pin@11||-3|0|||RR|
3500 NPin|pin@12||-1.5|0|1|1|RR|
3501 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
3502 Nschematic:Bus_Pin|pin@14||0|2|-2|-2||
3503 AThicker|net@0|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
3504 AThicker|net@1|||FS1800|pin@11||-3|0|pin@12||-1.5|0|ART_color()I78
3505 AThicker|net@2|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
3506 AThicker|net@3|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
3507 AThicker|net@4|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3508 AThicker|net@5|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3509 AThicker|net@6|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
3510 AThicker|net@7|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
3511 AThicker|net@8|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
3512 AThicker|net@9|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
3513 AThicker|net@10|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3514 Ed||D5G1;|pin@14||O
3515 Eg||D5G1;|pin@13||I
3516 X
3517
3518 # Cell nms1K;1{sch}
3519 Cnms1K;1{sch}||schematic|1021415734000|1159375557527||ATTR_Delay(D5G1;HNPX-11;Y-14;)I100|ATTR_LEKEEPER(D5G1;HNPTX-11;Y-17;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-15;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-13;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-11;Y-18;)Sweak0|ATTR_su(D5G1;HNPTX-11;Y-16;)I-1|ATTR_verilog_template(D5G1;NTX9.5;Y-22.5;)Snot ($(drive0), highz1) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
3520 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3521 Ngeneric:Facet-Center|art@0||0|0||||AV
3522 NOff-Page|conn@0||5|0||||
3523 NOff-Page|conn@1||-10|-8||||
3524 NGround|gnd@0||0|-17||||
3525 Inms1K;1{ic}|nms1K@0||28|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1
3526 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1K]
3527 Ngeneric:Invisible-Pin|pin@1||0.5|5|||||ART_message(D5G2;)S[N-type keeper transistor to GND]
3528 NWire_Pin|pin@2||0|0||||
3529 Awire|net@0|||2700|gnd@0||0|-15|NMOSwk@0|s|0|-10
3530 Awire|net@1|||900|pin@2||0|0|NMOSwk@0|d|0|-6
3531 Awire|net@2|||1800|conn@1|y|-8|-8|NMOSwk@0|g|-3|-8
3532 Awire|net@3|||1800|pin@2||0|0|conn@0|a|3|0
3533 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2;)F0.33
3534 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.33
3535 X
3536
3537 # Cell nms2;1{ic}
3538 Cnms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3539 Ngeneric:Facet-Center|art@0||0|0||||AV
3540 NPin|pin@0||1.5|4|1|1|Y|
3541 NPin|pin@1||3|4||||
3542 NPin|pin@2||0|2|1|1|YRR|
3543 NPin|pin@3||1.5|5|1|1|YRR|
3544 NPin|pin@4||1.5|3|1|1|YRR|
3545 NPin|pin@5||0|3|1|1|YRR|
3546 NPin|pin@6||0.75|3|1|1|YRR|
3547 NPin|pin@7||0.75|5|1|1|YRR|
3548 NPin|pin@8||0|5|1|1|YRR|
3549 NPin|pin@9||0|6|||RR|
3550 NPin|pin@10||0|2|1|1||
3551 NPin|pin@11||0|1|1|1||
3552 NPin|pin@12||-0.75|1|1|1||
3553 NPin|pin@13||-0.75|-1|1|1||
3554 NPin|pin@14||0|-1|1|1||
3555 NPin|pin@15||-1.5|-1|1|1||
3556 NPin|pin@16||-1.5|1|1|1||
3557 NPin|pin@17||-1|-2|1|1||
3558 NPin|pin@18||0|-3||||
3559 NPin|pin@19||1|-2|1|1||
3560 NPin|pin@20||0|-2|1|1||
3561 NPin|pin@21||-3|0|||RR|
3562 NPin|pin@22||-1.5|0|1|1|RR|
3563 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3564 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3565 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3566 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I78
3567 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I78
3568 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I78
3569 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I78
3570 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3571 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I78
3572 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3573 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I78
3574 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I78
3575 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I78
3576 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I78
3577 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I78
3578 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3579 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3580 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3581 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I78
3582 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3583 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3584 Ed||D5G1;|pin@25||O
3585 Eg||D5G1;|pin@24||I
3586 Eg2||D5G1;|pin@23||I
3587 X
3588
3589 # Cell nms2;1{sch}
3590 Cnms2;1{sch}||schematic|1021415734000|1159375566278||ATTR_Delay(D5G1;HNPX-9;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-9;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-9;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9;Y-15.5;)I-1|prototype_center()I[0,0]
3591 Ngeneric:Facet-Center|art@0||0|0||||AV
3592 NOff-Page|conn@0||8|-4|||YRR|
3593 NOff-Page|conn@1||5|0||||
3594 NOff-Page|conn@2||-10|-8||||
3595 IredFive:nms2b;1{ic}|nms2@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X
3596 Inms2;1{ic}|nms2@1||27|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1
3597 Ngeneric:Invisible-Pin|pin@0||0|10.5|||||ART_message(D5G6;)S[nms2]
3598 Ngeneric:Invisible-Pin|pin@1||0|6|||||ART_message(D5G2;)S[two N-type transistors to GND]
3599 NWire_Pin|pin@2||0|0||||
3600 Awire|net@0|||0|conn@0|y|6|-4|nms2@0|g2|3|-4
3601 Awire|net@1|||900|pin@2||0|0|nms2@0|d|0|-2
3602 Awire|net@2|||0|nms2@0|g|-3|-8|conn@2|y|-8|-8
3603 Awire|net@3|||1800|pin@2||0|0|conn@1|a|3|0
3604 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.67
3605 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.67
3606 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.67
3607 X
3608
3609 # Cell nms2K;1{ic}
3610 Cnms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;HNPX3.5;Y-1.5;)I1|ATTR_su(D5G1;HNPX3.5;Y-3.5;)I-1|prototype_center()I[0,-24000]
3611 Ngeneric:Facet-Center|art@0||0|0||||AV
3612 NOpened-Thicker-Polygon|art@1||0.75|4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3613 NPin|pin@0||-3|4||||
3614 NPin|pin@1||-1.5|4|1|1|Y|
3615 NPin|pin@2||0|2|1|1|YRR|
3616 NPin|pin@3||-1.5|5|1|1|YRR|
3617 NPin|pin@4||-1.5|3|1|1|YRR|
3618 NPin|pin@5||0|3|1|1|YRR|
3619 NPin|pin@6||-0.75|3|1|1|YRR|
3620 NPin|pin@7||-0.75|5|1|1|YRR|
3621 NPin|pin@8||0|5|1|1|YRR|
3622 NPin|pin@9||0|6|||RR|
3623 NPin|pin@10||0|2|1|1||
3624 NPin|pin@11||0|1|1|1||
3625 NPin|pin@12||-0.75|1|1|1||
3626 NPin|pin@13||-0.75|-1|1|1||
3627 NPin|pin@14||0|-1|1|1||
3628 NPin|pin@15||-1.5|-1|1|1||
3629 NPin|pin@16||-1.5|1|1|1||
3630 NPin|pin@17||0|-2||||
3631 NPin|pin@18||-3|0|1|1|RR|
3632 NPin|pin@19||-1.5|0|1|1|RR|
3633 Nschematic:Bus_Pin|pin@20||0|-2|-2|-2||
3634 NPin|pin@21||-3|1|1|1||
3635 NPin|pin@22||-2.5|0.5|1|1||
3636 NPin|pin@23||-3.5|1.5||||
3637 NPin|pin@24||-3|0|1|1|RR|
3638 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3639 Nschematic:Bus_Pin|pin@26||-3|4|-2|-2||
3640 AThicker|net@0|||FS1800|pin@18||-3|0|pin@19||-1.5|0|ART_color()I78
3641 AThicker|net@1|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I78
3642 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I78
3643 AThicker|net@3|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3644 AThicker|net@4|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3645 AThicker|net@5|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3646 AThicker|net@6|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3647 AThicker|net@7|||FS900|pin@14||0|-1|pin@17||0|-2|ART_color()I78
3648 AThicker|net@8|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I78
3649 AThicker|net@9|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I78
3650 AThicker|net@10|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I78
3651 AThicker|net@11|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3652 AThicker|net@12|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3653 AThicker|net@13|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3654 AThicker|net@14|||FS900|pin@21||-3|1|pin@24||-3|0|ART_color()I78
3655 AThicker|net@15|||FS3150|pin@22||-2.5|0.5|pin@23||-3.5|1.5|ART_color()I78
3656 Ein||D5G1;|pin@26||I
3657 Eout||D5G1;|pin@25||O
3658 Esrc||D5G1;|pin@20||I
3659 X
3660
3661 # Cell nms2K;1{sch}
3662 Cnms2K;1{sch}||schematic|1021415734000|1159375562038||ATTR_Delay(D5G1;HNPX-8.5;Y-24;)I100|ATTR_LEKEEPER(D5G1;HNPX-8.5;Y-26;)I1|ATTR_SN(D5G1;HNPX-8.5;Y-23;)I1|ATTR_su(D5G1;HNPX-8.5;Y-25;)I-1|prototype_center()I[0,0]
3663 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@0||0|-15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.25;Y-2.25;)S@Delay|ATTR_L(D5G1;NOJPX3.25;Y-0.25;)S@SN==0?0:@SN<0.5?(0.5*(2-0.4)/@SN + 0.4):2|ATTR_W(D6G1;NOJPX1.75;Y0.75;)S"P(\"SN\")>1?3*P(\"SN\"):3"
3664 IorangeTSMC090nm:NMOS4f;1{ic}|NMOS4f@1||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.25;Y-2.25;)S@Delay|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
3665 Ngeneric:Facet-Center|art@0||0|0||||AV
3666 NOff-Page|conn@0||-10|-20||||
3667 NOff-Page|conn@1||-10|-7||||
3668 NOff-Page|conn@2||5|0||||
3669 NGround|gnd@0||2|-24||||
3670 Inms2K;1{ic}|nms2K@0||14|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)I-1
3671 NWire_Pin|pin@0||2|-7.5||||
3672 NWire_Pin|pin@1||2|-15.5||||
3673 NWire_Pin|pin@2||0|0||||
3674 Ngeneric:Invisible-Pin|pin@3||0|6|||||ART_message(D5G2;)S[min N-type with resistor to pin]
3675 Ngeneric:Invisible-Pin|pin@4||0|10.5|||||ART_message(D5G6;)S[nms2K]
3676 NWire_Pin|pin@5||0|-20||||
3677 NPower|pwr@0||-3|-12||||
3678 Awire|net@0|||2700|pin@1||2|-15.5|pin@0||2|-7.5
3679 Awire|net@1|||0|pin@1||2|-15.5|NMOS4f@0|b|0|-15.5
3680 Awire|net@2|||2700|pin@5||0|-20|NMOS4f@0|s|0|-17
3681 Awire|net@3|||2700|NMOS4f@0|d|0|-13|NMOS4f@1|s|0|-9
3682 Awire|net@4|||900|pwr@0||-3|-12|NMOS4f@0|g|-3|-15
3683 Awire|net@5|||0|pin@0||2|-7.5|NMOS4f@1|b|0|-7.5
3684 Awire|net@6|||900|pin@2||0|0|NMOS4f@1|d|0|-5
3685 Awire|net@7|||1800|conn@1|y|-8|-7|NMOS4f@1|g|-3|-7
3686 Awire|net@8|||900|pin@1||2|-15.5|gnd@0||2|-22
3687 Awire|net@9|||1800|conn@0|y|-8|-20|pin@5||0|-20
3688 Awire|net@10|||1800|pin@2||0|0|conn@2|a|3|0
3689 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I0
3690 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
3691 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
3692 X
3693
3694 # Cell nms2_sy;3{ic}
3695 Cnms2_sy;3{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3696 Ngeneric:Facet-Center|art@0||0|0||||AV
3697 NPin|pin@0||-2.25|0|1|1||
3698 NPin|pin@1||2.25|4|1|1||
3699 NPin|pin@2||0.25|1|1|1||
3700 NPin|pin@3||-0.25|3|1|1||
3701 NPin|pin@4||0.25|3|1|1||
3702 NPin|pin@5||0.75|1|1|1|YRR|
3703 NPin|pin@6||0.75|-1|1|1|YRR|
3704 NPin|pin@7||0|-1|1|1|YRR|
3705 NPin|pin@8||1.5|-1|1|1|YRR|
3706 NPin|pin@9||1.5|1|1|1|YRR|
3707 NPin|pin@10||2.25|0|1|1|Y|
3708 NPin|pin@11||1.5|0|1|1|Y|
3709 NPin|pin@12||-1.5|3|1|1||
3710 NPin|pin@13||-1.5|5|1|1||
3711 NPin|pin@14||-2.25|4|1|1|RR|
3712 NPin|pin@15||-1.5|4|1|1|RR|
3713 NPin|pin@16||-0.75|3|1|1||
3714 NPin|pin@17||-0.75|5|1|1||
3715 NPin|pin@18||1.5|4|1|1|Y|
3716 NPin|pin@19||3|4||||
3717 NPin|pin@20||1.5|5|1|1|YRR|
3718 NPin|pin@21||1.5|3|1|1|YRR|
3719 NPin|pin@22||0.75|3|1|1|YRR|
3720 NPin|pin@23||0.75|5|1|1|YRR|
3721 NPin|pin@24||0|5|1|1|YRR|
3722 NPin|pin@25||0|6|||RR|
3723 NPin|pin@26||-0.25|1|1|1||
3724 NPin|pin@27||-0.75|1|1|1||
3725 NPin|pin@28||-0.75|-1|1|1||
3726 NPin|pin@29||0|-1|1|1||
3727 NPin|pin@30||-1.5|-1|1|1||
3728 NPin|pin@31||-1.5|1|1|1||
3729 NPin|pin@32||-1|-2|1|1||
3730 NPin|pin@33||0|-3||||
3731 NPin|pin@34||1|-2|1|1||
3732 NPin|pin@35||0|-2|1|1||
3733 NPin|pin@36||-3|0|||RR|
3734 NPin|pin@37||-1.5|0|1|1|RR|
3735 Nschematic:Bus_Pin|pin@38||3|4|-2|-2||
3736 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
3737 Nschematic:Bus_Pin|pin@40||0|6|-2|-2||
3738 AThicker|net@0|||FS2700|pin@0||-2.25|0|pin@14||-2.25|4|ART_color()I78
3739 AThicker|net@1|||FS900|pin@1||2.25|4|pin@10||2.25|0|ART_color()I78
3740 AThicker|net@2|||FS0|pin@19||3|4|pin@18||1.5|4|ART_color()I78
3741 AThicker|net@3|||FS0|pin@5||0.75|1|pin@2||0.25|1|ART_color()I78
3742 AThicker|net@4|||FS2840|pin@2||0.25|1|pin@3||-0.25|3|ART_color()I78
3743 AThicker|net@5|||FS0|pin@3||-0.25|3|pin@16||-0.75|3|ART_color()I78
3744 AThicker|net@6|||FS0|pin@22||0.75|3|pin@4||0.25|3|ART_color()I78
3745 AThicker|net@7|||FS760|pin@4||0.25|3|pin@26||-0.25|1|ART_color()I78
3746 AThicker|net@8|||FS900|pin@9||1.5|1|pin@8||1.5|-1|ART_color()I78
3747 AThicker|net@9|||FS0|pin@6||0.75|-1|pin@7||0|-1|ART_color()I78
3748 AThicker|net@10|||FS900|pin@5||0.75|1|pin@6||0.75|-1|ART_color()I78
3749 AThicker|net@11|||FS0|pin@10||2.25|0|pin@11||1.5|0|ART_color()I78
3750 AThicker|net@12|||FS900|pin@13||-1.5|5|pin@12||-1.5|3|ART_color()I78
3751 AThicker|net@13|||FS1800|pin@14||-2.25|4|pin@15||-1.5|4|ART_color()I78
3752 AThicker|net@14|||FS2700|pin@16||-0.75|3|pin@17||-0.75|5|ART_color()I78
3753 AThicker|net@15|||FS1800|pin@17||-0.75|5|pin@24||0|5|ART_color()I78
3754 AThicker|net@16|||FS900|pin@23||0.75|5|pin@22||0.75|3|ART_color()I78
3755 AThicker|net@17|||FS1800|pin@24||0|5|pin@23||0.75|5|ART_color()I78
3756 AThicker|net@18|||FS900|pin@20||1.5|5|pin@21||1.5|3|ART_color()I78
3757 AThicker|net@19|||FS900|pin@25||0|6|pin@24||0|5|ART_color()I78
3758 AThicker|net@20|||FS0|pin@34||1|-2|pin@35||0|-2|ART_color()I78
3759 AThicker|net@21|||FS2250|pin@33||0|-3|pin@34||1|-2|ART_color()I78
3760 AThicker|net@22|||FS900|pin@29||0|-1|pin@35||0|-2|ART_color()I78
3761 AThicker|net@23|||FS1800|pin@28||-0.75|-1|pin@29||0|-1|ART_color()I78
3762 AThicker|net@24|||FS1800|pin@36||-3|0|pin@37||-1.5|0|ART_color()I78
3763 AThicker|net@25|||FS900|pin@31||-1.5|1|pin@30||-1.5|-1|ART_color()I78
3764 AThicker|net@26|||FS0|pin@35||0|-2|pin@32||-1|-2|ART_color()I78
3765 AThicker|net@27|||FS1350|pin@32||-1|-2|pin@33||0|-3|ART_color()I78
3766 AThicker|net@28|||FS0|pin@26||-0.25|1|pin@27||-0.75|1|ART_color()I78
3767 AThicker|net@29|||FS900|pin@27||-0.75|1|pin@28||-0.75|-1|ART_color()I78
3768 Ed||D5G1;|pin@40||O
3769 Eg||D5G1;|pin@39||I
3770 Eg2||D5G1;|pin@38||I
3771 X
3772
3773 # Cell nms2_sy;1{sch}
3774 Cnms2_sy;1{sch}||schematic|1021415734000|1159375570860||ATTR_Delay(D5G1;HNPX-8;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8;Y-15.5;)I-1|prototype_center()I[0,0]
3775 Ngeneric:Facet-Center|art@0||0|0||||AV
3776 NOff-Page|conn@0||-15|-9||||
3777 NOff-Page|conn@1||16|-5|||YRR|
3778 NOff-Page|conn@2||16.5|0||||
3779 IredFive:nms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S@X
3780 Inms2_sy;3{ic}|nms2_sy@1||22|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1
3781 NWire_Pin|pin@0||0|0||||
3782 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric N-type two-stack]
3783 Ngeneric:Invisible-Pin|pin@2||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3784 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|conn@1|y|14|-5
3785 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
3786 Awire|net@2|||0|nms2_sy@0|g|-3|-9|conn@0|y|-13|-9
3787 Awire|net@3|||0|conn@2|a|14.5|0|pin@0||0|0
3788 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F0.67
3789 Eg||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX1;Y-2;)F0.67
3790 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
3791 X
3792
3793 # Cell nms3;1{ic}
3794 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3795 Ngeneric:Facet-Center|art@0||0|0||||AV
3796 NPin|pin@0||0|10||||
3797 NPin|pin@1||0|9|1|1||
3798 NPin|pin@2||-0.75|9|1|1||
3799 NPin|pin@3||-0.75|7|1|1||
3800 NPin|pin@4||0|7|1|1||
3801 NPin|pin@5||-1.5|7|1|1||
3802 NPin|pin@6||-1.5|9|1|1||
3803 NPin|pin@7||0|6|1|1||
3804 NPin|pin@8||-3|8|||RR|
3805 NPin|pin@9||-1.5|8|1|1|RR|
3806 Ngeneric:Invisible-Pin|pin@10||-3|8||||
3807 Nschematic:Bus_Pin|pin@11||0|10|-2|-2||
3808 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3809 Nschematic:Bus_Pin|pin@13||3|4|-2|-2||
3810 NPin|pin@14||-1.5|0|1|1|RR|
3811 NPin|pin@15||-3|0|||RR|
3812 NPin|pin@16||0|-2|1|1||
3813 NPin|pin@17||1|-2|1|1||
3814 NPin|pin@18||0|-3||||
3815 NPin|pin@19||-1|-2|1|1||
3816 NPin|pin@20||-1.5|1|1|1||
3817 NPin|pin@21||-1.5|-1|1|1||
3818 NPin|pin@22||0|-1|1|1||
3819 NPin|pin@23||-0.75|-1|1|1||
3820 NPin|pin@24||-0.75|1|1|1||
3821 NPin|pin@25||0|1|1|1||
3822 NPin|pin@26||0|2|1|1||
3823 NPin|pin@27||0|6|||RR|
3824 NPin|pin@28||0|5|1|1|YRR|
3825 NPin|pin@29||0.75|5|1|1|YRR|
3826 NPin|pin@30||0.75|3|1|1|YRR|
3827 NPin|pin@31||0|3|1|1|YRR|
3828 NPin|pin@32||1.5|3|1|1|YRR|
3829 NPin|pin@33||1.5|5|1|1|YRR|
3830 NPin|pin@34||0|2|1|1|YRR|
3831 NPin|pin@35||3|4||||
3832 NPin|pin@36||1.5|4|1|1|Y|
3833 AThicker|net@0|||FS900|pin@6||-1.5|9|pin@5||-1.5|7|ART_color()I78
3834 AThicker|net@1|||FS900|pin@0||0|10|pin@1||0|9|ART_color()I78
3835 AThicker|net@2|||FS0|pin@1||0|9|pin@2||-0.75|9|ART_color()I78
3836 AThicker|net@3|||FS1800|pin@3||-0.75|7|pin@4||0|7|ART_color()I78
3837 AThicker|net@4|||FS900|pin@4||0|7|pin@7||0|6|ART_color()I78
3838 AThicker|net@5|||FS1800|pin@8||-3|8|pin@9||-1.5|8|ART_color()I78
3839 AThicker|net@6|||FS900|pin@2||-0.75|9|pin@3||-0.75|7|ART_color()I78
3840 AThicker|net@7|||FS900|pin@20||-1.5|1|pin@21||-1.5|-1|ART_color()I78
3841 AThicker|net@8|||FS1800|pin@23||-0.75|-1|pin@22||0|-1|ART_color()I78
3842 AThicker|net@9|||FS900|pin@22||0|-1|pin@16||0|-2|ART_color()I78
3843 AThicker|net@10|||FS900|pin@26||0|2|pin@25||0|1|ART_color()I78
3844 AThicker|net@11|||FS900|pin@24||-0.75|1|pin@23||-0.75|-1|ART_color()I78
3845 AThicker|net@12|||FS0|pin@25||0|1|pin@24||-0.75|1|ART_color()I78
3846 AThicker|net@13|||FS0|pin@17||1|-2|pin@16||0|-2|ART_color()I78
3847 AThicker|net@14|||FS1350|pin@19||-1|-2|pin@18||0|-3|ART_color()I78
3848 AThicker|net@15|||FS0|pin@16||0|-2|pin@19||-1|-2|ART_color()I78
3849 AThicker|net@16|||FS2250|pin@18||0|-3|pin@17||1|-2|ART_color()I78
3850 AThicker|net@17|||FS1800|pin@15||-3|0|pin@14||-1.5|0|ART_color()I78
3851 AThicker|net@18|||FS900|pin@31||0|3|pin@34||0|2|ART_color()I78
3852 AThicker|net@19|||FS0|pin@30||0.75|3|pin@31||0|3|ART_color()I78
3853 AThicker|net@20|||FS900|pin@27||0|6|pin@28||0|5|ART_color()I78
3854 AThicker|net@21|||FS1800|pin@28||0|5|pin@29||0.75|5|ART_color()I78
3855 AThicker|net@22|||FS900|pin@33||1.5|5|pin@32||1.5|3|ART_color()I78
3856 AThicker|net@23|||FS900|pin@29||0.75|5|pin@30||0.75|3|ART_color()I78
3857 AThicker|net@24|||FS0|pin@35||3|4|pin@36||1.5|4|ART_color()I78
3858 Eina||D5G1;|pin@12||I
3859 Einb||D5G1;|pin@13||I
3860 Einc||D5G1;|pin@10||I
3861 Eout||D5G1;|pin@11||O
3862 X
3863
3864 # Cell nms3;1{sch}
3865 Cnms3;1{sch}||schematic|1021415734000|1159375575060||ATTR_Delay(D5G1;HNPX-9.5;Y-14;)I100|ATTR_LEGATE(D5G1;HNPTX-9.5;Y-15;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9.5;Y-13;)I-1|ATTR_X(D5G1;HNOJPX-9.5;Y-12;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9.5;Y-16;)I-1|prototype_center()I[0,0]
3866 Ngeneric:Facet-Center|art@0||0|0||||AV
3867 NOff-Page|conn@0||-10|0||||
3868 NOff-Page|conn@1||-10|-8||||
3869 NOff-Page|conn@2||5|6||||
3870 NOff-Page|conn@3||8|-4|||YRR|
3871 IredFive:nms3;1{ic}|nms3@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X
3872 Inms3;1{ic}|nms3@1||30|5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3873 NWire_Pin|pin@0||0|6||||
3874 Ngeneric:Invisible-Pin|pin@1||0|12|||||ART_message(D5G2;)S[three N-type transistors to GND]
3875 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G6;)S[nms3]
3876 Awire|net@0|||0|nms3@0|g3|-3|0|conn@0|y|-8|0
3877 Awire|net@1|||0|conn@3|y|6|-4|nms3@0|g2|3|-4
3878 Awire|net@2|||900|pin@0||0|6|nms3@0|d|0|2
3879 Awire|net@3|||0|nms3@0|g|-3|-8|conn@1|y|-8|-8
3880 Awire|net@4|||1800|pin@0||0|6|conn@2|a|3|6
3881 Eina||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y2;)I1
3882 Einb||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)I1
3883 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)I1
3884 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
3885 X
3886
3887 # Cell nms3_sy3;1{ic}
3888 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.25;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3889 Ngeneric:Facet-Center|art@0||0|0||||AV
3890 Ngeneric:Invisible-Pin|pin@0||-0.75|-3.5|||||ART_message(D5G2;)S[sy3]
3891 NPin|pin@1||1.5|4|1|1|Y|
3892 NPin|pin@2||3|4||||
3893 NPin|pin@3||0|2|1|1|YRR|
3894 NPin|pin@4||1.5|5|1|1|YRR|
3895 NPin|pin@5||1.5|3|1|1|YRR|
3896 NPin|pin@6||0|3|1|1|YRR|
3897 NPin|pin@7||0.75|3|1|1|YRR|
3898 NPin|pin@8||0.75|5|1|1|YRR|
3899 NPin|pin@9||0|5|1|1|YRR|
3900 NPin|pin@10||0|6|||RR|
3901 NPin|pin@11||0|2|1|1||
3902 NPin|pin@12||0|1|1|1||
3903 NPin|pin@13||-0.75|1|1|1||
3904 NPin|pin@14||-0.75|-1|1|1||
3905 NPin|pin@15||0|-1|1|1||
3906 NPin|pin@16||-1.5|-1|1|1||
3907 NPin|pin@17||-1.5|1|1|1||
3908 NPin|pin@18||-1|-2|1|1||
3909 NPin|pin@19||0|-3||||
3910 NPin|pin@20||1|-2|1|1||
3911 NPin|pin@21||0|-2|1|1||
3912 NPin|pin@22||-3|0|||RR|
3913 NPin|pin@23||-1.5|0|1|1|RR|
3914 Nschematic:Bus_Pin|pin@24||3|4|-2|-2||
3915 Nschematic:Bus_Pin|pin@25||-3|0|-2|-2||
3916 Nschematic:Bus_Pin|pin@26||0|10|-2|-2||
3917 Ngeneric:Invisible-Pin|pin@27||-3|8||||
3918 NPin|pin@28||-1.5|8|1|1|RR|
3919 NPin|pin@29||-3|8|||RR|
3920 NPin|pin@30||0|6|1|1||
3921 NPin|pin@31||-1.5|9|1|1||
3922 NPin|pin@32||-1.5|7|1|1||
3923 NPin|pin@33||0|7|1|1||
3924 NPin|pin@34||-0.75|7|1|1||
3925 NPin|pin@35||-0.75|9|1|1||
3926 NPin|pin@36||0|9|1|1||
3927 NPin|pin@37||0|10||||
3928 AThicker|net@0|||FS0|pin@2||3|4|pin@1||1.5|4|ART_color()I78
3929 AThicker|net@1|||FS900|pin@8||0.75|5|pin@7||0.75|3|ART_color()I78
3930 AThicker|net@2|||FS900|pin@4||1.5|5|pin@5||1.5|3|ART_color()I78
3931 AThicker|net@3|||FS1800|pin@9||0|5|pin@8||0.75|5|ART_color()I78
3932 AThicker|net@4|||FS900|pin@10||0|6|pin@9||0|5|ART_color()I78
3933 AThicker|net@5|||FS0|pin@7||0.75|3|pin@6||0|3|ART_color()I78
3934 AThicker|net@6|||FS900|pin@6||0|3|pin@3||0|2|ART_color()I78
3935 AThicker|net@7|||FS1800|pin@22||-3|0|pin@23||-1.5|0|ART_color()I78
3936 AThicker|net@8|||FS2250|pin@19||0|-3|pin@20||1|-2|ART_color()I78
3937 AThicker|net@9|||FS0|pin@21||0|-2|pin@18||-1|-2|ART_color()I78
3938 AThicker|net@10|||FS1350|pin@18||-1|-2|pin@19||0|-3|ART_color()I78
3939 AThicker|net@11|||FS0|pin@20||1|-2|pin@21||0|-2|ART_color()I78
3940 AThicker|net@12|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
3941 AThicker|net@13|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
3942 AThicker|net@14|||FS900|pin@11||0|2|pin@12||0|1|ART_color()I78
3943 AThicker|net@15|||FS900|pin@15||0|-1|pin@21||0|-2|ART_color()I78
3944 AThicker|net@16|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
3945 AThicker|net@17|||FS900|pin@17||-1.5|1|pin@16||-1.5|-1|ART_color()I78
3946 AThicker|net@18|||FS900|pin@35||-0.75|9|pin@34||-0.75|7|ART_color()I78
3947 AThicker|net@19|||FS1800|pin@29||-3|8|pin@28||-1.5|8|ART_color()I78
3948 AThicker|net@20|||FS900|pin@33||0|7|pin@30||0|6|ART_color()I78
3949 AThicker|net@21|||FS1800|pin@34||-0.75|7|pin@33||0|7|ART_color()I78
3950 AThicker|net@22|||FS0|pin@36||0|9|pin@35||-0.75|9|ART_color()I78
3951 AThicker|net@23|||FS900|pin@37||0|10|pin@36||0|9|ART_color()I78
3952 AThicker|net@24|||FS900|pin@31||-1.5|9|pin@32||-1.5|7|ART_color()I78
3953 Eina||D5G1;|pin@25||I
3954 Einb||D5G1;|pin@24||I
3955 Einc||D5G1;|pin@27||I
3956 Eout||D5G1;|pin@26||O
3957 X
3958
3959 # Cell nms3_sy3;1{sch}
3960 Cnms3_sy3;1{sch}||schematic|1021415734000|1159375578755||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPTX-20;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y-12.5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y-10.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20;Y-13.5;)I-1|prototype_center()I[0,0]
3961 Ngeneric:Facet-Center|art@0||0|0||||AV
3962 NOff-Page|conn@0||14.5|0|||YRR|
3963 NOff-Page|conn@1||15|9||||
3964 NOff-Page|conn@2||-14.5|-4||||
3965 NOff-Page|conn@3||-14.5|4||||
3966 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||-4|-4|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)S@X
3967 Inms3_sy3;1{ic}|nms3_sy3@1||32|11|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3968 NWire_Pin|pin@0||1|9||||
3969 Ngeneric:Invisible-Pin|pin@1||-2|21.5|||||ART_message(D5G6;)S[nms3_sy3]
3970 Ngeneric:Invisible-Pin|pin@2||-2|17|||||ART_message(D5G2;)S[semi-symmetric three N-type transistors to GND]
3971 Awire|net@0|||1800|conn@3|y|-12.5|4|nms3_sy3@0|g3|-7|4
3972 Awire|net@1|||1800|nms3_sy3@0|g2|7.5|0|conn@0|y|12.5|0
3973 Awire|net@2|||900|pin@0||1|9|nms3_sy3@0|d|1|7
3974 Awire|net@3|||1800|conn@2|y|-12.5|-4|nms3_sy3@0|g|-7|-4
3975 Awire|net@4|||1800|pin@0||1|9|conn@1|a|13|9
3976 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX1.5;Y-2.5;)I1
3977 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
3978 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NY-2;)I1
3979 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
3980 X
3981
3982 # Cell nor2;1{ic}
3983 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3984 Ngeneric:Facet-Center|art@0||0|0||||AV
3985 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3986 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3987 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3988 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
3989 NPin|pin@0||-0.5|-1.75|1|1||
3990 NPin|pin@1||-1|-1.25|1|1||
3991 NPin|pin@2||-1|1|1|1||
3992 NPin|pin@3||-2.5|1||||
3993 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3994 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
3995 NPin|pin@6||-2.5|-1||||
3996 NPin|pin@7||-1|-1|1|1||
3997 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
3998 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
3999 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
4000 AThicker|net@2|||FS0|pin@2||-1|1|pin@3||-2.5|1|ART_color()I78
4001 AThicker|net@3|||FS0|pin@7||-1|-1|pin@6||-2.5|-1|ART_color()I78
4002 Eina||D5G1;|pin@8||I
4003 Einb||D5G1;|pin@5||I
4004 Eout||D5G1;|pin@4||O
4005 X
4006
4007 # Cell nor2;1{sch}
4008 Cnor2;1{sch}||schematic|1021415734000|1159375948527||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4009 Ngeneric:Facet-Center|art@0||0|0||||AV
4010 NOff-Page|conn@0||14|0|||Y|
4011 NOff-Page|conn@1||-15.5|2.5||||
4012 NOff-Page|conn@2||-15.5|-2.5|||Y|
4013 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4014 Inor2;1{ic}|nor2@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4015 NWire_Pin|pin@0||-7.5|-2.5||||
4016 NWire_Pin|pin@1||-7.5|-1||||
4017 NWire_Pin|pin@2||-7.5|1||||
4018 NWire_Pin|pin@3||-7.5|2.5||||
4019 Ngeneric:Invisible-Pin|pin@4||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4020 Ngeneric:Invisible-Pin|pin@5||-2|15|||||ART_message(D5G6;)S[nor2]
4021 Ngeneric:Invisible-Pin|pin@6||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
4022 Awire|net@0|||1800|pin@1||-7.5|-1|nor2@0|ina|-2.5|-1
4023 Awire|net@1|||0|conn@0|a|12|0|nor2@0|out|2.5|0
4024 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@2||-7.5|1
4025 Awire|net@3|||900|pin@1||-7.5|-1|pin@0||-7.5|-2.5
4026 Awire|net@4|||0|pin@0||-7.5|-2.5|conn@2|y|-13.5|-2.5
4027 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
4028 Awire|net@6|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
4029 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)F1.67
4030 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
4031 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I2
4032 X
4033
4034 # Cell nor2V;1{ic}
4035 Cnor2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-18.25;Y-14.5;)I-1|prototype_center()I[6000,0]
4036 Ngeneric:Facet-Center|art@0||0|0||||AV
4037 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
4038 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4039 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4040 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4041 NThick-Circle|art@5||2|0|1|1|||ART_color()I78
4042 NPin|pin@0||-0.5|-1.75|1|1||
4043 NPin|pin@1||-1|-1.25|1|1||
4044 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
4045 NPin|pin@3||-1|-1|1|1||
4046 NPin|pin@4||-2.5|-1||||
4047 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
4048 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
4049 NPin|pin@7||-2.5|1||||
4050 NPin|pin@8||-1|1|1|1||
4051 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
4052 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
4053 AThicker|net@2|||FS0|pin@3||-1|-1|pin@4||-2.5|-1|ART_color()I78
4054 AThicker|net@3|||FS0|pin@8||-1|1|pin@7||-2.5|1|ART_color()I78
4055 Eina||D5G1;|pin@2||I
4056 Einb||D5G1;|pin@5||I
4057 Eout||D5G1;|pin@6||O
4058 X
4059
4060 # Cell nor2V;1{sch}
4061 Cnor2V;1{sch}||schematic|1021415734000|1084377212000||ATTR_Delay(D5G1;HNPX-15.5;Y-11;)I100|ATTR_M(D5G1;HNPX-15.5;Y-10;)I1|ATTR_WN(D5G1;HNPX-15.5;Y-9;)I1|ATTR_WP(D5G1;HNPX-15.5;Y-8;)I1|ATTR_drive0(D5G1;HNPX-15.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPX-15.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPX-15;Y-14;)I-1|ATTR_verilog_template(D5G1;NTX7;Y-19;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4062 Ngeneric:Facet-Center|art@0||0|0||||AV
4063 NOff-Page|conn@0||-14|-4||||
4064 NOff-Page|conn@1||14|-4|||RR|
4065 NOff-Page|conn@2||14|0||||
4066 Inms1;1{ic}|nms1@0||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
4067 Inms1;1{ic}|nms1@1||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
4068 Inor2V;1{ic}|nor2V@0||20|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5;)I100|ATTR_M(D5G1;NPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-18.25;Y-14.5;)I-1
4069 Ngeneric:Invisible-Pin|pin@0||11.5|-15.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
4070 NWire_Pin|pin@1||-9|-8||||
4071 Ngeneric:Invisible-Pin|pin@2||-1.5|11.5|||||ART_message(D5G2;)S[two-parameter NOR]
4072 NWire_Pin|pin@3||-9|-4||||
4073 NWire_Pin|pin@4||9|3||||
4074 NWire_Pin|pin@5||9|-4||||
4075 Ngeneric:Invisible-Pin|pin@6||-1.5|16.5|||||ART_message(D5G6;)S[nor2V]
4076 NWire_Pin|pin@7||-9|7||||
4077 NWire_Pin|pin@8||0|0||||
4078 NWire_Pin|pin@9||4|0||||
4079 NWire_Pin|pin@10||-4|0||||
4080 NWire_Pin|pin@11||9|-8||||
4081 Ipms2;1{ic}|pms2@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NTX2;)I1
4082 Awire|net@0|||2700|pin@1||-9|-8|pin@3||-9|-4
4083 Awire|net@1|||0|pin@3||-9|-4|conn@0|y|-12|-4
4084 Awire|net@2|||2700|pin@5||9|-4|pin@4||9|3
4085 Awire|net@3|||1800|pin@5||9|-4|conn@1|y|12|-4
4086 Awire|net@4|||0|pin@4||9|3|pms2@0|g2|3|3
4087 Awire|net@5|||1800|pin@7||-9|7|pms2@0|g|-3|7
4088 Awire|net@6|||2700|pin@8||0|0|pms2@0|d|0|1
4089 Awire|net@7|||0|pin@9||4|0|pin@8||0|0
4090 Awire|net@8|||900|pin@9||4|0|nms1@1|d|4|-6
4091 Awire|net@9|||0|pin@8||0|0|pin@10||-4|0
4092 Awire|net@10|||900|pin@10||-4|0|nms1@0|d|-4|-6
4093 Awire|net@11|||0|nms1@0|g|-7|-8|pin@1||-9|-8
4094 Awire|net@12|||0|pin@11||9|-8|nms1@1|g|7|-8
4095 Awire|net@13|||2700|pin@11||9|-8|pin@5||9|-4
4096 Awire|net@14|||2700|pin@3||-9|-4|pin@7||-9|7
4097 Awire|net@15|||1800|pin@9||4|0|conn@2|a|12|0
4098 Eina||D5G2;|conn@0|a|I
4099 Einb||D5G2;|conn@1|a|I
4100 Eout||D5G2;|conn@2|y|O
4101 X
4102
4103 # Cell nor2_sy;2{ic}
4104 Cnor2_sy;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4105 Ngeneric:Facet-Center|art@0||0|0||||AV
4106 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
4107 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4108 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4109 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4110 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4111 NPin|pin@1||-1|-1|1|1||
4112 NPin|pin@2||-2.5|-1||||
4113 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4114 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4115 NPin|pin@5||-2.5|1||||
4116 NPin|pin@6||-1|1|1|1||
4117 NPin|pin@7||-1|-1.25|1|1||
4118 AThicker|net@0|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
4119 AThicker|net@1|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
4120 AThicker|net@2|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
4121 Eina||D5G1;|pin@0||I
4122 Einb||D5G1;|pin@3||I
4123 Eout||D5G1;|pin@4||O
4124 X
4125
4126 # Cell nor2_sy;2{sch}
4127 Cnor2_sy;2{sch}||schematic|1021415734000|1159375955602||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
4128 Ngeneric:Facet-Center|art@0||0|0||||AV
4129 NOff-Page|conn@0||-14|1||||
4130 NOff-Page|conn@1||-14|-1|||Y|
4131 NOff-Page|conn@2||14|0|||Y|
4132 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
4133 Inor2_sy;2{ic}|nor2_sy@1||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4134 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
4135 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
4136 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
4137 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
4138 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
4139 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
4140 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
4141 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
4142 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
4143 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
4144 X
4145
4146 # Cell nor2en;1{ic}
4147 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4148 Ngeneric:Facet-Center|art@0||0|0||||AV
4149 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
4150 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4151 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4152 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4153 Ngeneric:Invisible-Pin|pin@0||-0.25|-1.25|||||ART_message(D5G1;)S[en]
4154 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
4155 NPin|pin@2||-1|-1|1|1||
4156 NPin|pin@3||-2.5|-1||||
4157 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4158 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4159 NPin|pin@6||-2.5|1||||
4160 NPin|pin@7||-1|1|1|1||
4161 NPin|pin@8||-1|-1.25|1|1||
4162 NPin|pin@9||-0.5|-1.75|1|1||
4163 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I78
4164 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I78
4165 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I78
4166 AThicker|net@3|||FS2700|pin@8||-1|-1.25|pin@8||-1|-1.25|ART_color()I78
4167 Eina||D5G1;|pin@1||I
4168 Einb||D5G1;|pin@4||I
4169 Eout||D5G1;|pin@5||O
4170 X
4171
4172 # Cell nor2en;1{sch}
4173 Cnor2en;1{sch}||schematic|1021415734000|1159377045073||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4174 Ngeneric:Facet-Center|art@0||0|0||||AV
4175 NOff-Page|conn@0||-15.5|-2.5|||Y|
4176 NOff-Page|conn@1||-15.5|2.5||||
4177 NOff-Page|conn@2||14|0||||
4178 IredFive:nor2en;1{ic}|nor2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4179 Inor2en;1{ic}|nor2en@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4180 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
4181 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2en]
4182 Ngeneric:Invisible-Pin|pin@2||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4183 NWire_Pin|pin@3||-7.5|2.5||||
4184 NWire_Pin|pin@4||-7.5|1||||
4185 NWire_Pin|pin@5||-7.5|-1||||
4186 NWire_Pin|pin@6||-7.5|-2.5||||
4187 Awire|net@0|||1800|pin@5||-7.5|-1|nor2en@0|ina|-2.5|-1
4188 Awire|net@1|||0|conn@2|a|12|0|nor2en@0|out|2.5|0
4189 Awire|net@2|||0|nor2en@0|inb|-2.5|1|pin@4||-7.5|1
4190 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
4191 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
4192 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
4193 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
4194 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)S1.44
4195 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)S1.67
4196 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)D1.78
4197 X
4198
4199 # Cell nor2k;1{ic}
4200 Cnor2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4201 Ngeneric:Facet-Center|art@0||0|0||||AV
4202 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4203 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
4204 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4205 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4206 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4207 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4208 NPin|pin@1||-1|-1|1|1||
4209 NPin|pin@2||-2.5|-1||||
4210 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4211 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4212 NPin|pin@5||-2.5|1||||
4213 NPin|pin@6||-1|1|1|1||
4214 NPin|pin@7||-1|-1.25|1|1||
4215 NPin|pin@8||-0.5|-1.75|1|1||
4216 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
4217 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
4218 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I78
4219 AThicker|net@3|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
4220 Eina||D5G1;|pin@0||I
4221 Einb||D5G1;|pin@3||I
4222 Eout||D5G1;|pin@4||O
4223 X
4224
4225 # Cell nor2k;1{sch}
4226 Cnor2k;1{sch}||schematic|1021415734000|1159375660125||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEKEEPER(D5G1;HNPX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4227 Ngeneric:Facet-Center|art@0||0|0||||AV
4228 NOff-Page|conn@0||-15.5|-2.5|||Y|
4229 NOff-Page|conn@1||-15.5|2.5||||
4230 NOff-Page|conn@2||14|0||||
4231 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4232 Inor2k;1{ic}|nor2k@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4233 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
4234 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2]
4235 Ngeneric:Invisible-Pin|pin@2||17|-12.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4236 NWire_Pin|pin@3||-7.5|2.5||||
4237 NWire_Pin|pin@4||-7.5|1||||
4238 NWire_Pin|pin@5||-7.5|-1||||
4239 NWire_Pin|pin@6||-7.5|-2.5||||
4240 Awire|net@0|||1800|pin@5||-7.5|-1|nor2@0|ina|-2.5|-1
4241 Awire|net@1|||0|conn@2|a|12|0|nor2@0|out|2.5|0
4242 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@4||-7.5|1
4243 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
4244 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
4245 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
4246 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
4247 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F1.67
4248 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
4249 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I2
4250 X
4251
4252 # Cell nor2kresetV;1{ic}
4253 Cnor2kresetV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4254 Ngeneric:Facet-Center|art@0||0|0||||AV
4255 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4256 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4257 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4258 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
4259 NOpened-Thicker-Polygon|art@5||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4260 NPin|pin@0||0.5|1|||R|
4261 NPin|pin@1||0.5|2.5|1|1|R|
4262 Ngeneric:Invisible-Pin|pin@2||0.5|2.5||||
4263 NPin|pin@3||-0.5|-1.75|1|1||
4264 NPin|pin@4||-1|-1.25|1|1||
4265 NPin|pin@5||-1|1|1|1||
4266 NPin|pin@6||-2.5|1||||
4267 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
4268 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
4269 NPin|pin@9||-2.5|-1||||
4270 NPin|pin@10||-1|-1|1|1||
4271 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
4272 AThicker|net@0|||FS900|pin@1||0.5|2.5|pin@0||0.5|1|ART_color()I78
4273 AThicker|net@1|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78
4274 AThicker|net@2|||FS3150|pin@3||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78
4275 AThicker|net@3|||FS0|pin@5||-1|1|pin@6||-2.5|1|ART_color()I78
4276 AThicker|net@4|||FS0|pin@10||-1|-1|pin@9||-2.5|-1|ART_color()I78
4277 Eina||D5G1;|pin@11||I
4278 Einb||D5G1;|pin@8||I
4279 Eout||D5G1;|pin@7||O
4280 EresetV||D5G2;|pin@2||I
4281 X
4282
4283 # Cell nor2kresetV;1{sch}
4284 Cnor2kresetV;1{sch}||schematic|1021415734000|1159379793908||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4285 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||3.88|-11.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4286 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||-4.12|-11.25|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.0
4287 Ngeneric:Facet-Center|art@0||0|0||||AV
4288 NOff-Page|conn@0||4|-17|||R|
4289 NOff-Page|conn@1||15|-5||||
4290 NOff-Page|conn@2||-15.5|0||||
4291 NOff-Page|conn@3||14.5|4|||YRR|
4292 NGround|gnd@0||-4.12|-18.25||||
4293 Inor2kresetV;1{ic}|nor2kres@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEKEEPER()I1
4294 NWire_Pin|pin@0||9|4||||
4295 NWire_Pin|pin@1||4|-13.25||||
4296 NWire_Pin|pin@2||3.88|-5||||
4297 NWire_Pin|pin@3||9|-11.25||||
4298 NWire_Pin|pin@4||-9|-11.25||||
4299 NWire_Pin|pin@5||-9|0||||
4300 NWire_Pin|pin@6||-4.12|-5||||
4301 NWire_Pin|pin@7||0|-5||||
4302 Ngeneric:Invisible-Pin|pin@8||21|-18|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4303 Ngeneric:Invisible-Pin|pin@9||-2|15|||||ART_message(D5G6;)S[nor2kresetV]
4304 Ngeneric:Invisible-Pin|pin@10||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
4305 IredFive:pms2;1{ic}|pms2@0||0|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/10.0
4306 Awire|net@0|||1800|pin@5||-9|0|pms2@0|g2|-3|0
4307 Awire|net@1|||1800|pms2@0|g|3|4|pin@0||9|4
4308 Awire|net@2|||2700|pin@7||0|-5|pms2@0|d|0|-2
4309 Awire|net@3|||1800|NMOS@0|s|3.88|-13.25|pin@1||4|-13.25
4310 Awire|net@4|||900|pin@2||3.88|-5|NMOS@0|d|3.88|-9.25
4311 Awire|net@5|||1800|NMOS@0|g|6.88|-11.25|pin@3||9|-11.25
4312 Awire|net@6|||900|NMOS@1|s|-4.12|-13.25|gnd@0||-4.12|-16.25
4313 Awire|net@7|||900|pin@6||-4.12|-5|NMOS@1|d|-4.12|-9.25
4314 Awire|net@8|||0|NMOS@1|g|-7.12|-11.25|pin@4||-9|-11.25
4315 Awire|net@9|||1800|pin@7||0|-5|pin@2||3.88|-5
4316 Awire|net@10|||2700|pin@3||9|-11.25|pin@0||9|4
4317 Awire|net@11|||0|conn@3|y|12.5|4|pin@0||9|4
4318 Awire|net@12|||900|pin@1||4|-13.25|conn@0|y|4|-15
4319 Awire|net@13|||1800|pin@2||3.88|-5|conn@1|a|13|-5
4320 Awire|net@14|||2700|pin@4||-9|-11.25|pin@5||-9|0
4321 Awire|net@15|||0|pin@5||-9|0|conn@2|y|-13.5|0
4322 Awire|net@16|||0|pin@7||0|-5|pin@6||-4.12|-5
4323 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)F0.4
4324 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.167
4325 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D0.5
4326 EresetV||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-3;)I4
4327 X
4328
4329 # Cell nor2n;1{ic}
4330 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4331 Ngeneric:Facet-Center|art@0||0|0||||AV
4332 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I78
4333 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
4334 NThick-Circle|art@3||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4335 NPin|pin@0||-1.5|1|1|1||
4336 NPin|pin@1||-2.5|1||||
4337 NPin|pin@2||0.5|-2|1|1||
4338 NPin|pin@3||-0.5|-2|1|1||
4339 NPin|pin@4||-0.5|2|1|1||
4340 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4341 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
4342 NPin|pin@7||0.5|2|1|1||
4343 NPin|pin@8||-2.5|-1||||
4344 NPin|pin@9||-1.5|-1|1|1||
4345 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
4346 NPin|pin@11||-0.5|-0.75|1|1||
4347 NPin|pin@12||0.75|-2|1|1||
4348 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
4349 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I78
4350 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I78
4351 AThicker|net@3|||FS0|pin@7||0.5|2|pin@4||-0.5|2|ART_color()I78
4352 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
4353 AThicker|net@5|||FS3150|pin@12||0.75|-2|pin@11||-0.5|-0.75|ART_color()I78
4354 Eina||D5G1;|pin@10||I
4355 Einb||D5G1;|pin@6||I
4356 Eout||D5G1;|pin@5||O
4357 X
4358
4359 # Cell nor2n;1{sch}
4360 Cnor2n;1{sch}||schematic|1021415734000|1231281018554||ATTR_Delay(D5G1;HNPX-17.5;Y8;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-17.5;Y7;)I-1|ATTR_X(D5G1;HNOJPX-17.5;Y9;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HNPTX-17.5;Y5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y4;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y6;)I-1|prototype_center()I[0,0]
4361 Ngeneric:Facet-Center|art@0||0|0||||AV
4362 NOff-Page|conn@0||10.75|3.75||||
4363 NOff-Page|conn@1||-8.5|11||||
4364 NOff-Page|conn@2||-8.25|-2||||
4365 IredFive:nor2n;1{ic}|nor2|D5G1;|1.25|3.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4366 Inor2n;1{ic}|nor2n@0||23|19.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEGATE()I1
4367 NWire_Pin|pin@0||-4.75|4.75||||
4368 NWire_Pin|pin@1||-4.75|11||||
4369 NWire_Pin|pin@2||-4.75|2.75||||
4370 NWire_Pin|pin@3||-4.75|-2||||
4371 Ngeneric:Invisible-Pin|pin@4||-2|25|||||ART_message(D5G6;)S[nor2n]
4372 Ngeneric:Invisible-Pin|pin@5||-2|20|||||ART_message(D5G2;)S["one-parameter Nor, looks like Nand"]
4373 Awire|net@0|||1800|nor2|out|3.75|3.75|conn@0|a|8.75|3.75
4374 Awire|net@1|||0|nor2|inb|-1.25|4.75|pin@0||-4.75|4.75
4375 Awire|net@2|||2700|pin@0||-4.75|4.75|pin@1||-4.75|11
4376 Awire|net@3|||0|pin@1||-4.75|11|conn@1|y|-6.5|11
4377 Awire|net@4|||0|nor2|ina|-1.25|2.75|pin@2||-4.75|2.75
4378 Awire|net@5|||900|pin@2||-4.75|2.75|pin@3||-4.75|-2
4379 Awire|net@6|||0|pin@3||-4.75|-2|conn@2|y|-6.25|-2
4380 Eina||D5G2;|conn@2|a|I
4381 Einb||D5G2;|conn@1|a|I
4382 Eout||D5G2;|conn@0|y|O
4383 X
4384
4385 # Cell nor2n_sy;2{ic}
4386 Cnor2n_sy;2{ic}||artwork|1021415734000|1231281340304|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4387 Ngeneric:Facet-Center|art@0||0|0||||AV
4388 NThick-Circle|art@5||-1|-1|1|1|||ART_color()I78
4389 NThick-Circle|art@6||-1|1|1|1|||ART_color()I78
4390 NThick-Circle|art@7||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4391 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4392 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4393 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4394 NPin|pin@8||-1.5|-1|1|1||
4395 NPin|pin@9||-1.5|1|1|1||
4396 NPin|pin@10||-2.5|1||||
4397 NPin|pin@11||0.5|-2|1|1||
4398 NPin|pin@12||-0.5|-2|1|1||
4399 NPin|pin@13||-0.5|2|1|1||
4400 NPin|pin@14||0.5|2|1|1||
4401 NPin|pin@15||-2.5|-1||||
4402 AThicker|net@3|||FS0|pin@11||0.5|-2|pin@12||-0.5|-2|ART_color()I78
4403 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@10||-2.5|1|ART_color()I78
4404 AThicker|net@5|||FS2700|pin@12||-0.5|-2|pin@13||-0.5|2|ART_color()I78
4405 AThicker|net@6|||FS0|pin@14||0.5|2|pin@13||-0.5|2|ART_color()I78
4406 AThicker|net@7|||FS0|pin@8||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
4407 Eina||D5G1;|pin@0||I
4408 Einb||D5G1;|pin@3||I
4409 Eout||D5G1;|pin@4||O
4410 X
4411
4412 # Cell nor2n_sy;2{sch}
4413 Cnor2n_sy;2{sch}||schematic|1021415734000|1231281234643||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
4414 Ngeneric:Facet-Center|art@0||0|0||||AV
4415 NOff-Page|conn@0||-14|1||||
4416 NOff-Page|conn@1||-14|-1|||Y|
4417 NOff-Page|conn@2||14|0|||Y|
4418 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
4419 Inor2n_sy;2{ic}|nor2n_sy@0||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4420 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
4421 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
4422 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)Snor2n_sy
4423 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
4424 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
4425 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
4426 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
4427 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
4428 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
4429 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
4430 X
4431
4432 # Cell pms1;1{ic}
4433 Cpms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4434 Ngeneric:Facet-Center|art@0||0|0||||AV
4435 NThick-Circle|art@1||-2|0|1|1|||ART_color()I78
4436 NPin|pin@0||-1.5|1|1|1||
4437 NPin|pin@1||-1.5|-1|1|1||
4438 NPin|pin@2||0.5|1.5||||
4439 NPin|pin@3||-0.5|2.5||||
4440 NPin|pin@4||0|2|1|1||
4441 NPin|pin@5||-2.5|0|1|1|RR|
4442 NPin|pin@6||-3|0|||RR|
4443 NPin|pin@7||0|-2||||
4444 NPin|pin@8||0|-1|1|1||
4445 NPin|pin@9||-0.75|-1|1|1||
4446 NPin|pin@10||-0.75|1|1|1||
4447 NPin|pin@11||0|1|1|1||
4448 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
4449 Nschematic:Bus_Pin|pin@13||0|-2|-2|-2||
4450 AThicker|net@0|||FS900|pin@0||-1.5|1|pin@1||-1.5|-1|ART_color()I78
4451 AThicker|net@1|||FS3150|pin@2||0.5|1.5|pin@3||-0.5|2.5|ART_color()I78
4452 AThicker|net@2|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I78
4453 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I78
4454 AThicker|net@4|||FS2700|pin@11||0|1|pin@4||0|2|ART_color()I78
4455 AThicker|net@5|||FS1800|pin@6||-3|0|pin@5||-2.5|0|ART_color()I78
4456 AThicker|net@6|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I78
4457 AThicker|net@7|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I78
4458 Ed||D5G1;|pin@13||O
4459 Eg||D5G1;|pin@12||I
4460 X
4461
4462 # Cell pms1;2{sch}
4463 Cpms1;2{sch}||schematic|1021415734000|1159375606671||ATTR_Delay(D5G1;HNPX-8.5;Y2.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y3.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-0.5;)I-1|prototype_center()I[0,0]
4464 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4465 Ngeneric:Facet-Center|art@0||0|0||||AV
4466 NOff-Page|conn@0||15.5|0||||
4467 NOff-Page|conn@1||-13.5|7||||
4468 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[P-type transistor to VDD]
4469 Ngeneric:Invisible-Pin|pin@1||-1|23|||||ART_message(D5G6;)S[pms1]
4470 NWire_Pin|pin@2||0|0||||
4471 Ipms1;1{ic}|pms1@0||14|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)S""
4472 NPower|pwr@0||0|14||||
4473 Awire|net@0|||900|pwr@0||0|14|PMOS@0|s|0|9
4474 Awire|net@1|||1800|conn@1|y|-11.5|7|PMOS@0|g|-3|7
4475 Awire|net@2|||2700|pin@2||0|0|PMOS@0|d|0|5
4476 Awire|net@3|||1800|pin@2||0|0|conn@0|a|13.5|0
4477 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4478 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.67
4479 X
4480
4481 # Cell pms1K;1{ic}
4482 Cpms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4483 Ngeneric:Facet-Center|art@0||0|0||||AV
4484 NOpened-Thicker-Polygon|art@1||-1.5|2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4485 NThick-Circle|art@2||-1.5|0|0.5|0.5|||ART_color()I78
4486 NPin|pin@0||-1.75|0|1|1||
4487 NPin|pin@1||-3|0||||
4488 NPin|pin@2||-1.25|0.75|1|1||
4489 NPin|pin@3||-1.25|-0.75|1|1||
4490 NPin|pin@4||0.5|1.5||||
4491 NPin|pin@5||-0.5|2.5||||
4492 NPin|pin@6||0|2|1|1||
4493 NPin|pin@7||0|-2||||
4494 NPin|pin@8||0|-0.75|1|1||
4495 NPin|pin@9||-0.75|-0.75|1|1||
4496 NPin|pin@10||-0.75|0.75|1|1||
4497 NPin|pin@11||0|0.75|1|1||
4498 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
4499 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
4500 AThicker|net@0|||FS0|pin@0||-1.75|0|pin@1||-3|0|ART_color()I78
4501 AThicker|net@1|||FS900|pin@2||-1.25|0.75|pin@3||-1.25|-0.75|ART_color()I78
4502 AThicker|net@2|||FS900|pin@10||-0.75|0.75|pin@9||-0.75|-0.75|ART_color()I78
4503 AThicker|net@3|||FS900|pin@8||0|-0.75|pin@7||0|-2|ART_color()I78
4504 AThicker|net@4|||FS1800|pin@9||-0.75|-0.75|pin@8||0|-0.75|ART_color()I78
4505 AThicker|net@5|||FS3150|pin@4||0.5|1.5|pin@5||-0.5|2.5|ART_color()I78
4506 AThicker|net@6|||FS2700|pin@11||0|0.75|pin@6||0|2|ART_color()I78
4507 AThicker|net@7|||FS0|pin@11||0|0.75|pin@10||-0.75|0.75|ART_color()I78
4508 Ed||D5G1;|pin@12||O
4509 Eg||D5G1;|pin@13||I
4510 X
4511
4512 # Cell pms1K;1{sch}
4513 Cpms1K;1{sch}||schematic|1021415734000|1159375602079||ATTR_Delay(D5G1;HNPX-10.5;Y1.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-10.5;Y-1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-10.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-10.5;Y2.5;)SLE.getdrive()|ATTR_drive1(D5G1;HNPTX-10.5;Y-2.5;)Sweak1|ATTR_su(D5G1;HNPTX-10.5;Y-0.5;)I-1|ATTR_verilog_template(D5G1;NTX-4;Y17;)Snot (highz0, $(drive1)) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
4514 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4515 Ngeneric:Facet-Center|art@0||0|0||||AV
4516 NOff-Page|conn@0||8|0||||
4517 NOff-Page|conn@1||-14|7||||
4518 NWire_Pin|pin@0||0|0||||
4519 Ngeneric:Invisible-Pin|pin@1||-0.5|19.5|||||ART_message(D5G2;)S[P-type keeper transistor to VDD]
4520 Ngeneric:Invisible-Pin|pin@2||-0.5|24|||||ART_message(D5G6;)S[pms1K]
4521 Ipms1K;1{ic}|pms1K@0||10|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
4522 NPower|pwr@0||0|14||||
4523 Awire|net@0|||900|pwr@0||0|14|PMOS@0|s|0|9
4524 Awire|net@1|||1800|conn@1|y|-12|7|PMOS@0|g|-3|7
4525 Awire|net@2|||2700|pin@0||0|0|PMOS@0|d|0|5
4526 Awire|net@3|||0|conn@0|a|6|0|pin@0||0|0
4527 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4528 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
4529 X
4530
4531 # Cell pms2;1{ic}
4532 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4533 Ngeneric:Facet-Center|art@0||0|0||||AV
4534 NThick-Circle|art@1||2|-4|1|1|||ART_color()I78
4535 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4536 NPin|pin@0||0|-3|1|1|YRR|
4537 NPin|pin@1||0.75|-3|1|1|YRR|
4538 NPin|pin@2||0.75|-5|1|1|YRR|
4539 NPin|pin@3||0|-5|1|1|YRR|
4540 NPin|pin@4||0|-6|||RR|
4541 NPin|pin@5||2.5|-4|1|1|RR|
4542 NPin|pin@6||3|-4|||RR|
4543 NPin|pin@7||0|-2|1|1|YRR|
4544 NPin|pin@8||1.5|-5|1|1|YRR|
4545 NPin|pin@9||1.5|-3|1|1|YRR|
4546 NPin|pin@10||-1.5|1|1|1||
4547 NPin|pin@11||-1.5|-1|1|1||
4548 NPin|pin@12||0.5|1.5|1|1||
4549 NPin|pin@13||-0.5|2.5||||
4550 NPin|pin@14||0|2|1|1||
4551 NPin|pin@15||-2.5|0|1|1|RR|
4552 NPin|pin@16||-3|0|||RR|
4553 NPin|pin@17||0|-2|1|1|YRR|
4554 NPin|pin@18||0|-1|1|1|YRR|
4555 NPin|pin@19||-0.75|-1|1|1||
4556 NPin|pin@20||-0.75|1|1|1||
4557 NPin|pin@21||0|1|1|1||
4558 Nschematic:Bus_Pin|pin@22||3|-4|-2|-2||
4559 Nschematic:Bus_Pin|pin@23||0|-6|-2|-2||
4560 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4561 AThicker|net@0|||FS900|pin@1||0.75|-3|pin@2||0.75|-5|ART_color()I78
4562 AThicker|net@1|||FS900|pin@3||0|-5|pin@4||0|-6|ART_color()I78
4563 AThicker|net@2|||FS0|pin@2||0.75|-5|pin@3||0|-5|ART_color()I78
4564 AThicker|net@3|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4565 AThicker|net@4|||FS900|pin@9||1.5|-3|pin@8||1.5|-5|ART_color()I78
4566 AThicker|net@5|||FS1800|pin@0||0|-3|pin@1||0.75|-3|ART_color()I78
4567 AThicker|net@6|||FS2700|pin@0||0|-3|pin@7||0|-2|ART_color()I78
4568 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@13||-0.5|2.5|ART_color()I78
4569 AThicker|net@8|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4570 AThicker|net@9|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4571 AThicker|net@10|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4572 AThicker|net@11|||FS1800|pin@16||-3|0|pin@15||-2.5|0|ART_color()I78
4573 AThicker|net@12|||FS2700|pin@21||0|1|pin@14||0|2|ART_color()I78
4574 AThicker|net@13|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4575 AThicker|net@14|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I78
4576 Ed||D5G1;|pin@23||O
4577 Eg||D5G1;|pin@24||I
4578 Eg2||D5G1;|pin@22||I
4579 X
4580
4581 # Cell pms2;1{sch}
4582 Cpms2;1{sch}||schematic|1021415734000|1159375592265||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_LEGATE(D5G1;HNPTX-12.5;Y5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y7;)I-1|ATTR_X(D5G1;HNOJPX-12.25;Y9;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-12.5;Y6;)I-1|prototype_center()I[0,0]
4583 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4584 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4585 Ngeneric:Facet-Center|art@0||0|0||||AV
4586 NOff-Page|conn@0||8.5|7|||YRR|
4587 NOff-Page|conn@1||8|0||||
4588 NOff-Page|conn@2||-8.5|15||||
4589 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[two P-type transistors to VDD]
4590 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
4591 NWire_Pin|pin@2||0|0||||
4592 Ipms2;1{ic}|pms2@0||20.25|21.75|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1
4593 NPower|pwr@0||0|22||||
4594 Awire|net@0|||900|pwr@0||0|22|PMOS@0|s|0|17
4595 Awire|net@1|||1800|conn@2|y|-6.5|15|PMOS@0|g|-3|15
4596 Awire|net@2|||900|PMOS@0|d|0|13|PMOS@1|s|0|9
4597 Awire|net@3|||0|conn@0|y|6.5|7|PMOS@1|g|3|7
4598 Awire|net@4|||2700|pin@2||0|0|PMOS@1|d|0|5
4599 Awire|net@5|||1800|pin@2||0|0|conn@1|a|6|0
4600 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
4601 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4602 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4603 X
4604
4605 # Cell pms2K;1{ic}
4606 Cpms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;HNOJPX3.5;Y1;)SLE.getdrive()|ATTR_su(D5G1;HNPX3.5;Y-1;)I-1|prototype_center()I[0,24000]
4607 Ngeneric:Facet-Center|art@0||0|0||||AV
4608 NOpened-Thicker-Polygon|art@1||0.75|-4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4609 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I78
4610 NThick-Circle|art@3||-2|0|1|1|||ART_color()I78
4611 Nschematic:Wire_Pin|pin@0||0|2|-0.5|-0.5||
4612 NPin|pin@1||-3|-1|1|1||
4613 NPin|pin@2||-3|-4|1|1||
4614 NPin|pin@3||-2.5|-4|1|1||
4615 NPin|pin@4||-2.5|0|1|1||
4616 NPin|pin@5||-3|0|1|1||
4617 NPin|pin@6||0|-3|1|1|YRR|
4618 NPin|pin@7||-0.75|-3|1|1|YRR|
4619 NPin|pin@8||-0.75|-5|1|1|YRR|
4620 NPin|pin@9||0|-5|1|1|YRR|
4621 NPin|pin@10||0|-6|||RR|
4622 NPin|pin@11||0|-2|1|1|YRR|
4623 NPin|pin@12||-1.5|-5|1|1|YRR|
4624 NPin|pin@13||-1.5|-3|1|1|YRR|
4625 NPin|pin@14||-1.5|1|1|1||
4626 NPin|pin@15||-1.5|-1|1|1||
4627 NPin|pin@16||0|2||||
4628 NPin|pin@17||0|-2|1|1|YRR|
4629 NPin|pin@18||0|-1|1|1|YRR|
4630 NPin|pin@19||-0.75|-1|1|1||
4631 NPin|pin@20||-0.75|1|1|1||
4632 NPin|pin@21||0|1|1|1||
4633 NPin|pin@22||-2|-1|1|1||
4634 NPin|pin@23||-3|-2|1|1||
4635 NPin|pin@24||-4|-1||||
4636 Nschematic:Bus_Pin|pin@25||-3|-4|-2|-2||
4637 Nschematic:Bus_Pin|pin@26||0|-6|-2|-2||
4638 AThicker|net@0|||FS2700|pin@1||-3|-1|pin@5||-3|0|ART_color()I78
4639 AThicker|net@1|||FS1800|pin@24||-4|-1|pin@1||-3|-1|ART_color()I78
4640 AThicker|net@2|||FS1800|pin@1||-3|-1|pin@22||-2|-1|ART_color()I78
4641 AThicker|net@3|||FS0|pin@3||-2.5|-4|pin@2||-3|-4|ART_color()I78
4642 AThicker|net@4|||FS0|pin@4||-2.5|0|pin@5||-3|0|ART_color()I78
4643 AThicker|net@5|||FS900|pin@9||0|-5|pin@10||0|-6|ART_color()I78
4644 AThicker|net@6|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4645 AThicker|net@7|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4646 AThicker|net@8|||FS2700|pin@21||0|1|pin@16||0|2|ART_color()I78
4647 AThicker|net@9|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4648 AThicker|net@10|||FS900|pin@14||-1.5|1|pin@15||-1.5|-1|ART_color()I78
4649 AThicker|net@11|||FS900|pin@13||-1.5|-3|pin@12||-1.5|-5|ART_color()I78
4650 AThicker|net@12|||FS900|pin@7||-0.75|-3|pin@8||-0.75|-5|ART_color()I78
4651 AThicker|net@13|||FS2700|pin@6||0|-3|pin@11||0|-2|ART_color()I78
4652 AThicker|net@14|||FS1800|pin@8||-0.75|-5|pin@9||0|-5|ART_color()I78
4653 AThicker|net@15|||FS0|pin@6||0|-3|pin@7||-0.75|-3|ART_color()I78
4654 AThicker|net@16|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4655 AThicker|net@17|||FS1350|pin@24||-4|-1|pin@23||-3|-2|ART_color()I78
4656 AThicker|net@18|||FS2250|pin@23||-3|-2|pin@22||-2|-1|ART_color()I78
4657 Ein||D5G1;|pin@25||I
4658 Eout||D5G1;|pin@26||O
4659 Esrc||D5G1;|pin@0||I
4660 X
4661
4662 # Cell pms2K;1{sch}
4663 Cpms2K;1{sch}||schematic|1021415734000|1159375596600||ATTR_Delay(D5G1;HNPX-9;Y1;)I100|ATTR_LEKEEPER(D5G1;HNPX-9;Y-1;)I1|ATTR_SP(D5G1;HNOJPX-9;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPX-9;)I-1|prototype_center()I[0,0]
4664 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@0||0|14|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@SP==0?0:@SP<0.25?(0.25*(2-0.4)/@SP + 0.4):2|ATTR_W(D6G1;NOJPX2;Y1;)S@SP>1?3*@SP:3
4665 IorangeTSMC090nm:PMOS4f;1{ic}|PMOS4f@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NPX3.5;)I2|ATTR_W(D6G1;NPX2;Y1;)I3
4666 Ngeneric:Facet-Center|art@0||0|0||||AV
4667 NOff-Page|conn@0||-14.25|18.75||||
4668 NOff-Page|conn@1||-14|7||||
4669 NOff-Page|conn@2||8|0||||
4670 NGround|gnd@0||-6|10||||
4671 NWire_Pin|pin@0||2|7.5||||
4672 NWire_Pin|pin@1||2|14.5||||
4673 NWire_Pin|pin@2||0|18.75||||
4674 NWire_Pin|pin@3||-6|14||||
4675 Ngeneric:Invisible-Pin|pin@4||-1|31|||||ART_message(D5G6;)S[pms2K]
4676 Ngeneric:Invisible-Pin|pin@5||-1|26|||||ART_message(D5G2;)S[min P-type with resistor to pin]
4677 NWire_Pin|pin@6||0|0||||
4678 Ipms2K;1{ic}|pms2K@0||11|19|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)I-1
4679 NPower|pwr@0||2|21||||
4680 Awire|net@0|||900|pin@1||2|14.5|pin@0||2|7.5
4681 Awire|net@1|||900|pin@2||0|18.75|PMOS4f@0|s|0|16
4682 Awire|net@2|||0|pin@1||2|14.5|PMOS4f@0|b|0|14.5
4683 Awire|net@3|||1800|pin@3||-6|14|PMOS4f@0|g|-3|14
4684 Awire|net@4|||900|PMOS4f@0|d|0|12|PMOS4f@1|s|0|9
4685 Awire|net@5|||0|pin@0||2|7.5|PMOS4f@1|b|0|7.5
4686 Awire|net@6|||1800|conn@1|y|-12|7|PMOS4f@1|g|-3|7
4687 Awire|net@7|||2700|pin@6||0|0|PMOS4f@1|d|0|5
4688 Awire|net@8|||900|pwr@0||2|21|pin@1||2|14.5
4689 Awire|net@9|||0|pin@2||0|18.75|conn@0|y|-12.25|18.75
4690 Awire|net@10|||900|pin@3||-6|14|gnd@0||-6|12
4691 Awire|net@11|||0|conn@2|a|6|0|pin@6||0|0
4692 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I0
4693 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
4694 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I1
4695 X
4696
4697 # Cell pms2_sy;1{ic}
4698 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4699 Ngeneric:Facet-Center|art@0||0|0||||AV
4700 NThick-Circle|art@1||-1.75|-4|1|1|||ART_color()I78
4701 NThick-Circle|art@2||1.75|0|1|1|||ART_color()I78
4702 NThick-Circle|art@3||1.75|-4|1|1|||ART_color()I78
4703 NThick-Circle|art@4||-1.75|0|1|1|||ART_color()I78
4704 NPin|pin@0||-2.25|0|1|1||
4705 NPin|pin@1||-2.25|-4|1|1||
4706 NPin|pin@2||2.25|-4|1|1||
4707 NPin|pin@3||2.25|0|1|1||
4708 NPin|pin@4||2.5|0|1|1||
4709 NPin|pin@5||2.5|-4|1|1|RR|
4710 NPin|pin@6||3|-4|||RR|
4711 NPin|pin@7||-2.5|-4|1|1||
4712 NPin|pin@8||-2.5|0|1|1|RR|
4713 NPin|pin@9||-3|0|||RR|
4714 NPin|pin@10||-0.25|-1|1|1||
4715 NPin|pin@11||-1.25|-3|1|1||
4716 NPin|pin@12||-1.25|-5|1|1||
4717 NPin|pin@13||0|-5|1|1|YRR|
4718 NPin|pin@14||-0.75|-5|1|1||
4719 NPin|pin@15||-0.75|-3|1|1||
4720 NPin|pin@16||-0.25|-3|1|1||
4721 NPin|pin@17||0|1|1|1|YRR|
4722 NPin|pin@18||0.75|1|1|1|YRR|
4723 NPin|pin@19||0.75|-1|1|1|YRR|
4724 NPin|pin@20||0.25|-1|1|1||
4725 NPin|pin@21||1.25|-1|1|1|YRR|
4726 NPin|pin@22||1.25|1|1|1|YRR|
4727 NPin|pin@23||0.25|-3|1|1|YRR|
4728 NPin|pin@24||0.75|-3|1|1|YRR|
4729 NPin|pin@25||0.75|-5|1|1|YRR|
4730 NPin|pin@26||0|-5|1|1|YRR|
4731 NPin|pin@27||0|-6|||RR|
4732 NPin|pin@28||1.25|-5|1|1|YRR|
4733 NPin|pin@29||1.25|-3|1|1|YRR|
4734 NPin|pin@30||-1.25|1|1|1||
4735 NPin|pin@31||-1.25|-1|1|1||
4736 NPin|pin@32||0.5|1.5|1|1||
4737 NPin|pin@33||-0.5|2.5||||
4738 NPin|pin@34||0|2|1|1||
4739 NPin|pin@35||-0.75|-1|1|1||
4740 NPin|pin@36||-0.75|1|1|1||
4741 NPin|pin@37||0|1|1|1||
4742 Nschematic:Bus_Pin|pin@38||0|-6|-2|-2||
4743 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
4744 Nschematic:Bus_Pin|pin@40||3|-4|-2|-2||
4745 AThicker|net@0|||FS0|pin@0||-2.25|0|pin@8||-2.5|0|ART_color()I78
4746 AThicker|net@1|||FS0|pin@1||-2.25|-4|pin@7||-2.5|-4|ART_color()I78
4747 AThicker|net@2|||FS1800|pin@2||2.25|-4|pin@5||2.5|-4|ART_color()I78
4748 AThicker|net@3|||FS1800|pin@3||2.25|0|pin@4||2.5|0|ART_color()I78
4749 AThicker|net@4|||FS900|pin@4||2.5|0|pin@5||2.5|-4|ART_color()I78
4750 AThicker|net@5|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4751 AThicker|net@6|||FS2700|pin@7||-2.5|-4|pin@8||-2.5|0|ART_color()I78
4752 AThicker|net@7|||FS1800|pin@9||-3|0|pin@8||-2.5|0|ART_color()I78
4753 AThicker|net@8|||FS2560|pin@16||-0.25|-3|pin@20||0.25|-1|ART_color()I78
4754 AThicker|net@9|||FS2840|pin@23||0.25|-3|pin@10||-0.25|-1|ART_color()I78
4755 AThicker|net@10|||FS0|pin@10||-0.25|-1|pin@35||-0.75|-1|ART_color()I78
4756 AThicker|net@11|||FS1800|pin@14||-0.75|-5|pin@13||0|-5|ART_color()I78
4757 AThicker|net@12|||FS0|pin@16||-0.25|-3|pin@15||-0.75|-3|ART_color()I78
4758 AThicker|net@13|||FS900|pin@15||-0.75|-3|pin@14||-0.75|-5|ART_color()I78
4759 AThicker|net@14|||FS900|pin@11||-1.25|-3|pin@12||-1.25|-5|ART_color()I78
4760 AThicker|net@15|||FS0|pin@19||0.75|-1|pin@20||0.25|-1|ART_color()I78
4761 AThicker|net@16|||FS1800|pin@17||0|1|pin@18||0.75|1|ART_color()I78
4762 AThicker|net@17|||FS900|pin@18||0.75|1|pin@19||0.75|-1|ART_color()I78
4763 AThicker|net@18|||FS900|pin@22||1.25|1|pin@21||1.25|-1|ART_color()I78
4764 AThicker|net@19|||FS2700|pin@37||0|1|pin@34||0|2|ART_color()I78
4765 AThicker|net@20|||FS3150|pin@32||0.5|1.5|pin@33||-0.5|2.5|ART_color()I78
4766 AThicker|net@21|||FS0|pin@37||0|1|pin@36||-0.75|1|ART_color()I78
4767 AThicker|net@22|||FS900|pin@30||-1.25|1|pin@31||-1.25|-1|ART_color()I78
4768 AThicker|net@23|||FS900|pin@36||-0.75|1|pin@35||-0.75|-1|ART_color()I78
4769 AThicker|net@24|||FS900|pin@26||0|-5|pin@27||0|-6|ART_color()I78
4770 AThicker|net@25|||FS900|pin@29||1.25|-3|pin@28||1.25|-5|ART_color()I78
4771 AThicker|net@26|||FS900|pin@24||0.75|-3|pin@25||0.75|-5|ART_color()I78
4772 AThicker|net@27|||FS0|pin@25||0.75|-5|pin@26||0|-5|ART_color()I78
4773 AThicker|net@28|||FS1800|pin@23||0.25|-3|pin@24||0.75|-3|ART_color()I78
4774 Ed||D5G1;|pin@38||O
4775 Eg||D5G1;|pin@39||I
4776 Eg2||D5G1;|pin@40||I
4777 X
4778
4779 # Cell pms2_sy;1{sch}
4780 Cpms2_sy;1{sch}||schematic|1021415734000|1159375585690||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-21;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20.5;Y-11.5;)I-1|ATTR_X(D5G1;HNOJPX-20.5;Y-9.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20.5;Y-12.5;)I-1|prototype_center()I[0,0]
4781 Ngeneric:Facet-Center|art@0||0|0||||AV
4782 NOff-Page|conn@0||16.5|-11|||Y|
4783 NOff-Page|conn@1||16|-4|||YRR|
4784 NOff-Page|conn@2||-13|0||||
4785 NWire_Pin|pin@0||0|-11||||
4786 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
4787 Ngeneric:Invisible-Pin|pin@2||-2.5|9|||||ART_message(D5G2;)S[symmetric P-type two-stack]
4788 IredFive:pms2_sy;1{ic}|pms2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
4789 Ipms2_sy;1{ic}|pms2_sy@1||28|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1
4790 Awire|net@0|||1800|pms2_sy@0|g2|3|-4|conn@1|y|14|-4
4791 Awire|net@1|||2700|pin@0||0|-11|pms2_sy@0|d|0|-6
4792 Awire|net@2|||0|pms2_sy@0|g|-3|0|conn@2|y|-11|0
4793 Awire|net@3|||1800|pin@0||0|-11|conn@0|a|14.5|-11
4794 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F1.33
4795 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)F1.33
4796 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
4797 X
4798
4799 # Cell pms3;1{ic}
4800 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3.5;Y-1;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4801 Ngeneric:Facet-Center|art@0||0|0||||AV
4802 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I78
4803 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4804 NThick-Circle|art@3||2|-4|1|1|||ART_color()I78
4805 NPin|pin@0||-3|-8|1|1|RR|
4806 NPin|pin@1||-2.5|-8|||RR|
4807 NPin|pin@2||-1.5|-9|1|1|YRR|
4808 NPin|pin@3||-1.5|-7|1|1|YRR|
4809 NPin|pin@4||0|-10|0.5|0.5||
4810 NPin|pin@5||0|-9|0.5|0.5||
4811 NPin|pin@6||-0.75|-9|0.5|0.5||
4812 NPin|pin@7||-0.75|-7|0.5|0.5||
4813 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
4814 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
4815 Nschematic:Bus_Pin|pin@10||0|-10|-2|-2||
4816 Nschematic:Bus_Pin|pin@11||3|-4|-2|-2||
4817 NPin|pin@12||0|1|1|1||
4818 NPin|pin@13||-0.75|1|1|1||
4819 NPin|pin@14||-0.75|-1|1|1||
4820 NPin|pin@15||0|-1|1|1|YRR|
4821 NPin|pin@16||0|-2|1|1|YRR|
4822 NPin|pin@17||-3|0|||RR|
4823 NPin|pin@18||-2.5|0|1|1|RR|
4824 NPin|pin@19||0|2|1|1||
4825 NPin|pin@20||-0.5|2.5||||
4826 NPin|pin@21||0.5|1.5|1|1||
4827 NPin|pin@22||-1.5|-1|1|1||
4828 NPin|pin@23||-1.5|1|1|1||
4829 NPin|pin@24||1.5|-3|1|1|YRR|
4830 NPin|pin@25||1.5|-5|1|1|YRR|
4831 NPin|pin@26||0|-2|1|1|YRR|
4832 NPin|pin@27||3|-4|||RR|
4833 NPin|pin@28||2.5|-4|1|1|RR|
4834 NPin|pin@29||0|-7|||RR|
4835 NPin|pin@30||0|-5|1|1|YRR|
4836 NPin|pin@31||0.75|-5|1|1|YRR|
4837 NPin|pin@32||0.75|-3|1|1|YRR|
4838 NPin|pin@33||0|-3|1|1|YRR|
4839 AThicker|net@0|||FS1800|pin@0||-3|-8|pin@1||-2.5|-8|ART_color()I78
4840 AThicker|net@1|||FS900|pin@3||-1.5|-7|pin@2||-1.5|-9|ART_color()I78
4841 AThicker|net@2|||FS2700|pin@4||0|-10|pin@5||0|-9|ART_color()I78
4842 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I78
4843 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I78
4844 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@29||0|-7|ART_color()I78
4845 AThicker|net@6|||FS900|pin@23||-1.5|1|pin@22||-1.5|-1|ART_color()I78
4846 AThicker|net@7|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
4847 AThicker|net@8|||FS2700|pin@12||0|1|pin@19||0|2|ART_color()I78
4848 AThicker|net@9|||FS1800|pin@17||-3|0|pin@18||-2.5|0|ART_color()I78
4849 AThicker|net@10|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
4850 AThicker|net@11|||FS900|pin@15||0|-1|pin@16||0|-2|ART_color()I78
4851 AThicker|net@12|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
4852 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@20||-0.5|2.5|ART_color()I78
4853 AThicker|net@14|||FS2700|pin@33||0|-3|pin@26||0|-2|ART_color()I78
4854 AThicker|net@15|||FS1800|pin@33||0|-3|pin@32||0.75|-3|ART_color()I78
4855 AThicker|net@16|||FS900|pin@24||1.5|-3|pin@25||1.5|-5|ART_color()I78
4856 AThicker|net@17|||FS1800|pin@28||2.5|-4|pin@27||3|-4|ART_color()I78
4857 AThicker|net@18|||FS0|pin@31||0.75|-5|pin@30||0|-5|ART_color()I78
4858 AThicker|net@19|||FS900|pin@30||0|-5|pin@29||0|-7|ART_color()I78
4859 AThicker|net@20|||FS900|pin@32||0.75|-3|pin@31||0.75|-5|ART_color()I78
4860 Ed||D5G1;|pin@10||O
4861 Eg||D5G1;|pin@9||I
4862 Eg2||D5G1;|pin@11||I
4863 Eg3||D5G1;|pin@8||I
4864 X
4865
4866 # Cell pms3;1{sch}
4867 Cpms3;1{sch}||schematic|1021415734000|1159379854786||ATTR_Delay(D5G1;HNPX-17;Y1;)I100|ATTR_LEGATE(D5G1;HNPTX-17.5;Y-2;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;)I-1|ATTR_X(D5G1;HNOJPX-17.25;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-17.5;Y-1;)I-1|prototype_center()I[0,0]
4868 Ngeneric:Facet-Center|art@0||0|0||||AV
4869 NOff-Page|conn@0||-9.5|7||||
4870 NOff-Page|conn@1||-9|15||||
4871 NOff-Page|conn@2||8|0||||
4872 NOff-Page|conn@3||8.5|11|||YRR|
4873 NWire_Pin|pin@0||0|0||||
4874 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
4875 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[three P-type transistors to VDD]
4876 IredFive:pms3;1{ic}|pms3@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1;)S@Delay|ATTR_X(D5G1.5;NOJPX2.75;Y3;)S@X
4877 Ipms3;1{ic}|pms3@1||27.25|27.88|||D0G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1
4878 Awire|net@0|||0|pms3@0|g3|-3|7|conn@0|y|-7.5|7
4879 Awire|net@1|||1800|pms3@0|g2|3|11|conn@3|y|6.5|11
4880 Awire|net@2|||1800|conn@1|y|-7|15|pms3@0|g|-3|15
4881 Awire|net@3|||2700|pin@0||0|0|pms3@0|d|0|5
4882 Awire|net@4|||1800|pin@0||0|0|conn@2|a|6|0
4883 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
4884 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4885 Eg2||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4886 Eg3||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4887 X
4888
4889 # Cell triInv;1{ic}
4890 CtriInv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4891 Ngeneric:Facet-Center|art@0||0|0||||AV
4892 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I78
4893 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
4894 NPin|pin@0||0|-1|||R|
4895 NPin|pin@1||0|-2|1|1|YR|
4896 NPin|pin@2||0|2|1|1|R|
4897 NPin|pin@3||0|1.5|||R|
4898 Ngeneric:Invisible-Pin|pin@4||0|2||||
4899 Ngeneric:Invisible-Pin|pin@5||0|-2||||
4900 NPin|pin@6||-1.5|-2|1|1||
4901 NPin|pin@7||-1.5|2|1|1||
4902 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4903 NPin|pin@9||-2.5|0||||
4904 NPin|pin@10||-1.5|0|1|1||
4905 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
4906 NPin|pin@12||1.5|0|1|1||
4907 AThicker|net@0|||FS2700|pin@1||0|-2|pin@0||0|-1|ART_color()I78
4908 AThicker|net@1|||FS900|pin@2||0|2|pin@3||0|1.5|ART_color()I78
4909 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
4910 AThicker|net@3|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I78
4911 AThicker|net@4|||FS337|pin@12||1.5|0|pin@6||-1.5|-2|ART_color()I78
4912 AThicker|net@5|||FS3263|pin@12||1.5|0|pin@7||-1.5|2|ART_color()I78
4913 Een||D5G1;|pin@5||I
4914 EenB||D5G1;|pin@4||I
4915 Ein||D5G1;|pin@11||I
4916 Eout||D5G1;|pin@8||O
4917 X
4918
4919 # Cell triInv;1{sch}
4920 CtriInv;1{sch}||schematic|1021415734000|1159376021331||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
4921 Ngeneric:Facet-Center|art@0||0|0||||AV
4922 NOff-Page|conn@0||0.5|8|||RRR|
4923 NOff-Page|conn@1||0.5|-7|||R|
4924 NOff-Page|conn@2||-14|0||||
4925 NOff-Page|conn@3||11|0||||
4926 Ngeneric:Invisible-Pin|pin@0||-1.5|24|||||ART_message(D5G6;)S[invTri]
4927 Ngeneric:Invisible-Pin|pin@1||-2|18.5|||||ART_message(D5G2;)S[one-parameter tri-state inverter]
4928 Ngeneric:Invisible-Pin|pin@2||19|-14|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
4929 Ngeneric:Invisible-Pin|pin@3||-2|15.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
4930 IredFive:triInv;1{ic}|triInv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2;)S@X
4931 ItriInv;1{ic}|triInv@1||28.5|17|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
4932 Awire|net@0|||2700|triInv@0|enB|0.5|2|conn@0|y|0.5|6
4933 Awire|net@1|||900|triInv@0|en|0.5|-2|conn@1|y|0.5|-5
4934 Awire|net@2|||1800|conn@2|y|-12|0|triInv@0|in|-2|0
4935 Awire|net@3|||1800|triInv@0|out|3|0|conn@3|a|9|0
4936 Een||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX-1;)F0.6667
4937 EenB||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F1.333
4938 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)I2
4939 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY2;)I2
4940 X
4941
4942 # Cell wire350;1{ic}
4943 Cwire350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1;HP)I100|ATTR_LEWIRE(D5G1;HNPY-3.25;)I1|ATTR_layer(D5G1;HNPY-1.25;)I1|ATTR_width(D5G1;HNPY-2.25;)I3|prototype_center()I[2000,0]
4944 Ngeneric:Facet-Center|art@0||0|0||||AV
4945 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4946 NThick-Circle|art@2||1.5|0|1.5|1.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4947 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
4948 Nschematic:Bus_Pin|pin@1||2.25|0|-2|-2||
4949 NPin|pin@2||-1.75|0.75|1|1||
4950 NPin|pin@3||1.5|0.75|1|1||
4951 NPin|pin@4||1.5|-0.75|1|1||
4952 NPin|pin@5||-1.75|-0.75|1|1||
4953 AThicker|net@0|||FS0|pin@3||1.5|0.75|pin@2||-1.75|0.75|ART_color()I78
4954 AThicker|net@1|||FS0|pin@4||1.5|-0.75|pin@5||-1.75|-0.75|ART_color()I78
4955 Ea||D5G1;|pin@0||U
4956 Eb||D5G1;|pin@1||U
4957 X
4958
4959 # Cell wire350;1{sch}
4960 Cwire350;1{sch}||schematic|1012169378000|1056433201000||ATTR_L(D5G1;HNPX-17;Y-11.5;)I100|ATTR_LEWIRE(D5G1;HNPX-17;Y-13.5;)I1|ATTR_layer(D5G1;HNPX-17;Y-12.5;)I1|ATTR_width(D5G1;HNPX-17;Y-13.5;)I3|prototype_center()I[0,0]
4961 Ngeneric:Facet-Center|art@0||0|0||||AV
4962 NOff-Page|conn@0||-22|3||||
4963 NOff-Page|conn@1||22|3|||RR|
4964 Ngeneric:Invisible-Pin|pin@0||-4|22|||||ART_message(D5G6;)S[wire350]
4965 Ngeneric:Invisible-Pin|pin@1||-16|14.5|||||ART_message(D6G2;)S["wire in layer 'layer',","L lambda long,","'width' lambda wide,",for the 350nm tech]
4966 Ngeneric:Invisible-Pin|pin@2||-13|7|||||ART_message(D5G1;)S[1/4 of the length]
4967 Ngeneric:Invisible-Pin|pin@3||-1|7|||||ART_message(D5G1;)S[1/2 of the length]
4968 Ngeneric:Invisible-Pin|pin@4||13|7|||||ART_message(D5G1;)S[1/4 of the length]
4969 NWire_Pin|pin@5||-7|3||||
4970 NWire_Pin|pin@6||7|3||||
4971 Iwire350;1{ic}|wire350@0||26|19|||D0G4;|ATTR_L(D5G1;P)I100|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3
4972 IwireC350;1{ic}|wireC350@0||-7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4973 IwireC350;1{ic}|wireC350@1||7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4974 IwireR350;1{ic}|wireR350@0||13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4975 IwireR350;1{ic}|wireR350@1||-0.5|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/2.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4976 IwireR350;1{ic}|wireR350@2||-13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4977 Awire|net@0|||1800|pin@5||-7|3|wireR350@1|a|-4.5|3
4978 Awire|net@1|||1800|wireR350@1|b|3.5|3|pin@6||7|3
4979 Awire|net@2|||1800|pin@6||7|3|wireR350@0|a|9|3
4980 Awire|net@3|||0|conn@1|y|20|3|wireR350@0|b|17|3
4981 Awire|net@4|||0|pin@5||-7|3|wireR350@2|b|-9|3
4982 Awire|net@5|||1800|conn@0|y|-20|3|wireR350@2|a|-17|3
4983 Awire|net@6|||900|pin@5||-7|3|wireC350@0|a|-7|-4
4984 Awire|net@7|||900|pin@6||7|3|wireC350@1|a|7|-4
4985 Ea||D5G2;|conn@0|a|U
4986 Eb||D5G2;|conn@1|a|U
4987 X
4988
4989 # Cell wireC350;1{ic}
4990 CwireC350;1{ic}||artwork|1014599103000|1204140525662|E|ATTR_L(D6G1.5;HNPX1.5;Y0.5;)I100|ATTR_layer(D5G1;HNPX3;Y-1.5;)I1|ATTR_width(D5G1;HNPX3;Y-0.5;)I3|prototype_center()I[0,0]
4991 Ngeneric:Facet-Center|art@0||0|0||||AV
4992 NPin|pin@0||0|-3|1|1||
4993 NPin|pin@1||-1|-2|1|1|||ART_color()I78
4994 NPin|pin@2||1|-2|1|1|||ART_color()I78
4995 NPin|pin@3||0|-2|1|1||
4996 NPin|pin@4||0|-0.25|1|1|||ART_color()I78
4997 NPin|pin@5||0|2|1|1||
4998 NPin|pin@6||0|0.25|1|1|||ART_color()I78
4999 NPin|pin@7||-1|0.25|1|1|||ART_color()I78
5000 NPin|pin@8||1|0.25|1|1|||ART_color()I78
5001 NPin|pin@9||1|-0.25|1|1|||ART_color()I78
5002 NPin|pin@10||-1|-0.25|1|1|||ART_color()I78
5003 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
5004 AThicker|net@0|||FS450|pin@2||1|-2|pin@0||0|-3|ART_color()I78
5005 AThicker|net@1|||FS3150|pin@0||0|-3|pin@1||-1|-2|ART_color()I78
5006 AThicker|net@2|||FS0|pin@2||1|-2|pin@1||-1|-2|ART_color()I78
5007 AThicker|net@4|||FS900|pin@5||0|2|pin@6||0|0.25|ART_color()I78
5008 AThicker|net@5|||FS0|pin@8||1|0.25|pin@7||-1|0.25|ART_color()I78
5009 AThicker|net@6|||FS0|pin@9||1|-0.25|pin@10||-1|-0.25|ART_color()I78
5010 Ea||D5G1;|pin@11||I
5011 X
5012
5013 # Cell wireC350;1{sch}
5014 CwireC350;1{sch}||schematic|1014598612000|1025280871000||ATTR_L(D5G1;HNPX-16;Y-4;)I100|ATTR_layer(D5G1;HNPX-16;Y-5;)I1|ATTR_width(D5G1;HNPX-16;Y-6;)I3|prototype_center()I[0,0]
5015 Ngeneric:Facet-Center|art@0||0|0||||AV
5016 NCapacitor|cap@0||0|0|||||SCHEM_capacitance(D5G1;OJUC)S(@layer<4?0.04:0.056) * @L * 1e-15
5017 NOff-Page|conn@0||0|7|||RRR|
5018 NGround|gnd@0||0|-6||||
5019 Ngeneric:Invisible-Pin|pin@0||0|-9|||||ART_message(D5G1;)S["(P(\"M\")<4?0.04:0.056)*P(\"L\")"]
5020 Ngeneric:Invisible-Pin|pin@1||-20|9|||||ART_message(D6G2;)S[the capacitance in fF of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
5021 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G6;)S[wireC350]
5022 IwireC350;1{ic}|wireC350@0||9|9|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
5023 Awire|net@0|||2700|cap@0|a|0|2|conn@0|y|0|5
5024 Awire|net@1|||2700|gnd@0||0|-4|cap@0|b|0|-2
5025 Ea||D5G2;|conn@0|a|I
5026 X
5027
5028 # Cell wireR350;1{ic}
5029 CwireR350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1.5;HNPY1;)I100|ATTR_layer(D5G1;HNPY-2.5;)I1|ATTR_width(D5G1;HNPY-1.5;)I3|prototype_center()I[0,0]
5030 Ngeneric:Facet-Center|art@0||0|0||||AV
5031 NPin|pin@0||-4|0|1|1||
5032 NPin|pin@1||4|0|1|1||
5033 NPin|pin@2||2.5|0|1|1||
5034 NPin|pin@3||2|-1|1|1||
5035 NPin|pin@4||1|1|1|1||
5036 NPin|pin@5||0|-1|1|1||
5037 NPin|pin@6||-1|1|1|1||
5038 NPin|pin@7||-2|-1|1|1||
5039 NPin|pin@8||-2.5|0|1|1||
5040 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
5041 Nschematic:Bus_Pin|pin@10||4|0|-2|-2||
5042 AThicker|net@0|||FS1800|pin@0||-4|0|pin@8||-2.5|0|ART_color()I78
5043 AThicker|net@1|||FS0|pin@1||4|0|pin@2||2.5|0|ART_color()I78
5044 AThicker|net@2|||FS634|pin@2||2.5|0|pin@3||2|-1|ART_color()I78
5045 AThicker|net@3|||FS2966|pin@3||2|-1|pin@4||1|1|ART_color()I78
5046 AThicker|net@4|||FS634|pin@4||1|1|pin@5||0|-1|ART_color()I78
5047 AThicker|net@5|||FS2966|pin@5||0|-1|pin@6||-1|1|ART_color()I78
5048 AThicker|net@6|||FS634|pin@6||-1|1|pin@7||-2|-1|ART_color()I78
5049 AThicker|net@7|||FS2966|pin@7||-2|-1|pin@8||-2.5|0|ART_color()I78
5050 Ea||D5G1;|pin@9||U
5051 Eb||D5G1;|pin@10||U
5052 X
5053
5054 # Cell wireR350;1{sch}
5055 CwireR350;1{sch}||schematic|1012169378000|1025280871000||ATTR_L(D5G1;HNPX-5.5;Y-5;)I100|ATTR_layer(D5G1;HNPX-5.5;Y-6;)I1|ATTR_width(D5G1;HNPX-5.5;Y-7;)I3|prototype_center()I[0,0]
5056 Ngeneric:Facet-Center|art@0||0|0||||AV
5057 NOff-Page|conn@0||-14|0||||
5058 NOff-Page|conn@1||14|0|||RR|
5059 Ngeneric:Invisible-Pin|pin@0||-16|12|||||ART_message(D6G2;)S[the resistance in ohms of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
5060 Ngeneric:Invisible-Pin|pin@1||0|5|||||ART_message(D5G1;)S["(P(\"M\")==0?6.5:P(\"M\")<4?0.084:0.0504)*P(\"L\")/P(\"W\")"]
5061 Ngeneric:Invisible-Pin|pin@2||-4|20.5|||||ART_message(D5G6;)S[wireR350]
5062 NResistor|res@0||0|0|||||SCHEM_resistance(D5G1;OJURY1.5;)S(@layer==0?6.5:@layer<4?0.084:0.0504)*@L/@width
5063 IwireR350;1{ic}|wireR350@0||11|10|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3
5064 Awire|net@0|||0|conn@1|y|12|0|res@0|b|2|0
5065 Awire|net@1|||0|res@0|a|-2|0|conn@0|y|-12|0
5066 Ea||D5G2;|conn@0|a|U
5067 Eb||D5G2;|conn@1|a|U
5068 X
5069
5070 # Cell xor2;1{ic}
5071 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
5072 Ngeneric:Facet-Center|art@0||0|0||||AV
5073 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
5074 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
5075 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
5076 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I78|ART_degrees()I800
5077 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I78
5078 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I78
5079 NPin|pin@0||-0.5|-1.75|1|1||
5080 NPin|pin@1||-1|-1.25|1|1||
5081 NPin|pin@2||-2|1.5|1|1||
5082 NPin|pin@3||-3.5|1.5||||
5083 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
5084 Nschematic:Bus_Pin|pin@5||-3.5|1.5|-2|-2||
5085 NPin|pin@6||-3.5|-0.5||||
5086 NPin|pin@7||-1.5|-0.5|1|1||
5087 Nschematic:Bus_Pin|pin@8||-3.5|-0.5|-2|-2||
5088 Ngeneric:Invisible-Pin|pin@9||-3.5|-1.5||||
5089 Ngeneric:Invisible-Pin|pin@10||-3.5|0.5||||
5090 NPin|pin@11||-3.5|0.5||||
5091 NPin|pin@12||-2.5|0.5|1|1||
5092 NPin|pin@13||-3|-1.5|1|1||
5093 NPin|pin@14||-3.5|-1.5||||
5094 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
5095 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
5096 AThicker|net@2|||FS0|pin@2||-2|1.5|pin@3||-3.5|1.5|ART_color()I78
5097 AThicker|net@3|||FS0|pin@7||-1.5|-0.5|pin@6||-3.5|-0.5|ART_color()I78
5098 AThicker|net@4|||FS0|pin@12||-2.5|0.5|pin@11||-3.5|0.5|ART_color()I78
5099 AThicker|net@5|||FS0|pin@13||-3|-1.5|pin@14||-3.5|-1.5|ART_color()I78
5100 Eina||D5G1;|pin@8||I
5101 EinaB||D5G1;|pin@9||I
5102 Einb||D5G1;|pin@5||I
5103 EinbB||D5G1;|pin@10||I
5104 Eout||D5G1;|pin@4||O
5105 X
5106
5107 # Cell xor2;1{sch}
5108 Cxor2;1{sch}||schematic|1021415734000|1159376016515||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
5109 Ngeneric:Facet-Center|art@0||0|0||||AV
5110 NOff-Page|conn@0||14|0|||Y|
5111 NOff-Page|conn@1||-34|1.5||||
5112 NOff-Page|conn@2||-18|-0.5|||Y|
5113 NOff-Page|conn@3||-10.5|-1.5|||Y|
5114 NOff-Page|conn@4||-25.5|0.5||||
5115 Ngeneric:Invisible-Pin|pin@0||-2|15|||||ART_message(D5G6;)S[xor2]
5116 Ngeneric:Invisible-Pin|pin@1||-2|10|||||ART_message(D5G2;)S[one-parameter XOR]
5117 Ixor2;1{ic}|xor2@0||20.48|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
5118 IredFive:xor2;1{ic}|xor2@1||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
5119 Awire|net@0|||0|conn@0|a|12|0|xor2@1|out|1.5|0
5120 Awire|net@1|||1800|conn@2|y|-16|-0.5|xor2@1|ina|-3.5|-0.5
5121 Awire|net@2|||0|xor2@1|inb|-3.5|1.5|conn@1|y|-32|1.5
5122 Awire|net@3|||0|xor2@1|inaB|-3.5|-1.5|conn@3|y|-8.5|-1.5
5123 Awire|net@4|||0|xor2@1|inbB|-3.5|0.5|conn@4|y|-23.5|0.5
5124 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)I2
5125 EinaB||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)I2
5126 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I2
5127 EinbB||D5G2;|conn@4|a|I|ATTR_le(D5G1;NY2;)I2
5128 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I4
5129 X