add results from actual silicon in marina/results/
[fleet.git] / chips / marina / electric / redFive.jelib
1 # header information:
2 HredFive|8.09a|USER_electrical_units()I70464
3
4 # Views:
5 Vicon|ic
6 Vschematic|sch
7
8 # External Libraries:
9
10 LorangeTSMC090nm|orangeTSMC090nm
11
12 Lspiceparts|spiceparts
13
14 # Tools:
15 Ouser|DefaultTechnology()Sartwork|SchematicTechnology()Scmos90
16 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
17
18 # Technologies:
19 Tcmos|ScaleFORcmos()D1000.0
20 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(ST)LayerForPassivationINcmos90"()S169|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPassivationINcmos90"()S169
21 Tmocmos|ScaleFORmocmos()D100.0|SelectedFoundryFormocmos()STSMC
22 Trcmos|ScaleFORrcmos()D1000.0
23
24 # Cell NMOS;1{ic}
25 CNMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[0,-8000]
26 Ngeneric:Facet-Center|art@0||0|0||||AV
27 Ngeneric:Invisible-Pin|pin@0||0|-2||||
28 NPin|pin@1||-1.5|0|1|1|RR|
29 NPin|pin@2||-3|0|||RR|
30 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
31 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
32 NPin|pin@5||0|-2||||
33 NPin|pin@6||-1.5|1|1|1||
34 NPin|pin@7||-1.5|-1|1|1||
35 NPin|pin@8||0|-1||||
36 NPin|pin@9||-0.75|-1|1|1||
37 NPin|pin@10||-0.75|1|1|1||
38 NPin|pin@11||0|1||||
39 NPin|pin@12||0|2||||
40 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
41 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I10
42 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I10
43 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
44 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
45 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
46 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
47 Ed||D5G1;|pin@4||B
48 Eg||D5G1;|pin@3||I
49 Es||D5G1;|pin@0||B
50 X
51
52 # Cell NMOS;1{sch}
53 CNMOS;1{sch}||schematic|1021415734000|1161733390263||ATTR_Delay(D5G1;HNPX-8.5;Y-12.75;)I100|ATTR_X(D5G1;HNPX-8.5;Y-11.25;)I1|prototype_center()I[0,0]
54 INMOS;1{ic}|NMOS@0||17|-1|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
55 IorangeTSMC090nm:NMOSf;1{ic}|NMOSf@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@X == 0 ? 0 : (@X<1) ? (1.0 * (2-0.4) / @X + 0.4) : 2|ATTR_W(D6G1;NOJPX2;Y1;)S@X > 1 ? 3.0*@X : 3
56 Ngeneric:Facet-Center|art@0||0|0||||AV
57 NOff-Page|conn@0||6|-16.5||||
58 NOff-Page|conn@1||5.5|0||||
59 NOff-Page|conn@2||-18.5|-8||||
60 Ngeneric:Invisible-Pin|pin@0||-13|-2|||||ART_message(D5G1;)S[Note: Gate Resistor removed for,NCC in miniheater chip]
61 NWire_Pin|pin@1||0|-16.5||||
62 NWire_Pin|pin@2||0|0||||
63 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)S[NMOS]
64 Ngeneric:Invisible-Pin|pin@4||-8.5|-4.5|||||ART_message(D5G1;)S[model,gate,resistance]
65 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Sstandard-threshold strength-based NMOS device
66 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
67 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
68 Awire|net@5|||900|pin@2||0|0|NMOSf@0|d|0|-6
69 Awire|net@6|||1800|conn@2|y|-16.5|-8|NMOSf@0|g|-3|-8
70 Awire|net@7|||2700|pin@1||0|-16.5|NMOSf@0|s|0|-10
71 Ed||D5G2;|conn@1|y|B
72 Eg||D5G2;|conn@2|a|I
73 Es||D5G2;|conn@0|y|B
74 X
75
76 # Cell PMOS;1{ic}
77 CPMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[-8000,16000]
78 Ngeneric:Facet-Center|art@0||0|0||||AV
79 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I10
80 Ngeneric:Invisible-Pin|pin@0||0|2||||
81 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
82 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
83 NPin|pin@3||0|1||||
84 NPin|pin@4||-0.75|1|1|1||
85 NPin|pin@5||-0.75|-1|1|1||
86 NPin|pin@6||0|-1||||
87 NPin|pin@7||0|-2||||
88 NPin|pin@8||-3|0|||RR|
89 NPin|pin@9||-2.5|0|1|1|RRR|
90 NPin|pin@10||0|2||||
91 NPin|pin@11||-1.5|1|1|1|Y|
92 NPin|pin@12||-1.5|-1|1|1|Y|
93 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
94 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
95 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
96 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
97 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
98 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
99 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I10
100 Ed||D8G1;|pin@1||B
101 Eg||D6G1;|pin@2||I
102 Es||D2G1;|pin@0||B
103 X
104
105 # Cell PMOS;1{sch}
106 CPMOS;1{sch}||schematic|1021415734000|1161733431808||ATTR_Delay(D5G1;HNPX-8.5;Y-0.25;)I100|ATTR_X(D5G1;HNPX-8.5;Y1.25;)I1|prototype_center()I[0,0]
107 IPMOS;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1
108 IorangeTSMC090nm:PMOSf;1{ic}|PMOSf@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@X == 0 ? 0 : @X < 0.5 ? (0.5 * (2 - 0.4) / @X + 0.4) : 2|ATTR_W(D6G1;NOJPX2;Y1;)S@X > 0.5 ? 6.0*@X : 3
109 Ngeneric:Facet-Center|art@0||0|0||||AV
110 NOff-Page|conn@0||5|11.5||||
111 NOff-Page|conn@1||-9.5|7||||
112 NOff-Page|conn@2||5|1||||
113 Ngeneric:Invisible-Pin|pin@0||-10|10||||
114 NWire_Pin|pin@1||0|11.5||||
115 NWire_Pin|pin@2||0|1||||
116 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)S[PMOS]
117 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal standard-threshold strength-based PMOS device
118 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
119 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
120 Awire|net@5|||900|pin@1||0|11.5|PMOSf@0|s|0|9
121 Awire|net@6|||900|PMOSf@0|d|0|5|pin@2||0|1
122 Awire|net@7|||0|PMOSf@0|g|-3|7|conn@1|y|-7.5|7
123 Ed||D5G2;|conn@2|y|B
124 Eg||D5G2;|conn@1|a|I
125 Es||D5G2;|conn@0|y|B
126 X
127
128 # Cell hifidely;1{ic}
129 Chifidely;1{ic}||artwork|1046374423000|1046374607000|E|prototype_center()I[0,0]
130 Ngeneric:Facet-Center|art@0||0|0||||AV
131 NThick-Circle|art@1||3.5|0|2|2|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
132 NPin|pin@0||2|1|1|1||
133 NPin|pin@1||2|-1|1|1||
134 NPin|pin@2||3|-1|1|1||
135 NPin|pin@3||3|1|1|1||
136 NPin|pin@4||3.5|-1|1|1||
137 NPin|pin@5||-4|-1|1|1||
138 NPin|pin@6||-4|1|1|1||
139 NPin|pin@7||3.5|1|1|1||
140 Nschematic:Bus_Pin|pin@8||4.5|0||||
141 Nschematic:Bus_Pin|pin@9||-4|0||||
142 AThicker|net@0|||FS2700|pin@1||2|-1|pin@0||2|1|ART_color()I10
143 AThicker|net@1|||FS2700|pin@2||3|-1|pin@3||3|1|ART_color()I10
144 AThicker|net@2|||FS0|pin@4||3.5|-1|pin@5||-4|-1|ART_color()I10
145 AThicker|net@3|||FS2700|pin@5||-4|-1|pin@6||-4|1|ART_color()I10
146 AThicker|net@4|||FS1800|pin@6||-4|1|pin@7||3.5|1|ART_color()I10
147 Ein||D5G2;|pin@9||I
148 Eout||D5G2;|pin@8||O
149 X
150
151 # Cell hifidely;1{sch}
152 Chifidely;1{sch}||schematic|1046374013000|1103844568483||prototype_center()I[0,0]
153 Ispiceparts:Transmission;1{ic}|Transmis@0||-1|-1|||D0G4;|ATTR_Delay(D5G0.5;NPY-1;)S500ps|ATTR_z0(D5G0.5;NPY1;)I50
154 Ispiceparts:VCVS;1{ic}|VCVS@0||16.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
155 Ispiceparts:VCVS;1{ic}|VCVS@1||-14.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
156 Ngeneric:Facet-Center|art@0||0|0||||AV
157 NOff-Page|conn@0||27|1||||
158 NOff-Page|conn@1||-28|4||||
159 NGround|gnd@0||24|-8||||
160 NGround|gnd@1||-24|-8.5||||
161 NGround|gnd@2||8|-8||||
162 NGround|gnd@3||-7.5|-8||||
163 Ihifidely;1{ic}|hifidely@0||16.5|13.5|||D0G4;
164 NWire_Pin|pin@0||-21|1||||
165 NWire_Pin|pin@1||-21|4||||
166 NWire_Pin|pin@3||-24|4||||
167 NWire_Pin|pin@5||24|-3||||
168 NWire_Pin|pin@6||8|3.25||||
169 NWire_Pin|pin@8||10|3.25||||
170 NWire_Pin|pin@9||5.5|3.25||||
171 NWire_Pin|pin@24||-24|-3||||
172 NWire_Pin|pin@25||-7.5|-3||||
173 NWire_Pin|pin@26||5.5|1||||
174 NWire_Pin|pin@27||10|1||||
175 NWire_Pin|pin@28||8|-3||||
176 NResistor|res@0||-24|0|||R||SCHEM_resistance(D5G1;)I1000000
177 NResistor|res@1||8|0|||R||SCHEM_resistance(D5G1;)I50
178 Awire|net@1|||2700|pin@0||-21|1|pin@1||-21|4
179 Awire|net@2|||0|pin@1||-21|4|pin@3||-24|4
180 Awire|net@5|||0|pin@3||-24|4|conn@1|y|-26|4
181 Awire|net@11|||900|pin@5||24|-3|gnd@0||24|-6
182 Awire|net@13|||900|pin@6||8|3.25|res@1|b|8|2
183 Awire|net@14|||0|pin@8||10|3.25|pin@6||8|3.25
184 Awire|net@15|||0|pin@6||8|3.25|pin@9||5.5|3.25
185 Awire|net@24|||1800|pin@25||-7.5|-3|Transmis@0|b|-6|-3
186 Awire|net@25|||1800|VCVS@1|x|-9.5|1|Transmis@0|a|-6|1
187 Awire|net@27|||0|pin@28||8|-3|Transmis@0|y|4|-3
188 Awire|net@28|||2700|res@0|b|-24|2|pin@3||-24|4
189 Awire|net@29|||2700|gnd@1||-24|-6.5|pin@24||-24|-3
190 Awire|net@30|||900|pin@28||8|-3|gnd@2||8|-6
191 Awire|net@31|||2700|pin@24||-24|-3|res@0|a|-24|-2
192 Awire|net@32|||0|VCVS@1|b|-19.5|-3|pin@24||-24|-3
193 Awire|net@33|||1800|pin@0||-21|1|VCVS@1|a|-19.5|1
194 Awire|net@34|||1800|VCVS@1|y|-9.5|-3|pin@25||-7.5|-3
195 Awire|net@36|||1800|Transmis@0|x|4|1|pin@26||5.5|1
196 Awire|net@38|||1800|pin@27||10|1|VCVS@0|a|11.5|1
197 Awire|net@40|||900|pin@8||10|3.25|pin@27||10|1
198 Awire|net@41|||900|pin@9||5.5|3.25|pin@26||5.5|1
199 Awire|net@42|||2700|gnd@3||-7.5|-6|pin@25||-7.5|-3
200 Awire|net@43|||0|pin@5||24|-3|VCVS@0|y|21.5|-3
201 Awire|net@44|||0|conn@0|a|25|1|VCVS@0|x|21.5|1
202 Awire|net@45|||900|res@1|a|8|-2|pin@28||8|-3
203 Awire|net@46|||0|VCVS@0|b|11.5|-3|pin@28||8|-3
204 Ein||D5G2;|conn@1|y|I
205 Eout||D5G2;|conn@0|y|O
206 X
207
208 # Cell inv;1{ic}
209 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
210 Ngeneric:Facet-Center|art@0||0|0||||AV
211 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
212 NPin|pin@0||1.5|0|1|1||
213 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
214 NPin|pin@2||-1.5|0|1|1||
215 NPin|pin@3||-2.5|0||||
216 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
217 NPin|pin@5||-1.5|2|1|1||
218 NPin|pin@6||-1.5|-2|1|1||
219 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
220 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
221 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I10
222 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
223 Ein||D5G1;|pin@1||I
224 Eout||D5G1;|pin@4||O
225 X
226
227 # Cell inv;1{sch}
228 Cinv;1{sch}||schematic|1021415734000|1228431100252||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-11;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
229 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
230 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
231 Ngeneric:Facet-Center|art@0||0|0||||AV
232 NOff-Page|conn@0||19|0||||
233 NOff-Page|conn@1||-17.5|0||||
234 NGround|gnd@0||0|-12||||
235 Iinv;1{ic}|inv@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
236 IinvI;2{ic}|inv@1||25|7|||D5G4;|ATTR_Delay(D5G1;NPX1.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
237 NWire_Pin|pin@0||-4|0||||
238 NWire_Pin|pin@1||0|0||||
239 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
240 Ngeneric:Invisible-Pin|pin@3||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
241 Ngeneric:Invisible-Pin|pin@4||0|18.5|||||ART_message(D5G2;)S[one-parameter fixed size (non-LE) inverter]
242 Ngeneric:Invisible-Pin|pin@5||0.5|22|||||ART_message(D5G6;)S[inv]
243 NWire_Pin|pin@6||-4|6||||
244 NWire_Pin|pin@7||-4|-5||||
245 NPower|pwr@0||0|11.5||||
246 Awire|net@0|||0|conn@0|a|17|0|pin@1||0|0
247 Awire|net@1|||0|pin@0||-4|0|conn@1|y|-15.5|0
248 Awire|net@2|||900|pin@6||-4|6|pin@0||-4|0
249 Awire|net@3|||900|pin@0||-4|0|pin@7||-4|-5
250 Awire|net@4|||2700|gnd@0||0|-10|NMOS@0|s|0|-7
251 Awire|net@5|||2700|NMOS@0|d|0|-3|pin@1||0|0
252 Awire|net@6|||0|NMOS@0|g|-3|-5|pin@7||-4|-5
253 Awire|net@7|||2700|PMOS@0|s|0|8|pwr@0||0|11.5
254 Awire|net@8|||0|PMOS@0|g|-3|6|pin@6||-4|6
255 Awire|net@9|||2700|pin@1||0|0|PMOS@0|d|0|4
256 Ein||D5G2;|conn@1|a|I
257 Eout||D5G2;|conn@0|y|O
258 X
259
260 # Cell inv2i;1{ic}
261 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
262 Ngeneric:Facet-Center|art@0||0|0||||AV
263 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
264 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
265 NPin|pin@0||-1.5|-1|1|1||
266 NPin|pin@1||-2.5|-1||||
267 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
268 NPin|pin@3||-1.5|-2|1|1||
269 NPin|pin@4||-1.5|2|1|1||
270 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
271 NPin|pin@6||-2.5|1||||
272 NPin|pin@7||-1.5|1|1|1||
273 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
274 NPin|pin@9||1.5|0|1|1||
275 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
276 AThicker|net@1|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
277 AThicker|net@2|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I10
278 AThicker|net@3|||FS337|pin@9||1.5|0|pin@3||-1.5|-2|ART_color()I10
279 AThicker|net@4|||FS3263|pin@9||1.5|0|pin@4||-1.5|2|ART_color()I10
280 Ein[n]||D5G1;|pin@2||I
281 Ein[p]||D5G1;|pin@8||I
282 Eout||D5G1;|pin@5||O
283 X
284
285 # Cell inv2i;1{sch}
286 Cinv2i;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-13.25;Y-11.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.25;Y-10.25;)S1|ATTR_drive0(D5G1;HNPTX-13.25;Y-12.25;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.25;Y-13.25;)Sstrong1|prototype_center()I[0,0]
287 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
288 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
289 Ngeneric:Facet-Center|art@0||0|0||||AV
290 NOff-Page|conn@0||-17.5|-5||||
291 NOff-Page|conn@1||-17.5|6||||
292 NOff-Page|conn@2||19|0||||
293 NGround|gnd@0||0|-12||||
294 Iinv2i;1{ic}|inv2i@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
295 Ngeneric:Invisible-Pin|pin@0||0.5|22|||||ART_message(D5G6;)S[inv2i]
296 Ngeneric:Invisible-Pin|pin@1||0|18.5|||||ART_message(D5G2;)S[two-input inverter]
297 Ngeneric:Invisible-Pin|pin@2||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
298 Ngeneric:Invisible-Pin|pin@3||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
299 NWire_Pin|pin@4||0|0||||
300 NPower|pwr@0||0|11.5||||
301 Awire|net@0|||0|PMOS@0|g|-3|6|conn@1|y|-15.5|6
302 Awire|net@1|||1800|conn@0|y|-15.5|-5|NMOS@0|g|-3|-5
303 Awire|net@2|||1800|pin@4||0|0|conn@2|a|17|0
304 Awire|net@3|||900|pwr@0||0|11.5|PMOS@0|s|0|8
305 Awire|net@4|||2700|pin@4||0|0|PMOS@0|d|0|4
306 Awire|net@5|||2700|gnd@0||0|-10|NMOS@0|s|0|-7
307 Awire|net@6|||900|pin@4||0|0|NMOS@0|d|0|-3
308 Ein[n]||D5G2;|conn@0|a|I
309 Ein[p]||D5G2;|conn@1|a|I
310 Eout||D5G2;|conn@2|y|O
311 X
312
313 # Cell inv2iCTLn;1{ic}
314 Cinv2iCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
315 Ngeneric:Facet-Center|art@0||0|0||||AV
316 NThick-Circle|art@1||-2|1|1|1|||ART_color()I10
317 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
318 Ngeneric:Invisible-Pin|pin@0||-2.5|1||||
319 NPin|pin@1||-1.5|-1|1|1|R|
320 NPin|pin@2||-2.5|-1|1|1|R|
321 Ngeneric:Invisible-Pin|pin@3||0|-2||||
322 Ngeneric:Invisible-Pin|pin@4||0|0|||||ART_message(D5G1.5;)S[CTLn]
323 NPin|pin@5||1.5|0|0.5|0.5||
324 NPin|pin@6||-1.5|-2|0.5|0.5||
325 NPin|pin@7||-1.5|2|0.5|0.5||
326 Ngeneric:Invisible-Pin|pin@8||2.5|0||||
327 Ngeneric:Invisible-Pin|pin@9||-2.5|-1||||
328 NPin|pin@10||0|-2|1|1|RR|
329 NPin|pin@11||0|-1|1|1|RR|
330 AThicker|net@0|||FS1800|pin@2||-2.5|-1|pin@1||-1.5|-1|ART_color()I10
331 AThicker|net@1|||FS2137|pin@6||-1.5|-2|pin@5||1.5|0|ART_color()I10
332 AThicker|net@2|||FS3263|pin@5||1.5|0|pin@7||-1.5|2|ART_color()I10
333 AThicker|net@3|||FS900|pin@7||-1.5|2|pin@6||-1.5|-2|ART_color()I10
334 AThicker|net@4|||FS2700|pin@10||0|-2|pin@11||0|-1|ART_color()I10
335 Ectl||D5G2;|pin@3||I
336 EinN||D5G2;|pin@9||I
337 EinP||D5G2;|pin@0||I
338 Eout||D5G2;|pin@8||O
339 X
340
341 # Cell inv2iCTLn;1{sch}
342 Cinv2iCTLn;1{sch}||schematic|993433994000|1158010267102||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
343 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
344 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|9|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
345 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
346 Ngeneric:Facet-Center|art@0||0|0||||AV
347 NOff-Page|conn@0||-11|22||||
348 NOff-Page|conn@1||-12|9||||
349 NOff-Page|conn@2||-12|0.5||||
350 NOff-Page|conn@3||12.5|16||||
351 NGround|gnd@0||0|-6.5||||
352 Iinv2iCTLn;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
353 NWire_Pin|pin@0||0|20.5||||
354 NWire_Pin|pin@1||-2.5|22||||
355 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[inv2iCTLn]
356 NWire_Pin|pin@3||0|16||||
357 NPower|pwr@0||0|28||||
358 Awire|net@0|||0|PMOS@0|g|-3|22|conn@0|y|-9|22
359 Awire|net@1|||0|NMOS@0|g|-3|0.5|conn@2|y|-10|0.5
360 Awire|net@2|||900|NMOS@0|s|0|-1.5|gnd@0||0|-4.5
361 Awire|net@3|||1800|PMOS@0|g|-3|22|pin@1||-2.5|22
362 Awire|net@4|||900|pwr@0||0|28|PMOS@0|s|0|24
363 Awire|net@5|||2700|PMOS@0|d|0|20|pin@0||0|20.5
364 Awire|net@6|||1800|pin@3||0|16|conn@3|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
365 Awire|net@7|||2700|NMOS@0|d|0|2.5|NMOSwk@0|s|0|7
366 Awire|net@8|||900|pin@3||0|16|NMOSwk@0|d|0|11
367 Awire|net@9|||900|PMOS@0|d|0|20|pin@3||0|16
368 Awire|net@10|||0|NMOSwk@0|g|-3|9|conn@1|y|-10|9
369 Ectl||D5G2;X-4;|conn@1|y|I
370 EinN||D5G2;|conn@2|a|I
371 EinP||D4G2;|conn@0|a|I
372 Eout||D5G2;|conn@3|y|O
373 X
374
375 # Cell inv2iCTLp;1{ic}
376 Cinv2iCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
377 Ngeneric:Facet-Center|art@0||0|0||||AV
378 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
379 NThick-Circle|art@2||0|-1.5|1|1|||ART_color()I10
380 NThick-Circle|art@3||-2|1|1|1|||ART_color()I10
381 Ngeneric:Invisible-Pin|pin@0||-2.5|-1||||
382 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
383 NPin|pin@2||-1.5|2|0.5|0.5||
384 NPin|pin@3||-1.5|-2|0.5|0.5||
385 NPin|pin@4||1.5|0|0.5|0.5||
386 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLp]
387 Ngeneric:Invisible-Pin|pin@6||0|-2||||
388 NPin|pin@7||-2.5|-1|1|1|R|
389 NPin|pin@8||-1.5|-1|1|1|R|
390 Ngeneric:Invisible-Pin|pin@9||-2.5|1||||
391 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
392 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
393 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
394 AThicker|net@3|||FS1800|pin@7||-2.5|-1|pin@8||-1.5|-1|ART_color()I10
395 Ectl||D5G2;|pin@6||I
396 EinN||D5G2;|pin@0||I
397 EinP||D5G2;|pin@9||I
398 Eout||D5G2;|pin@1||O
399 X
400
401 # Cell inv2iCTLp;1{sch}
402 Cinv2iCTLp;1{sch}||schematic|993433994000|1158010267102||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
403 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
404 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
405 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|15|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
406 Ngeneric:Facet-Center|art@0||0|0||||AV
407 NOff-Page|conn@0||12.5|8||||
408 NOff-Page|conn@1||-12|0.5||||
409 NOff-Page|conn@2||-11|15||||
410 NOff-Page|conn@3||-11|22||||
411 NGround|gnd@0||0|-6.5||||
412 Iinv2iCTLp;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
413 NWire_Pin|pin@0||0|8||||
414 Ngeneric:Invisible-Pin|pin@1||0|33|||||ART_message(D5G3;)S[inv2iCTLp]
415 NWire_Pin|pin@2||-2.5|22||||
416 NWire_Pin|pin@3||0|20.5||||
417 NPower|pwr@0||0|28||||
418 Awire|net@0|||1800|pin@0||0|8|conn@0|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
419 Awire|net@1|||2700|PMOS@0|d|0|20|pin@3||0|20.5
420 Awire|net@2|||900|pwr@0||0|28|PMOS@0|s|0|24
421 Awire|net@3|||1800|PMOS@0|g|-3|22|pin@2||-2.5|22
422 Awire|net@4|||900|NMOS@0|s|0|-1.5|gnd@0||0|-4.5
423 Awire|net@5|||900|pin@0||0|8|NMOS@0|d|0|2.5
424 Awire|net@6|||900|PMOS@0|d|0|20|PMOSwk@0|s|0|17
425 Awire|net@7|||2700|pin@0||0|8|PMOSwk@0|d|0|13
426 Awire|net@8|||0|PMOSwk@0|g|-3|15|conn@2|y|-9|15
427 Awire|net@9|||0|NMOS@0|g|-3|0.5|conn@1|y|-10|0.5
428 Awire|net@10|||0|PMOS@0|g|-3|22|conn@3|y|-9|22
429 Ectl||D5G2;X-4;|conn@2|y|I
430 EinN||D5G2;|conn@1|a|I
431 EinP||D4G2;|conn@3|a|I
432 Eout||D5G2;|conn@0|y|O
433 X
434
435 # Cell inv2iHT;1{ic}
436 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
437 Ngeneric:Facet-Center|art@0||0|0||||AV
438 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
439 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
440 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
441 NPin|pin@0||-1.5|-1|1|1||
442 NPin|pin@1||-2.5|-1||||
443 Nschematic:Bus_Pin|pin@2||-2.5|1||||
444 Nschematic:Bus_Pin|pin@3||-2.5|-1||||
445 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
446 NPin|pin@5||-1.5|-2|1|1||
447 NPin|pin@6||-1.5|2|1|1||
448 NPin|pin@7||-2.5|1||||
449 NPin|pin@8||-1.5|1|1|1||
450 NPin|pin@9||1.5|0|1|1||
451 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
452 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I10
453 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I10
454 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I10
455 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@7||-2.5|1|ART_color()I10
456 Ein[n]||D5G1;|pin@3||I
457 Ein[p]||D5G1;|pin@2||I
458 Eout||D5G1;|pin@4||O
459 X
460
461 # Cell inv2iHT;1{sch}
462 Cinv2iHT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-14.5;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-14.5;Y-10.5;)S1|ATTR_drive0(D5G1;HNPTX-14.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-13.5;)Sstrong1|prototype_center()I[0,0]
463 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
464 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
465 Ngeneric:Facet-Center|art@0||0|0||||AV
466 NOff-Page|conn@0||-13|-6||||
467 NOff-Page|conn@1||-13.5|6||||
468 NOff-Page|conn@2||8|0||||
469 NGround|gnd@0||0|-12.5||||
470 Iinv2iHT;1{ic}|inv2iHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
471 Ngeneric:Invisible-Pin|pin@4||-1|24|||||ART_message(D5G6;)S[inv2iHT]
472 Ngeneric:Invisible-Pin|pin@5||0|19|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
473 NWire_Pin|pin@6||0|0||||
474 Ngeneric:Invisible-Pin|pin@7||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
475 Ngeneric:Invisible-Pin|pin@8||25|-9|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
476 NPower|pwr@0||0|12.5||||
477 Awire|net@8|||900|NMOS@0|s|0|-8|gnd@0||0|-10.5
478 Awire|net@9|||900|pin@6||0|0|NMOS@0|d|0|-4
479 Awire|net@10|||2700|PMOS@0|s|0|8|pwr@0||0|12.5
480 Awire|net@11|||2700|pin@6||0|0|PMOS@0|d|0|4
481 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
482 Awire|net@17|||0|PMOS@0|g|-3|6|conn@1|y|-11.5|6
483 Awire|net@18|||1800|conn@0|y|-11|-6|NMOS@0|g|-3|-6
484 Ein[n]||D5G2;|conn@0|a|I
485 Ein[p]||D5G2;|conn@1|a|I
486 Eout||D5G2;|conn@2|y|O
487 X
488
489 # Cell inv2iLT;1{ic}
490 Cinv2iLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
491 Ngeneric:Facet-Center|art@0||0|0||||AV
492 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
493 NOpened-Thicker-Polygon|art@2||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
494 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
495 NPin|pin@0||-2.5|-1||||
496 NPin|pin@1||-1.5|-1|1|1||
497 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
498 NPin|pin@3||1.5|0|1|1||
499 NPin|pin@4||-1.5|1|1|1||
500 NPin|pin@5||-2.5|1||||
501 NPin|pin@6||-1.5|2|1|1||
502 NPin|pin@7||-1.5|-2|1|1||
503 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
504 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
505 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I10
506 AThicker|net@1|||FS0|pin@4||-1.5|1|pin@5||-2.5|1|ART_color()I10
507 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
508 AThicker|net@3|||FS337|pin@3||1.5|0|pin@7||-1.5|-2|ART_color()I10
509 AThicker|net@4|||FS3263|pin@3||1.5|0|pin@6||-1.5|2|ART_color()I10
510 Ein[n]||D5G1;|pin@2||I
511 Ein[p]||D5G1;|pin@8||I
512 Eout||D5G1;|pin@9||O
513 X
514
515 # Cell inv2iLT;1{sch}
516 Cinv2iLT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-12;Y-13;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-12;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-14;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-15;)Sstrong1|prototype_center()I[0,0]
517 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
518 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
519 Ngeneric:Facet-Center|art@0||0|0||||AV
520 NOff-Page|conn@0||-14|-6||||
521 NOff-Page|conn@1||-14.5|6||||
522 NOff-Page|conn@2||8|0||||
523 NGround|gnd@0||0|-12.5||||
524 Iinv2iLT;1{ic}|inv2iLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
525 NWire_Pin|pin@2||-3|6||||
526 Ngeneric:Invisible-Pin|pin@4||25|-10|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
527 Ngeneric:Invisible-Pin|pin@5||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
528 NWire_Pin|pin@6||0|0||||
529 Ngeneric:Invisible-Pin|pin@7||0|19|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
530 Ngeneric:Invisible-Pin|pin@8||-1|24|||||ART_message(D5G6;)S[inv2iLT]
531 NPower|pwr@0||0|12.5||||
532 Awire|net@5|||1800|pin@2||-3|6|PMOS@0|g|-3|6
533 Awire|net@8|||900|pwr@0||0|12.5|PMOS@0|s|0|8
534 Awire|net@9|||2700|pin@6||0|0|PMOS@0|d|0|4
535 Awire|net@10|||2700|gnd@0||0|-10.5|NMOS@0|s|0|-8
536 Awire|net@11|||900|pin@6||0|0|NMOS@0|d|0|-4
537 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
538 Awire|net@16|||1800|conn@1|y|-12.5|6|PMOS@0|g|-3|6
539 Awire|net@17|||1800|conn@0|y|-12|-6|NMOS@0|g|-3|-6
540 Ein[n]||D5G2;|conn@0|a|I
541 Ein[p]||D5G2;|conn@1|a|I
542 Eout||D5G2;|conn@2|y|O
543 X
544
545 # Cell inv2iV;1{ic}
546 Cinv2iV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
547 Ngeneric:Facet-Center|art@0||0|0||||AV
548 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
549 NThick-Circle|art@2||-1|1|1|1|||ART_color()I10
550 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
551 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
552 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
553 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
554 NPin|pin@3||-1.5|-2|1|1||
555 NPin|pin@4||-1.5|2|1|1||
556 NPin|pin@5||-2.5|-1||||
557 NPin|pin@6||-1.5|-1|1|1||
558 NPin|pin@7||1.5|0|1|1||
559 NPin|pin@8||-1.5|1|1|1||
560 NPin|pin@9||-2.5|1||||
561 AThicker|net@0|||FS0|pin@6||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
562 AThicker|net@1|||FS3263|pin@7||1.5|0|pin@4||-1.5|2|ART_color()I10
563 AThicker|net@2|||FS337|pin@7||1.5|0|pin@3||-1.5|-2|ART_color()I10
564 AThicker|net@3|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
565 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@9||-2.5|1|ART_color()I10
566 Ein[n]||D5G1;|pin@0||I
567 Ein[p]||D5G1;|pin@2||I
568 Eout||D5G1;|pin@1||O
569 X
570
571 # Cell inv2iV;1{sch}
572 Cinv2iV;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-16;Y-12;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-16;Y-10;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-16;Y-11;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-13;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-14;)Sstrong1|prototype_center()I[0,0]
573 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
574 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
575 Ngeneric:Facet-Center|art@0||0|0||||AV
576 NOff-Page|conn@0||-12|-6||||
577 NOff-Page|conn@1||7|0||||
578 NOff-Page|conn@2||-12|6||||
579 NGround|gnd@0||0|-12||||
580 Iinv2iV;1{ic}|inv2iV@0||18.5|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
581 NWire_Pin|pin@0||0|0||||
582 Ngeneric:Invisible-Pin|pin@1||-1.5|21|||||ART_message(D5G6;)S[inv2iV]
583 Ngeneric:Invisible-Pin|pin@2||-0.5|16.5|||||ART_message(D5G2;)S[two-parameter two-input variable ratio inverter]
584 Ngeneric:Invisible-Pin|pin@3||25|-12.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
585 NPower|pwr@0||0|10.5||||
586 Awire|net@0|||0|NMOS@0|g|-3|-6|conn@0|y|-10|-6
587 Awire|net@1|||0|PMOS@0|g|-3|6|conn@2|y|-10|6
588 Awire|net@2|||0|conn@1|a|5|0|pin@0||0|0
589 Awire|net@3|||900|pin@0||0|0|NMOS@0|d|0|-4
590 Awire|net@4|||2700|pin@0||0|0|PMOS@0|d|0|4
591 Awire|net@5|||900|NMOS@0|s|0|-8|gnd@0||0|-10
592 Awire|net@6|||2700|PMOS@0|s|0|8|pwr@0||0|10.5
593 Ein[n]||D5G2;|conn@0|a|I
594 Ein[p]||D5G2;|conn@2|a|I
595 Eout||D5G2;|conn@1|y|O
596 X
597
598 # Cell invCLK;1{ic}
599 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
600 Ngeneric:Facet-Center|art@0||0|0||||AV
601 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
602 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
603 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
604 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
605 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
606 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
607 NPin|pin@2||-1.5|-2|1|1||
608 NPin|pin@3||-1.5|2|1|1||
609 NPin|pin@4||-2.5|0||||
610 NPin|pin@5||-1.5|0|1|1||
611 NPin|pin@6||1.5|0|1|1||
612 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
613 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
614 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
615 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
616 Ein||D5G1;|pin@1||I
617 Eout||D5G1;|pin@0||O
618 X
619
620 # Cell invCLK;1{sch}
621 CinvCLK;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX28.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
622 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
623 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.5
624 Ngeneric:Facet-Center|art@0||0|0||||AV
625 NOff-Page|conn@0||8|0||||
626 NOff-Page|conn@1||-11|0||||
627 NGround|gnd@0||0|-12.5||||
628 IinvCLK;1{ic}|invCLK@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
629 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S[intended for driving clock circuits - gives nearly equal rise/fall]
630 Ngeneric:Invisible-Pin|pin@1||-1|28|||||ART_message(D5G6;)S[invCLK]
631 Ngeneric:Invisible-Pin|pin@2||0|23|||||ART_message(D5G2;)S[medium HI-threshold fixed-size (non-LE) inverter]
632 NWire_Pin|pin@3||0|0||||
633 NWire_Pin|pin@4||-4|6||||
634 NWire_Pin|pin@5||-4|-6||||
635 NWire_Pin|pin@6||-4|0||||
636 Ngeneric:Invisible-Pin|pin@7||1|21|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
637 Ngeneric:Invisible-Pin|pin@8||28|-10.5|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
638 NPower|pwr@0||0|12.5||||
639 Awire|net@0|||0|conn@0|a|6|0|pin@3||0|0
640 Awire|net@1|||2700|pin@6||-4|0|pin@4||-4|6
641 Awire|net@2|||2700|pin@5||-4|-6|pin@6||-4|0
642 Awire|net@3|||0|pin@6||-4|0|conn@1|y|-9|0
643 Awire|net@4|||1800|pin@5||-4|-6|NMOS@0|g|-3|-6
644 Awire|net@5|||900|pin@3||0|0|NMOS@0|d|0|-4
645 Awire|net@6|||2700|gnd@0||0|-10.5|NMOS@0|s|0|-8
646 Awire|net@7|||2700|pin@3||0|0|PMOS@0|d|0|4
647 Awire|net@8|||1800|pin@4||-4|6|PMOS@0|g|-3|6
648 Awire|net@9|||900|pwr@0||0|12.5|PMOS@0|s|0|8
649 Ein||D5G2;|conn@1|a|I
650 Eout||D5G2;|conn@0|y|O
651 X
652
653 # Cell invCTLn;1{ic}
654 CinvCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;HNPX4.5;Y-3;)I175|prototype_center()I[0,0]
655 Ngeneric:Facet-Center|art@0||0|0||||AV
656 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
657 Ngeneric:Invisible-Pin|pin@0||-2.5|0||||
658 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
659 NPin|pin@2||-1.5|2|0.5|0.5||
660 NPin|pin@3||-1.5|-2|0.5|0.5||
661 NPin|pin@4||1.5|0|0.5|0.5||
662 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLn]
663 Ngeneric:Invisible-Pin|pin@6||0|-2||||
664 NPin|pin@7||0|-2|1|1||
665 NPin|pin@8||0|-1|1|1||
666 NPin|pin@9||-2.5|0|1|1|R|
667 NPin|pin@10||-1.5|0|1|1|R|
668 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
669 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
670 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
671 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I10
672 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
673 Ectl||D5G2;|pin@6||I
674 Ein||D5G2;|pin@0||I
675 Eout||D5G2;|pin@1||O
676 X
677
678 # Cell invCTLn;1{sch}
679 CinvCTLn;1{sch}||schematic|993433994000|1158010267102||ATTR_Delay(D5G2;HNPX-21.5;Y1;)I100|ATTR_X(D5FLeave alone;G2;HNOLPX-21.5;Y4;)S1|ATTR_sloDelay(D5G2;HNPX-22;Y-1.5;)I175|prototype_center()I[0,0]
680 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
681 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
682 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|22|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
683 Ngeneric:Facet-Center|art@0||0|0||||AV
684 NOff-Page|conn@0||12.5|16||||
685 NOff-Page|conn@1||-12|16||||
686 NOff-Page|conn@2||-13|9.5||||
687 NGround|gnd@0||0|-6.5||||
688 IinvCTLn;1{ic}|invCTLn@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S2|ATTR_sloDelay(D5G1;NPX4.5;Y-3;)I175
689 NWire_Pin|pin@0||-5|16||||
690 NWire_Pin|pin@1||0|16||||
691 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[invCTLn]
692 NWire_Pin|pin@3||-5|22||||
693 NWire_Pin|pin@4||-2.5|22||||
694 NWire_Pin|pin@5||0|20.5||||
695 NWire_Pin|pin@6||-5|0.5||||
696 NPower|pwr@0||0|28||||
697 Awire|net@0|||0|pin@0||-5|16|conn@1|y|-10|16
698 Awire|net@1|||1800|pin@1||0|16|conn@0|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
699 Awire|net@2|||900|pin@3||-5|22|pin@0||-5|16
700 Awire|net@3|||1800|pin@3||-5|22|pin@4||-2.5|22
701 Awire|net@4|||2700|PMOS@0|d|0|20|pin@5||0|20.5
702 Awire|net@5|||900|pwr@0||0|28|PMOS@0|s|0|24
703 Awire|net@6|||1800|PMOS@0|g|-3|22|pin@4||-2.5|22
704 Awire|net@7|||1800|pin@6||-5|0.5|NMOS@0|g|-3|0.5
705 Awire|net@8|||900|NMOS@0|s|0|-1.5|gnd@0||0|-4.5
706 Awire|net@9|||2700|pin@6||-5|0.5|pin@0||-5|16
707 Awire|net@10|||900|pin@1||0|16|NMOSwk@0|d|0|11.5
708 Awire|net@11|||2700|NMOS@0|d|0|2.5|NMOSwk@0|s|0|7.5
709 Awire|net@12|||2700|pin@1||0|16|PMOS@0|d|0|20
710 Awire|net@13|||0|NMOSwk@0|g|-3|9.5|conn@2|y|-11|9.5
711 Ectl||D5G2;X-4;|conn@2|y|I
712 Ein||D5G2;|conn@1|a|I
713 Eout||D5G2;|conn@0|y|O
714 X
715
716 # Cell invCTLp;1{ic}
717 CinvCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|ATTR_sloDelay(D5G1;HNPX4.75;Y-3.25;)I175|prototype_center()I[0,0]
718 Ngeneric:Facet-Center|art@0||0|0||||AV
719 NThick-Circle|art@1||0|-1.5|1|1|||ART_color()I10
720 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
721 NPin|pin@0||-1.5|0|1|1|R|
722 NPin|pin@1||-2.5|0|1|1|R|
723 Ngeneric:Invisible-Pin|pin@2||0|-2||||
724 Ngeneric:Invisible-Pin|pin@3||0|0|||||ART_message(D5G1.5;)S[CTLp]
725 NPin|pin@4||1.5|0|0.5|0.5||
726 NPin|pin@5||-1.5|-2|0.5|0.5||
727 NPin|pin@6||-1.5|2|0.5|0.5||
728 Ngeneric:Invisible-Pin|pin@7||2.5|0||||
729 Ngeneric:Invisible-Pin|pin@8||-2.5|0||||
730 AThicker|net@0|||FS1800|pin@1||-2.5|0|pin@0||-1.5|0|ART_color()I10
731 AThicker|net@1|||FS2137|pin@5||-1.5|-2|pin@4||1.5|0|ART_color()I10
732 AThicker|net@2|||FS3263|pin@4||1.5|0|pin@6||-1.5|2|ART_color()I10
733 AThicker|net@3|||FS900|pin@6||-1.5|2|pin@5||-1.5|-2|ART_color()I10
734 Ectl||D5G2;|pin@2||I
735 Ein||D5G2;|pin@8||I
736 Eout||D5G2;|pin@7||O
737 X
738
739 # Cell invCTLp;1{sch}
740 CinvCTLp;1{sch}||schematic|993433994000|1159377323484||ATTR_Delay(D5G2;HNPX-21;Y-1;)I100|ATTR_X(D5G2;HNPX-21;Y1.5;)I1|ATTR_sloDelay(D5G2;HNPX-21;Y-3.5;)I175|prototype_center()I[0,0]
741 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
742 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|22|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
743 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
744 Ngeneric:Facet-Center|art@0||0|0||||AV
745 NOff-Page|conn@0||-13|15||||
746 NOff-Page|conn@1||-12|8||||
747 NOff-Page|conn@2||12.5|8||||
748 NGround|gnd@0||0|-6.5||||
749 IinvCTLp;1{ic}|invCTLp@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I2|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175
750 NWire_Pin|pin@0||-5|0.5||||
751 NWire_Pin|pin@1||0|20.5||||
752 NWire_Pin|pin@2||-2.5|22||||
753 NWire_Pin|pin@3||-5|22||||
754 Ngeneric:Invisible-Pin|pin@4||0|33|||||ART_message(D5G3;)S[invCTLp]
755 NWire_Pin|pin@5||0|8||||
756 NWire_Pin|pin@6||-5|8||||
757 NPower|pwr@0||0|28||||
758 Awire|net@0|||0|PMOSwk@0|g|-3|15|conn@0|y|-11|15
759 Awire|net@1|||2700|pin@5||0|8|PMOSwk@0|d|0|13
760 Awire|net@2|||900|PMOS@0|d|0|20|PMOSwk@0|s|0|17
761 Awire|net@3|||900|pin@5||0|8|NMOS@0|d|0|2.5
762 Awire|net@4|||2700|pin@0||-5|0.5|pin@6||-5|8
763 Awire|net@5|||900|NMOS@0|s|0|-1.5|gnd@0||0|-4.5
764 Awire|net@6|||1800|pin@0||-5|0.5|NMOS@0|g|-3|0.5
765 Awire|net@7|||1800|PMOS@0|g|-3|22|pin@2||-2.5|22
766 Awire|net@8|||900|pwr@0||0|28|PMOS@0|s|0|24
767 Awire|net@9|||2700|PMOS@0|d|0|20|pin@1||0|20.5
768 Awire|net@10|||1800|pin@3||-5|22|pin@2||-2.5|22
769 Awire|net@11|||900|pin@3||-5|22|pin@6||-5|8
770 Awire|net@12|||1800|pin@5||0|8|conn@2|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
771 Awire|net@13|||0|pin@6||-5|8|conn@1|y|-10|8
772 Ectl||D5G2;X-4;|conn@0|y|I
773 Ein||D5G2;|conn@1|a|I
774 Eout||D5G2;|conn@2|y|O
775 X
776
777 # Cell invHT;1{ic}
778 CinvHT;1{ic}||artwork|1021415734000|1228431906734|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
779 Ngeneric:Facet-Center|art@0||0|0||||AV
780 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
781 NPin|pin@0||1.5|0|1|1||
782 NPin|pin@1||-1.5|0|1|1||
783 NPin|pin@2||-2.5|0||||
784 NPin|pin@3||-1.5|2|1|1||
785 NPin|pin@4||-1.5|-2|1|1||
786 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
787 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
788 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
789 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
790 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
791 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
792 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
793 Ein||D5G1;|pin@5||I
794 Eout||D5G1;|pin@6||O
795 X
796
797 # Cell invHT;1{sch}
798 CinvHT;1{sch}||schematic|1021415734000|1228431832414||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
799 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
800 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
801 Ngeneric:Facet-Center|art@0||0|0||||AV
802 NOff-Page|conn@0||-11|0||||
803 NOff-Page|conn@1||8|0||||
804 NGround|gnd@0||0|-12.5||||
805 IinvHT;1{ic}|invHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
806 IinvHTI;2{ic}|invHT@1||26|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
807 Ngeneric:Invisible-Pin|pin@0||26.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
808 Ngeneric:Invisible-Pin|pin@1||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
809 NWire_Pin|pin@2||-4|0||||
810 NWire_Pin|pin@3||-4|-6||||
811 NWire_Pin|pin@4||-4|6||||
812 NWire_Pin|pin@5||0|0||||
813 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[HI-threshold fixed-size (non-LE) inverter]
814 Ngeneric:Invisible-Pin|pin@7||-1|24|||||ART_message(D5G6;)S[invHT]
815 NPower|pwr@0||0|12.5||||
816 Awire|net@0|||900|pwr@0||0|12.5|PMOS@0|s|0|8
817 Awire|net@1|||1800|pin@4||-4|6|PMOS@0|g|-3|6
818 Awire|net@2|||2700|pin@5||0|0|PMOS@0|d|0|4
819 Awire|net@3|||2700|gnd@0||0|-10.5|NMOS@0|s|0|-8
820 Awire|net@4|||900|pin@5||0|0|NMOS@0|d|0|-4
821 Awire|net@5|||1800|pin@3||-4|-6|NMOS@0|g|-3|-6
822 Awire|net@6|||0|pin@2||-4|0|conn@0|y|-9|0
823 Awire|net@7|||2700|pin@3||-4|-6|pin@2||-4|0
824 Awire|net@8|||2700|pin@2||-4|0|pin@4||-4|6
825 Awire|net@9|||0|conn@1|a|6|0|pin@5||0|0
826 Ein||D5G2;|conn@0|a|I
827 Eout||D5G2;|conn@1|y|O
828 X
829
830 # Cell invHTI;2{ic}
831 CinvHTI;2{ic}|invHT|artwork|1021415734000|1228431964199|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
832 Ngeneric:Facet-Center|art@0||0|0||||AV
833 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
834 NPin|pin@0||1.5|0|1|1||
835 NPin|pin@1||2.5|0|1|1||
836 NPin|pin@2||1.5|0||||
837 NPin|pin@3||-1.5|2|1|1||
838 NPin|pin@4||-1.5|-2|1|1||
839 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
840 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
841 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
842 AThicker|net@0|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I10
843 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
844 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
845 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
846 Ein||D5G1;|pin@5||I
847 Eout||D5G1;|pin@6||O
848 X
849
850 # Cell invI;2{ic}
851 CinvI;2{ic}|inv|artwork|1021415734000|1228431072751|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
852 Ngeneric:Facet-Center|art@0||0|0||||AV
853 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
854 NPin|pin@0||1.5|0|1|1||
855 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
856 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
857 NPin|pin@5||-1.5|2|1|1||
858 NPin|pin@6||-1.5|-2|1|1||
859 NPin|pin@7||2.5|0|1|1||
860 NPin|pin@8||1.5|0||||
861 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
862 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
863 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
864 AThicker|net@4|||FS0|pin@7||2.5|0|pin@8||1.5|0|ART_color()I10
865 Ein||D5G1;|pin@1||I
866 Eout||D5G1;|pin@4||O
867 X
868
869 # Cell invK;1{ic}
870 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
871 Ngeneric:Facet-Center|art@0||0|0||||AV
872 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
873 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
874 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
875 NPin|pin@1||1.5|0|1|1||
876 NPin|pin@2||2.5|0||||
877 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
878 NPin|pin@4||-1.5|-2|1|1||
879 NPin|pin@5||-1.5|2|1|1||
880 NPin|pin@6||1.5|0|1|1||
881 AThicker|net@0|||FS0|pin@2||2.5|0|pin@1||1.5|0|ART_color()I10
882 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@5||-1.5|2|ART_color()I10
883 AThicker|net@2|||FS337|pin@6||1.5|0|pin@4||-1.5|-2|ART_color()I10
884 AThicker|net@3|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
885 Ein||D5G1;|pin@3||I
886 Eout||D5G1;|pin@0||O
887 X
888
889 # Cell invK;1{sch}
890 CinvK;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNOJPX-14;Y-6.5;)S@Delay|ATTR_X(D5FLeave alone;G1;HNOLPX-14;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-14;Y-7.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-8.5;)Sweak1|ATTR_verilog_template(D5G1;NTX30;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
891 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||2|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
892 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||2|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
893 Ngeneric:Facet-Center|art@0||0|0||||AV
894 NOff-Page|conn@0||8|0||||
895 NOff-Page|conn@1||-11|0||||
896 NGround|gnd@0||2|-12||||
897 IinvK;1{ic}|invK@0||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_LEKEEPER(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
898 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[LO threshold fixed-size keeper inverter]
899 Ngeneric:Invisible-Pin|pin@1||-1|23.5|||||ART_message(D5G6;)S[invK]
900 Ngeneric:Invisible-Pin|pin@2||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
901 Ngeneric:Invisible-Pin|pin@3||28.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
902 NWire_Pin|pin@4||-2|-5|0.5|0.5||
903 NWire_Pin|pin@5||-2|6|0.5|0.5||
904 NWire_Pin|pin@6||-2|0||||
905 NWire_Pin|pin@7||2|0||||
906 NPower|pwr@0||2|11.5||||
907 Awire|net@0|||2700|PMOSwk@0|s|2|8|pwr@0||2|11.5
908 Awire|net@1|||0|PMOSwk@0|g|-1|6|pin@5||-2|6
909 Awire|net@2|||2700|pin@7||2|0|PMOSwk@0|d|2|4
910 Awire|net@3|||2700|gnd@0||2|-10|NMOSwk@0|s|2|-7
911 Awire|net@4|||2700|NMOSwk@0|d|2|-3|pin@7||2|0
912 Awire|net@5|||0|NMOSwk@0|g|-1|-5|pin@4||-2|-5
913 Awire|net@6|||2700|pin@4||-2|-5|pin@6||-2|0
914 Awire|net@7|||2700|pin@6||-2|0|pin@5||-2|6
915 Awire|net@8|||0|conn@0|a|6|0|pin@7||2|0
916 Awire|net@9|||1800|conn@1|y|-9|0|pin@6||-2|0
917 Ein||D5G2;|conn@1|a|I
918 Eout||D5G2;|conn@0|y|O
919 X
920
921 # Cell invKV;1{ic}
922 CinvKV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y1.75;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
923 Ngeneric:Facet-Center|art@0||0|0||||AV
924 NOpened-Thicker-Polygon|art@1||-0.5|0.5|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
925 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
926 NOpened-Thicker-Polygon|art@3||-0.5|-0.75|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
927 NPin|pin@0||1.5|0|1|1||
928 NPin|pin@1||-1.5|2|1|1||
929 NPin|pin@2||-1.5|-2|1|1||
930 NPin|pin@3||2.5|0||||
931 NPin|pin@4||1.5|0|1|1||
932 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
933 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
934 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I10
935 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I10
936 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I10
937 AThicker|net@3|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I10
938 Ein||D5G1;|pin@6||I
939 Eout||D5G1;|pin@5||O
940 X
941
942 # Cell invKV;1{sch}
943 CinvKV;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-11.5;Y-5.5;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-11.5;Y-3.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-11.5;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-11;Y-6.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-11;Y-7.5;)Sweak1|ATTR_verilog_template(D5G1;NTX24.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
944 IorangeTSMC090nm:NMOSxwk;1{ic}|NMOSwk@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
945 IorangeTSMC090nm:PMOSxwk;1{ic}|PMOSwk@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
946 Ngeneric:Facet-Center|art@0||0|0||||AV
947 NOff-Page|conn@0||-11|0||||
948 NOff-Page|conn@1||8|0||||
949 NGround|gnd@0||0|-11||||
950 IinvKV;1{ic}|invKV@0||21.5|9|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y1.75;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
951 Ngeneric:Invisible-Pin|pin@0||26|-7.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
952 NWire_Pin|pin@1||-4|-6||||
953 NWire_Pin|pin@2||-4|6||||
954 NWire_Pin|pin@3||0|0||||
955 NWire_Pin|pin@4||-4|0||||
956 Ngeneric:Invisible-Pin|pin@5||-0.5|17|||||ART_message(D5G6;)S[invKV]
957 Ngeneric:Invisible-Pin|pin@6||-1|13.5|||||ART_message(D5G2;)S[Two parameter variable ratio keeper]
958 NPower|pwr@0||0|10.5||||
959 Awire|net@0|||1800|pin@1||-4|-6|NMOSwk@0|g|-3|-6
960 Awire|net@1|||2700|PMOSwk@0|s|0|8|pwr@0||0|10.5
961 Awire|net@2|||1800|pin@2||-4|6|PMOSwk@0|g|-3|6
962 Awire|net@3|||2700|pin@3||0|0|PMOSwk@0|d|0|4
963 Awire|net@4|||900|NMOSwk@0|s|0|-8|gnd@0||0|-9
964 Awire|net@5|||2700|NMOSwk@0|d|0|-4|pin@3||0|0
965 Awire|net@6|||1800|conn@0|y|-9|0|pin@4||-4|0
966 Awire|net@7|||0|conn@1|a|6|0|pin@3||0|0
967 Awire|net@8|||2700|pin@1||-4|-6|pin@4||-4|0
968 Awire|net@9|||2700|pin@4||-4|0|pin@2||-4|6
969 Ein||D5G2;|conn@0|a|I
970 Eout||D5G2;|conn@1|y|O
971 X
972
973 # Cell invLT;1{ic}
974 CinvLT;1{ic}||artwork|1021415734000|1228431575062|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
975 Ngeneric:Facet-Center|art@0||0|0||||AV
976 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
977 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
978 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
979 NPin|pin@2||-1.5|-2|1|1||
980 NPin|pin@3||-1.5|2|1|1||
981 NPin|pin@4||-2.5|0||||
982 NPin|pin@5||-1.5|0|1|1||
983 NPin|pin@6||1.5|0|1|1||
984 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
985 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
986 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
987 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
988 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
989 Ein||D5G1;|pin@1||I
990 Eout||D5G1;|pin@0||O
991 X
992
993 # Cell invLT;1{sch}
994 CinvLT;1{sch}||schematic|1021415734000|1228431715095||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX23;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
995 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
996 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
997 Ngeneric:Facet-Center|art@0||0|0||||AV
998 NOff-Page|conn@0||11|0||||
999 NOff-Page|conn@1||-11.5|0||||
1000 NGround|gnd@0||0|-12.5||||
1001 IinvLT;1{ic}|invLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1002 IinvLTI;2{ic}|invLTI@0||27|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1003 NWire_Pin|pin@0||-4|0||||
1004 NWire_Pin|pin@1||0|0||||
1005 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)S[invLT]
1006 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[LO-threshold fixed-size (non-LE) inverter]
1007 NWire_Pin|pin@4||-4|6||||
1008 NWire_Pin|pin@5||-4|-6||||
1009 Ngeneric:Invisible-Pin|pin@6||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
1010 Ngeneric:Invisible-Pin|pin@7||24|-9|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
1011 NPower|pwr@0||0|12.5||||
1012 Awire|net@0|||0|pin@0||-4|0|conn@1|y|-9.5|0
1013 Awire|net@1|||900|pin@4||-4|6|pin@0||-4|0
1014 Awire|net@2|||900|pin@0||-4|0|pin@5||-4|-6
1015 Awire|net@3|||1800|pin@1||0|0|conn@0|a|9|0
1016 Awire|net@4|||2700|NMOS@0|d|0|-4|pin@1||0|0
1017 Awire|net@5|||2700|pin@1||0|0|PMOS@0|d|0|4
1018 Awire|net@6|||900|pwr@0||0|12.5|PMOS@0|s|0|8
1019 Awire|net@7|||1800|pin@4||-4|6|PMOS@0|g|-3|6
1020 Awire|net@8|||2700|gnd@0||0|-10.5|NMOS@0|s|0|-8
1021 Awire|net@9|||1800|pin@5||-4|-6|NMOS@0|g|-3|-6
1022 Ein||D5G2;|conn@1|a|I
1023 Eout||D5G2;|conn@0|y|O
1024 X
1025
1026 # Cell invLTI;2{ic}
1027 CinvLTI;2{ic}|invLT|artwork|1021415734000|1228431729256|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1028 Ngeneric:Facet-Center|art@0||0|0||||AV
1029 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
1030 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1031 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
1032 NPin|pin@2||-1.5|-2|1|1||
1033 NPin|pin@3||-1.5|2|1|1||
1034 NPin|pin@4||1.5|0||||
1035 NPin|pin@5||2.5|0|1|1||
1036 NPin|pin@6||1.5|0|1|1||
1037 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
1038 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1039 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1040 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1041 AThicker|net@3|||FS0|pin@5||2.5|0|pin@4||1.5|0|ART_color()I10
1042 Ein||D5G1;|pin@1||I
1043 Eout||D5G1;|pin@0||O
1044 X
1045
1046 # Cell invV;1{ic}
1047 CinvV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.75;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1048 Ngeneric:Facet-Center|art@0||0|0||||AV
1049 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1050 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1051 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1052 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1053 NPin|pin@2||-1.5|-2|1|1||
1054 NPin|pin@3||-1.5|2|1|1||
1055 NPin|pin@4||-2.5|0||||
1056 NPin|pin@5||-1.5|0|1|1||
1057 NPin|pin@6||1.5|0|1|1||
1058 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1059 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1060 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1061 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1062 Ein||D5G1;|pin@0||I
1063 Eout||D5G1;|pin@1||O
1064 X
1065
1066 # Cell invV;1{sch}
1067 CinvV;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX19.5;Y-16;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1068 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
1069 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
1070 Ngeneric:Facet-Center|art@0||0|0||||AV
1071 NOff-Page|conn@0||7|0||||
1072 NOff-Page|conn@1||-12|0||||
1073 NGround|gnd@0||0|-12||||
1074 IinvV;1{ic}|invV@0||24|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.75;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1075 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[two-parameter variable ratio inverter]
1076 Ngeneric:Invisible-Pin|pin@1||-0.5|21.5|||||ART_message(D5G6;)S[invV]
1077 NWire_Pin|pin@2||0|0||||
1078 NWire_Pin|pin@3||-5|0||||
1079 NWire_Pin|pin@4||-5|-6||||
1080 NWire_Pin|pin@5||-5|6||||
1081 Ngeneric:Invisible-Pin|pin@6||28|-11.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
1082 NPower|pwr@0||0|10.5||||
1083 Awire|net@0|||900|NMOS@0|s|0|-8|gnd@0||0|-10
1084 Awire|net@1|||900|pin@2||0|0|NMOS@0|d|0|-4
1085 Awire|net@2|||1800|pin@4||-5|-6|NMOS@0|g|-3|-6
1086 Awire|net@3|||2700|PMOS@0|s|0|8|pwr@0||0|10.5
1087 Awire|net@4|||1800|pin@5||-5|6|PMOS@0|g|-3|6
1088 Awire|net@5|||2700|pin@2||0|0|PMOS@0|d|0|4
1089 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1090 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1091 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1092 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1093 Ein||D5G2;|conn@1|a|I
1094 Eout||D5G2;|conn@0|y|O
1095 X
1096
1097 # Cell invVn;1{ic}
1098 CinvVn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1099 Ngeneric:Facet-Center|art@0||0|0||||AV
1100 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1101 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1102 NOpened-Thicker-Polygon|art@3||0.25|-0.12|0.5|0.75|||ART_color()I10|trace()V[-0.25/-0.375,-0.25/0.375,0.25/-0.375,0.25/0.375]
1103 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1104 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1105 NPin|pin@2||-1.5|-2|1|1||
1106 NPin|pin@3||-1.5|2|1|1||
1107 NPin|pin@4||-2.5|0||||
1108 NPin|pin@5||-1.5|0|1|1||
1109 NPin|pin@6||1.5|0|1|1||
1110 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1111 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1112 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1113 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1114 Ein||D5G1;|pin@0||I
1115 Eout||D5G1;|pin@1||O
1116 X
1117
1118 # Cell invVn;1{sch}
1119 CinvVn;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX-15.5;Y-6.5;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-15.5;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-15.5;Y-8.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-9.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX18.5;Y-19.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1120 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*@NPdrvR
1121 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X
1122 Ngeneric:Facet-Center|art@0||0|0||||AV
1123 NOff-Page|conn@0||7|0||||
1124 NOff-Page|conn@1||-12|0||||
1125 NGround|gnd@0||0|-12||||
1126 IinvVn;1{ic}|invVn@0||26.75|6|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;NOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1127 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[variable ratio inverter]
1128 Ngeneric:Invisible-Pin|pin@1||-0.5|22|||||ART_message(D5G6;)S[invVn]
1129 NWire_Pin|pin@2||0|0||||
1130 NWire_Pin|pin@3||-5|0||||
1131 NWire_Pin|pin@4||-5|-6||||
1132 NWire_Pin|pin@5||-5|6||||
1133 Ngeneric:Invisible-Pin|pin@6||19.5|-15.5|||||ART_message(D5G2;)S[X is drive strength,"P drive strength is X, N drive strength is X*NPdrvR"]
1134 Ngeneric:Invisible-Pin|pin@7||0.5|15.5|||||ART_message(D5G2;)S["PMOS sized normally, NMOS sized by ratio value"]
1135 NPower|pwr@0||0|11||||
1136 Awire|net@0|||900|NMOS@0|s|0|-8|gnd@0||0|-10
1137 Awire|net@1|||2700|NMOS@0|d|0|-4|pin@2||0|0
1138 Awire|net@2|||1800|pin@4||-5|-6|NMOS@0|g|-3|-6
1139 Awire|net@3|||2700|PMOS@0|s|0|8|pwr@0||0|11
1140 Awire|net@4|||1800|pin@5||-5|6|PMOS@0|g|-3|6
1141 Awire|net@5|||2700|pin@2||0|0|PMOS@0|d|0|4
1142 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1143 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1144 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1145 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1146 Ein||D5G2;|conn@1|a|I
1147 Eout||D5G2;|conn@0|y|O
1148 X
1149
1150 # Cell invVp;1{ic}
1151 CinvVp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1152 Ngeneric:Facet-Center|art@0||0|0||||AV
1153 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1154 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1155 NOpened-Thicker-Polygon|art@3||0.25|-0.25|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1156 NPin|pin@0||1.5|0|1|1||
1157 NPin|pin@1||-1.5|0|1|1||
1158 NPin|pin@2||-2.5|0||||
1159 NPin|pin@3||-1.5|2|1|1||
1160 NPin|pin@4||-1.5|-2|1|1||
1161 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1162 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
1163 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1164 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
1165 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
1166 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
1167 Ein||D5G1;|pin@6||I
1168 Eout||D5G1;|pin@5||O
1169 X
1170
1171 # Cell invVp;1{sch}
1172 CinvVp;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_PNdrvR(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-12.5;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX22;Y-15.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1173 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0.5|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1174 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0.5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X*@PNdrvR
1175 Ngeneric:Facet-Center|art@0||0|0||||AV
1176 NOff-Page|conn@0||-12|0||||
1177 NOff-Page|conn@1||7|0||||
1178 NGround|gnd@0||0.5|-12||||
1179 IinvVp;1{ic}|invVp@0||26.5|6.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1180 NWire_Pin|pin@0||-4.5|6||||
1181 NWire_Pin|pin@1||-4.5|-6||||
1182 NWire_Pin|pin@2||-4.5|0||||
1183 NWire_Pin|pin@3||0.5|0||||
1184 Ngeneric:Invisible-Pin|pin@4||0.5|14.5|||||ART_message(D5G2;)S["NMOS sized normally, PMOS sized by ratio value"]
1185 Ngeneric:Invisible-Pin|pin@5||25.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,"N drive strength is X, P drive strength is X*PNdrvR"]
1186 Ngeneric:Invisible-Pin|pin@6||-0.5|21|||||ART_message(D5G6;)S[invVp]
1187 Ngeneric:Invisible-Pin|pin@7||0.5|16.5|||||ART_message(D5G2;)S[variable ratio inverter]
1188 NPower|pwr@0||0.5|11||||
1189 Awire|net@0|||1800|conn@0|y|-10|0|pin@2||-4.5|0
1190 Awire|net@1|||0|conn@1|a|5|0|pin@3||0.5|0
1191 Awire|net@2|||2700|pin@2||-4.5|0|pin@0||-4.5|6
1192 Awire|net@3|||2700|pin@1||-4.5|-6|pin@2||-4.5|0
1193 Awire|net@4|||1800|pin@0||-4.5|6|PMOS@0|g|-2.5|6
1194 Awire|net@5|||2700|pin@3||0.5|0|PMOS@0|d|0.5|4
1195 Awire|net@6|||2700|PMOS@0|s|0.5|8|pwr@0||0.5|11
1196 Awire|net@7|||2700|NMOS@0|d|0.5|-4|pin@3||0.5|0
1197 Awire|net@8|||1800|pin@1||-4.5|-6|NMOS@0|g|-2.5|-6
1198 Awire|net@9|||900|NMOS@0|s|0.5|-8|gnd@0||0.5|-10
1199 Ein||D5G2;|conn@0|a|I
1200 Eout||D5G2;|conn@1|y|O
1201 X
1202
1203 # Cell mullerC;1{ic}
1204 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1205 Ngeneric:Facet-Center|art@0||0|0||||AV
1206 NOpened-Thicker-Polygon|art@1||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1207 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1208 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1209 NPin|pin@0||-0.25|-2|1|1||
1210 NPin|pin@1||-1.5|-0.75|1|1||
1211 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1212 NPin|pin@3||-1.5|-1|1|1||
1213 NPin|pin@4||-2.5|-1||||
1214 NPin|pin@5||-0.5|2|1|1||
1215 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1216 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1217 NPin|pin@8||-1.5|2|1|1||
1218 NPin|pin@9||-1.5|-2|1|1||
1219 NPin|pin@10||-0.5|-2|1|1||
1220 NPin|pin@11||-2.5|1||||
1221 NPin|pin@12||-1.5|1|1|1||
1222 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1223 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1224 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1225 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1226 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1227 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1228 Eina||D5G1;|pin@2||I
1229 Einb||D5G1;|pin@6||I
1230 Eout||D5G1;|pin@7||O
1231 X
1232
1233 # Cell mullerC;1{sch}
1234 CmullerC;1{sch}||schematic|1021415734000|1157995398986||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1235 Ngeneric:Facet-Center|art@0||0|0||||AV
1236 NOff-Page|conn@0||-19|0||||
1237 NOff-Page|conn@1||15.5|-5|||RR|
1238 NOff-Page|conn@2||15.5|0||||
1239 ImullerC;1{ic}|mullerC@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1240 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1241 NWire_Pin|pin@0||-6.5|0||||
1242 Ngeneric:Invisible-Pin|pin@1||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1243 Ngeneric:Invisible-Pin|pin@2||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1244 NWire_Pin|pin@3||-6.5|8.5||||
1245 NWire_Pin|pin@4||6|-5||||
1246 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter muller C-element]
1247 NWire_Pin|pin@6||6|4.5||||
1248 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[mullerC]
1249 NWire_Pin|pin@8||-6.5|-9||||
1250 NWire_Pin|pin@9||0|0||||
1251 Ipms2;1{ic}|pms2@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
1252 Awire|net@0|||0|conn@2|a|13.5|0|pin@9||0|0
1253 Awire|net@1|||0|pin@0||-6.5|0|conn@0|y|-17|0
1254 Awire|net@2|||2700|pin@8||-6.5|-9|pin@0||-6.5|0
1255 Awire|net@3|||2700|pin@0||-6.5|0|pin@3||-6.5|8.5
1256 Awire|net@4|||1800|pin@4||6|-5|conn@1|y|13.5|-5
1257 Awire|net@5|||0|pms2@0|g|-3|8.5|pin@3||-6.5|8.5
1258 Awire|net@6|||1800|pms2@0|g2|3|4.5|pin@6||6|4.5
1259 Awire|net@7|||2700|pin@9||0|0|pms2@0|d|0|2.5
1260 Awire|net@8|||2700|pin@4||6|-5|pin@6||6|4.5
1261 Awire|net@9|||1800|pin@8||-6.5|-9|nms2@0|g|-3|-9
1262 Awire|net@10|||1800|nms2@0|g2|3|-5|pin@4||6|-5
1263 Awire|net@11|||900|pin@9||0|0|nms2@0|d|0|-3
1264 Eina||D5G2;|conn@0|a|I
1265 Einb||D5G2;|conn@1|a|I
1266 Eout||D5G2;|conn@2|y|O
1267 X
1268
1269 # Cell mullerC_sy;1{ic}
1270 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1271 Ngeneric:Facet-Center|art@0||0|0||||AV
1272 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1273 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1274 NOpened-Thicker-Polygon|art@3||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1275 NPin|pin@0||-1.5|1|1|1||
1276 NPin|pin@1||-2.5|1||||
1277 NPin|pin@2||-0.5|-2|1|1||
1278 NPin|pin@3||-1.5|-2|1|1||
1279 NPin|pin@4||-1.5|2|1|1||
1280 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1281 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1282 NPin|pin@7||-0.5|2|1|1||
1283 NPin|pin@8||-2.5|-1||||
1284 NPin|pin@9||-1.5|-1|1|1||
1285 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1286 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1287 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1288 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1289 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1290 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1291 Eina||D5G1;|pin@10||I
1292 Einb||D5G1;|pin@6||I
1293 Eout||D5G1;|pin@5||O
1294 X
1295
1296 # Cell mullerC_sy;1{sch}
1297 CmullerC_sy;1{sch}||schematic|1021415734000|1157995387844||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1298 Ngeneric:Facet-Center|art@0||0|0||||AV
1299 NOff-Page|conn@0||15.5|0||||
1300 NOff-Page|conn@1||15.5|-5|||RR|
1301 NOff-Page|conn@2||-19|0||||
1302 ImullerC_sy;1{ic}|mullerC_@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1303 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1304 NWire_Pin|pin@0||0|0||||
1305 NWire_Pin|pin@1||-6.5|-9||||
1306 Ngeneric:Invisible-Pin|pin@2||-0.5|25|||||ART_message(D5G6;)S[mullerC_sy]
1307 NWire_Pin|pin@3||6|4.5||||
1308 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1309 NWire_Pin|pin@5||6|-5||||
1310 NWire_Pin|pin@6||-6.5|8.5||||
1311 Ngeneric:Invisible-Pin|pin@7||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1312 Ngeneric:Invisible-Pin|pin@8||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1313 NWire_Pin|pin@9||-6.5|0||||
1314 Ipms2_sy;1{ic}|pms2_sy@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S@X
1315 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|pin@5||6|-5
1316 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1317 Awire|net@2|||1800|pin@1||-6.5|-9|nms2_sy@0|g|-3|-9
1318 Awire|net@3|||1800|pms2_sy@0|g2|3|4.5|pin@3||6|4.5
1319 Awire|net@4|||0|pms2_sy@0|g|-3|8.5|pin@6||-6.5|8.5
1320 Awire|net@5|||2700|pin@0||0|0|pms2_sy@0|d|0|2.5
1321 Awire|net@6|||2700|pin@5||6|-5|pin@3||6|4.5
1322 Awire|net@7|||1800|pin@5||6|-5|conn@1|y|13.5|-5
1323 Awire|net@8|||2700|pin@9||-6.5|0|pin@6||-6.5|8.5
1324 Awire|net@9|||2700|pin@1||-6.5|-9|pin@9||-6.5|0
1325 Awire|net@10|||0|pin@9||-6.5|0|conn@2|y|-17|0
1326 Awire|net@11|||0|conn@0|a|13.5|0|pin@0||0|0
1327 Eina||D5G2;|conn@2|a|I
1328 Einb||D5G2;|conn@1|a|I
1329 Eout||D5G2;|conn@0|y|O
1330 X
1331
1332 # Cell mux21_tri;1{ic}
1333 Cmux21_tri;1{ic}||artwork|1092084237000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
1334 Ngeneric:Facet-Center|art@0||0|0||||AV
1335 NThick-Circle|art@1||2.5|0|1|1|||ART_color()I10
1336 Nschematic:Bus_Pin|pin@0||-2|2||||
1337 Nschematic:Bus_Pin|pin@2||-2|-2||||
1338 Nschematic:Bus_Pin|pin@4||3|0||||
1339 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1340 NPin|pin@8||-1|4|1|1||
1341 NPin|pin@9||-1|-4|1|1||
1342 NPin|pin@10||2|2.5|1|1||
1343 NPin|pin@11||2|-2.5|1|1||
1344 NPin|pin@13||2|-2.5|1|1||
1345 NPin|pin@14||-1|-4|1|1||
1346 NPin|pin@15||-1|4|1|1||
1347 NPin|pin@16||2|2.5|1|1||
1348 NPin|pin@17||-2|-2|1|1||
1349 NPin|pin@18||-1|-2|1|1||
1350 NPin|pin@19||-2|2|1|1||
1351 NPin|pin@20||-1|2|1|1||
1352 NPin|pin@21||0.5|4.5|1|1||
1353 NPin|pin@22||0.5|3.25|1|1||
1354 Ngeneric:Invisible-Pin|pin@25||0|2|||||ART_message(D5G1;)S0
1355 Ngeneric:Invisible-Pin|pin@26||0|-2|||||ART_message(D5G1;)S1
1356 AThicker|net@4|||FS2700|pin@9||-1|-4|pin@8||-1|4|ART_color()I10
1357 AThicker|net@5|||FS2700|pin@11||2|-2.5|pin@10||2|2.5|ART_color()I10
1358 AThicker|net@8|||FS2066|pin@14||-1|-4|pin@13||2|-2.5|ART_color()I10
1359 AThicker|net@9|||FS3334|pin@16||2|2.5|pin@15||-1|4|ART_color()I10
1360 AThicker|net@10|||FS0|pin@18||-1|-2|pin@17||-2|-2|ART_color()I10
1361 AThicker|net@11|||FS0|pin@20||-1|2|pin@19||-2|2|ART_color()I10
1362 AThicker|net@12|||FS2700|pin@22||0.5|3.25|pin@21||0.5|4.5|ART_color()I10
1363 Ein0||D5G1;|pin@0||I
1364 Ein1||D5G1;|pin@2||I
1365 Eout||D5G1;|pin@4||O
1366 Esel||D5G1;|pin@6||I
1367 X
1368
1369 # Cell mux21_tri;1{sch}
1370 Cmux21_tri;1{sch}||schematic|1092081747000|1157998412989||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20;Y-10;)S1|prototype_center()I[0,0]
1371 Ngeneric:Facet-Center|art@0||0|0||||AV
1372 NOff-Page|conn@0||-16|-6||||
1373 NOff-Page|conn@1||-16|0||||
1374 NOff-Page|conn@2||-16|6||||
1375 NOff-Page|conn@3||10|0||||
1376 Iinv;1{ic}|inv@0||-4|0|||D5G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@X/2.0|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1377 Imux21_tri;1{ic}|mux2@0||22|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S1
1378 NWire_Pin|pin@1||5|-6||||
1379 NWire_Pin|pin@2||5|6||||
1380 NWire_Pin|pin@3||0|0||||
1381 NWire_Pin|pin@4||0|10||||
1382 NWire_Pin|pin@5||-8|10||||
1383 NWire_Pin|pin@6||-8|0||||
1384 NWire_Pin|pin@7||-8|-10||||
1385 NWire_Pin|pin@8||0|-10||||
1386 Ngeneric:Invisible-Pin|pin@10||-5|19|||||ART_message(D5G5;)Smux21_tri
1387 Ngeneric:Invisible-Pin|pin@11||-5|15|||||ART_message(D5G2;)Sa 2:1 mux using tristate inverters
1388 NWire_Pin|pin@12||5|0||||
1389 ItriInv;1{ic}|triInv@5||0|6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1390 ItriInv;1{ic}|triInv@6||0|-6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1391 Awire|net@0|||1800|conn@0|y|-14|-6|triInv@6|in|-2.5|-6
1392 Awire|net@1|||1800|conn@2|y|-14|6|triInv@5|in|-2.5|6
1393 Awire|net@4|||0|pin@1||5|-6|triInv@6|out|2.5|-6
1394 Awire|net@6|||0|pin@2||5|6|triInv@5|out|2.5|6
1395 Awire|net@7|||1800|conn@1|y|-14|0|pin@6||-8|0
1396 Awire|net@8|||1800|inv@0|out|-1.5|0|pin@3||0|0
1397 Awire|net@9|||900|pin@3||0|0|triInv@6|enB|0|-4
1398 Awire|net@10|||2700|pin@3||0|0|triInv@5|en|0|4
1399 Awire|net@11|||2700|triInv@5|enB|0|8|pin@4||0|10
1400 Awire|net@12|||0|pin@4||0|10|pin@5||-8|10
1401 Awire|net@13|||1800|pin@6||-8|0|inv@0|in|-6.5|0
1402 Awire|net@14|||900|pin@5||-8|10|pin@6||-8|0
1403 Awire|net@15|||900|pin@6||-8|0|pin@7||-8|-10
1404 Awire|net@16|||1800|pin@7||-8|-10|pin@8||0|-10
1405 Awire|net@17|||2700|pin@8||0|-10|triInv@6|en|0|-8
1406 Awire|net@22|||2700|pin@1||5|-6|pin@12||5|0
1407 Awire|net@23|||2700|pin@12||5|0|pin@2||5|6
1408 Awire|net@24|||0|conn@3|a|8|0|pin@12||5|0
1409 Ein0||D5G2;|conn@2|a|I
1410 Ein1||D5G2;|conn@0|a|I
1411 Eout||D5G2;|conn@3|y|O
1412 Esel||D5G2;|conn@1|a|I
1413 X
1414
1415 # Cell nand2;1{ic}
1416 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1417 Ngeneric:Facet-Center|art@0||0|0||||AV
1418 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1419 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1420 NPin|pin@0||-1.5|1|1|1||
1421 NPin|pin@1||-2.5|1||||
1422 NPin|pin@2||-0.5|-2|1|1||
1423 NPin|pin@3||-1.5|-2|1|1||
1424 NPin|pin@4||-1.5|2|1|1||
1425 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1426 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1427 NPin|pin@7||-0.5|2|1|1||
1428 NPin|pin@8||-2.5|-1||||
1429 NPin|pin@9||-1.5|-1|1|1||
1430 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1431 NPin|pin@11||-1.5|-0.75|1|1||
1432 NPin|pin@12||-0.25|-2|1|1||
1433 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1434 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1435 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1436 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1437 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1438 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I10
1439 Eina||D5G1;|pin@10||I
1440 Einb||D5G1;|pin@6||I
1441 Eout||D5G1;|pin@5||O
1442 X
1443
1444 # Cell nand2;1{sch}
1445 Cnand2;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX21;Y-18.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1446 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1447 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1448 Ngeneric:Facet-Center|art@0||0|0||||AV
1449 NOff-Page|conn@0||15.5|0||||
1450 NOff-Page|conn@1||19.5|-5|||RR|
1451 NOff-Page|conn@2||-21.5|-1||||
1452 Inand2;1{ic}|nand2@0||15.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1453 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1454 NWire_Pin|pin@2||0|0||||
1455 NWire_Pin|pin@3||-9|-9||||
1456 NWire_Pin|pin@15||4.5|7.5||||
1457 NWire_Pin|pin@16||-5|7.5||||
1458 Ngeneric:Invisible-Pin|pin@17||-0.5|25|||||ART_message(D5G6;)S[nand2]
1459 NWire_Pin|pin@18||9|4||||
1460 NWire_Pin|pin@19||4.5|0||||
1461 Ngeneric:Invisible-Pin|pin@20||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
1462 NWire_Pin|pin@21||-5|0||||
1463 NWire_Pin|pin@22||9|-5||||
1464 NWire_Pin|pin@23||-9|4||||
1465 Ngeneric:Invisible-Pin|pin@24||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
1466 Ngeneric:Invisible-Pin|pin@25||22.5|-13.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1467 NWire_Pin|pin@26||-9|-1||||
1468 NPower|pwr@0||-5|10.5||||
1469 Awire|net@14|||900|pin@2||0|0|nms2@0|d|0|-3
1470 Awire|net@15|||0|pin@19||4.5|0|pin@2||0|0
1471 Awire|net@16|||0|pin@2||0|0|pin@21||-5|0
1472 Awire|net@17|||1800|nms2@0|g2|3|-5|pin@22||9|-5
1473 Awire|net@18|||1800|pin@3||-9|-9|nms2@0|g|-3|-9
1474 Awire|net@20|||900|pin@16||-5|7.5|PMOS@0|s|-5|6
1475 Awire|net@21|||1800|pin@23||-9|4|PMOS@0|g|-8|4
1476 Awire|net@22|||2700|pin@21||-5|0|PMOS@0|d|-5|2
1477 Awire|net@23|||2700|PMOS@1|s|4.5|6|pin@15||4.5|7.5
1478 Awire|net@24|||1800|PMOS@1|g|7.5|4|pin@18||9|4
1479 Awire|net@25|||2700|pin@19||4.5|0|PMOS@1|d|4.5|2
1480 Awire|net@36|||2700|pin@22||9|-5|pin@18||9|4
1481 Awire|net@38|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
1482 Awire|net@39|||0|pin@15||4.5|7.5|pin@16||-5|7.5
1483 Awire|net@42|||1800|pin@22||9|-5|conn@1|y|17.5|-5
1484 Awire|net@43|||2700|pin@3||-9|-9|pin@26||-9|-1
1485 Awire|net@44|||2700|pin@26||-9|-1|pin@23||-9|4
1486 Awire|net@45|||1800|conn@2|y|-19.5|-1|pin@26||-9|-1
1487 Awire|net@46|||0|conn@0|a|13.5|0|pin@19||4.5|0
1488 Eina||D5G2;|conn@2|a|I
1489 Einb||D5G2;|conn@1|a|I
1490 Eout||D5G2;|conn@0|y|O
1491 X
1492
1493 # Cell nand2HLT_sy;1{ic}
1494 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1495 Ngeneric:Facet-Center|art@0||0|0||||AV
1496 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1497 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1498 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1499 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1500 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1501 NPin|pin@1||-1.5|-1|1|1||
1502 NPin|pin@2||-2.5|-1||||
1503 NPin|pin@3||-0.5|2|1|1||
1504 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1505 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1506 NPin|pin@6||-1.5|2|1|1||
1507 NPin|pin@7||-1.5|-2|1|1||
1508 NPin|pin@8||-0.5|-2|1|1||
1509 NPin|pin@9||-2.5|1||||
1510 NPin|pin@10||-1.5|1|1|1||
1511 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1512 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1513 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1514 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1515 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1516 Eina||D5G1;|pin@0||I
1517 Einb||D5G1;|pin@4||I
1518 Eout||D5G1;|pin@5||O
1519 X
1520
1521 # Cell nand2HLT_sy;1{sch}
1522 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-20;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1523 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||6|3.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1524 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1525 Ngeneric:Facet-Center|art@0||0|0||||AV
1526 NOff-Page|conn@0||-17|-9.75||||
1527 NOff-Page|conn@1||21|-5.75|||RR|
1528 NOff-Page|conn@2||22.5|0||||
1529 Inand2HLT_sy;1{ic}|nand2HLT@0||38|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1530 Inms2_sy;1{ic}|nms2_sy@0||0|-9.75|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1531 Ngeneric:Invisible-Pin|pin@18||32|-14|||||ART_message(D5G2;)S[X is drive strength,The pull-down is 1.5 times as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1532 NWire_Pin|pin@19||-9.5|4||||
1533 NWire_Pin|pin@20||-5|0||||
1534 NWire_Pin|pin@21||-9.5|-9.75||||
1535 NWire_Pin|pin@22||6|0||||
1536 NWire_Pin|pin@23||10.25|3.25||||
1537 NWire_Pin|pin@24||10.25|-5.75||||
1538 Ngeneric:Invisible-Pin|pin@25||3.5|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1539 NWire_Pin|pin@26||-5|7.5||||
1540 NWire_Pin|pin@27||6|7.5||||
1541 Ngeneric:Invisible-Pin|pin@28||2|20|||||ART_message(D5G2;)S[high-LO-threshold NAND]
1542 Ngeneric:Invisible-Pin|pin@29||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1543 Ngeneric:Invisible-Pin|pin@30||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1544 NWire_Pin|pin@31||0|0||||
1545 NPower|pwr@0||-5|10.5||||
1546 Awire|net@30|||2700|pin@21||-9.5|-9.75|pin@19||-9.5|4
1547 Awire|net@31|||2700|pin@24||10.25|-5.75|pin@23||10.25|3.25
1548 Awire|net@32|||0|pin@27||6|7.5|pin@26||-5|7.5
1549 Awire|net@33|||2700|pin@26||-5|7.5|pwr@0||-5|10.5
1550 Awire|net@34|||2700|pin@22||6|0|PMOS@0|d|6|1.25
1551 Awire|net@35|||1800|PMOS@0|g|9|3.25|pin@23||10.25|3.25
1552 Awire|net@36|||2700|PMOS@0|s|6|5.25|pin@27||6|7.5
1553 Awire|net@37|||2700|pin@20||-5|0|PMOS@1|d|-5|2
1554 Awire|net@38|||1800|pin@19||-9.5|4|PMOS@1|g|-8|4
1555 Awire|net@39|||900|pin@26||-5|7.5|PMOS@1|s|-5|6
1556 Awire|net@40|||0|pin@31||0|0|pin@20||-5|0
1557 Awire|net@41|||0|pin@22||6|0|pin@31||0|0
1558 Awire|net@42|||900|pin@31||0|0|nms2_sy@0|d|0|-3.75
1559 Awire|net@43|||0|pin@24||10.25|-5.75|nms2_sy@0|g2|3|-5.75
1560 Awire|net@44|||0|nms2_sy@0|g|-3|-9.75|pin@21||-9.5|-9.75
1561 Awire|net@45|||0|conn@2|a|20.5|0|pin@22||6|0
1562 Awire|net@46|||0|conn@1|y|19|-5.75|pin@24||10.25|-5.75
1563 Awire|net@47|||0|pin@21||-9.5|-9.75|conn@0|y|-15|-9.75
1564 Eina||D5G2;|conn@0|a|I
1565 Einb||D5G2;|conn@1|a|I
1566 Eout||D5G2;|conn@2|y|O
1567 X
1568
1569 # Cell nand2HT;1{ic}
1570 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1571 Ngeneric:Facet-Center|art@0||0|0||||AV
1572 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1573 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1574 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1575 NPin|pin@0||-0.25|-2|1|1||
1576 NPin|pin@1||-1.5|-0.75|1|1||
1577 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1578 NPin|pin@3||-1.5|-1|1|1||
1579 NPin|pin@4||-2.5|-1||||
1580 NPin|pin@5||-0.5|2|1|1||
1581 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1582 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1583 NPin|pin@8||-1.5|2|1|1||
1584 NPin|pin@9||-1.5|-2|1|1||
1585 NPin|pin@10||-0.5|-2|1|1||
1586 NPin|pin@11||-2.5|1||||
1587 NPin|pin@12||-1.5|1|1|1||
1588 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1589 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1590 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1591 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1592 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1593 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1594 Eina||D5G1;|pin@2||I
1595 Einb||D5G1;|pin@6||I
1596 Eout||D5G1;|pin@7||O
1597 X
1598
1599 # Cell nand2HT;1{sch}
1600 Cnand2HT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1601 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S2.*@X
1602 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1603 Ngeneric:Facet-Center|art@0||0|0||||AV
1604 NOff-Page|conn@0||-14|-1||||
1605 NOff-Page|conn@1||16.5|-5|||RR|
1606 NOff-Page|conn@2||21|0|||Y|
1607 Inand2HT;1{ic}|nand2HT@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1608 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1609 NWire_Pin|pin@0||0|8||||
1610 NWire_Pin|pin@1||-5|8||||
1611 NWire_Pin|pin@2||4.5|8||||
1612 Ngeneric:Invisible-Pin|pin@3||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1613 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1614 NWire_Pin|pin@5||-9|4||||
1615 NWire_Pin|pin@6||0|0||||
1616 NWire_Pin|pin@7||-5|0||||
1617 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1618 NWire_Pin|pin@9||-9|-1||||
1619 NWire_Pin|pin@10||4.5|0||||
1620 NWire_Pin|pin@11||9|4||||
1621 NWire_Pin|pin@12||9|-5||||
1622 Ngeneric:Invisible-Pin|pin@13||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1623 NWire_Pin|pin@14||-9|-9||||
1624 NPower|pwr@0||0|11.5||||
1625 Awire|net@0|||0|nms2@0|g|-3|-9|pin@14||-9|-9
1626 Awire|net@1|||1800|nms2@0|g2|3|-5|pin@12||9|-5
1627 Awire|net@2|||2700|pin@0||0|8|pwr@0||0|11.5
1628 Awire|net@3|||1800|pin@1||-5|8|pin@0||0|8
1629 Awire|net@4|||1800|pin@0||0|8|pin@2||4.5|8
1630 Awire|net@5|||1800|pin@10||4.5|0|conn@2|a|19|0
1631 Awire|net@6|||2700|PMOS@0|s|-5|6|pin@1||-5|8
1632 Awire|net@7|||900|pin@2||4.5|8|PMOS@1|s|4.5|6
1633 Awire|net@8|||1800|pin@5||-9|4|PMOS@0|g|-8|4
1634 Awire|net@9|||2700|pin@7||-5|0|PMOS@0|d|-5|2
1635 Awire|net@10|||2700|pin@10||4.5|0|PMOS@1|d|4.5|2
1636 Awire|net@11|||0|pin@11||9|4|PMOS@1|g|7.5|4
1637 Awire|net@12|||2700|pin@9||-9|-1|pin@5||-9|4
1638 Awire|net@13|||900|pin@6||0|0|nms2@0|d|0|-3
1639 Awire|net@14|||0|pin@10||4.5|0|pin@6||0|0
1640 Awire|net@15|||0|pin@6||0|0|pin@7||-5|0
1641 Awire|net@16|||0|pin@9||-9|-1|conn@0|y|-12|-1
1642 Awire|net@17|||2700|pin@12||9|-5|pin@11||9|4
1643 Awire|net@18|||1800|pin@12||9|-5|conn@1|y|14.5|-5
1644 Awire|net@19|||2700|pin@14||-9|-9|pin@9||-9|-1
1645 Eina||D5G2;|conn@0|a|I
1646 Einb||D5G2;|conn@1|a|I
1647 Eout||D5G2;|conn@2|y|O
1648 X
1649
1650 # Cell nand2HTen;1{ic}
1651 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1652 Ngeneric:Facet-Center|art@0||0|0||||AV
1653 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1654 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1655 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1656 Ngeneric:Invisible-Pin|pin@0||-0.38|-1.25|||||ART_message(D5G1.5;)S[en]
1657 NPin|pin@1||-1.5|1|1|1||
1658 NPin|pin@2||-2.5|1||||
1659 NPin|pin@3||-0.5|-2|1|1||
1660 NPin|pin@4||-1.5|-2|1|1||
1661 NPin|pin@5||-1.5|2|1|1||
1662 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1663 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1664 NPin|pin@8||-0.5|2|1|1||
1665 NPin|pin@9||-2.5|-1||||
1666 NPin|pin@10||-1.5|-1|1|1||
1667 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1668 NPin|pin@12||-1.5|-0.75|1|1||
1669 NPin|pin@13||-0.25|-2|1|1||
1670 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1671 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1672 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1673 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1674 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1675 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1676 Eina||D5G1;|pin@11||I
1677 Einb||D5G1;|pin@7||I
1678 Eout||D5G1;|pin@6||O
1679 X
1680
1681 # Cell nand2HTen;1{sch}
1682 Cnand2HTen;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1683 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1684 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/5., 5./6.)
1685 Ngeneric:Facet-Center|art@0||0|0||||AV
1686 NOff-Page|conn@0||21|0|||Y|
1687 NOff-Page|conn@1||16.5|-5|||RR|
1688 NOff-Page|conn@2||-14|-1||||
1689 Inand2HTen;1{ic}|nand2HTe@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1690 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1691 NWire_Pin|pin@0||-9|-9||||
1692 Ngeneric:Invisible-Pin|pin@1||9|6|||||ART_message(D5G1;)S[fixed size]
1693 Ngeneric:Invisible-Pin|pin@2||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1694 NWire_Pin|pin@3||9|-5||||
1695 NWire_Pin|pin@4||9|4||||
1696 NWire_Pin|pin@5||4.5|0||||
1697 NWire_Pin|pin@6||-9|-1||||
1698 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND where ina is enable (DC) input]
1699 NWire_Pin|pin@8||-5|0||||
1700 NWire_Pin|pin@9||0|0||||
1701 NWire_Pin|pin@10||-9|4||||
1702 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1703 Ngeneric:Invisible-Pin|pin@12||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1704 NWire_Pin|pin@13||4.5|8||||
1705 NWire_Pin|pin@14||-5|8||||
1706 NWire_Pin|pin@15||0|8||||
1707 NPower|pwr@0||0|11.5||||
1708 Awire|net@0|||2700|pin@0||-9|-9|pin@6||-9|-1
1709 Awire|net@1|||1800|pin@3||9|-5|conn@1|y|14.5|-5
1710 Awire|net@2|||2700|pin@3||9|-5|pin@4||9|4
1711 Awire|net@3|||0|pin@6||-9|-1|conn@2|y|-12|-1
1712 Awire|net@4|||0|pin@9||0|0|pin@8||-5|0
1713 Awire|net@5|||0|pin@5||4.5|0|pin@9||0|0
1714 Awire|net@6|||900|pin@9||0|0|nms2@0|d|0|-3
1715 Awire|net@7|||2700|pin@6||-9|-1|pin@10||-9|4
1716 Awire|net@8|||0|pin@4||9|4|PMOS@0|g|7.5|4
1717 Awire|net@9|||2700|pin@5||4.5|0|PMOS@0|d|4.5|2
1718 Awire|net@10|||2700|pin@8||-5|0|PMOS@1|d|-5|2
1719 Awire|net@11|||1800|pin@10||-9|4|PMOS@1|g|-8|4
1720 Awire|net@12|||900|pin@13||4.5|8|PMOS@0|s|4.5|6
1721 Awire|net@13|||2700|PMOS@1|s|-5|6|pin@14||-5|8
1722 Awire|net@14|||1800|pin@5||4.5|0|conn@0|a|19|0
1723 Awire|net@15|||1800|pin@15||0|8|pin@13||4.5|8
1724 Awire|net@16|||1800|pin@14||-5|8|pin@15||0|8
1725 Awire|net@17|||2700|pin@15||0|8|pwr@0||0|11.5
1726 Awire|net@18|||1800|nms2@0|g2|3|-5|pin@3||9|-5
1727 Awire|net@19|||0|nms2@0|g|-3|-9|pin@0||-9|-9
1728 Eina||D5G2;|conn@2|a|I
1729 Einb||D5G2;|conn@1|a|I
1730 Eout||D5G2;|conn@0|y|O
1731 X
1732
1733 # Cell nand2LT;1{ic}
1734 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1735 Ngeneric:Facet-Center|art@0||0|0||||AV
1736 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1737 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1738 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1739 NPin|pin@0||-0.25|-2|0.5|0.5||
1740 NPin|pin@1||-1.5|-0.75|0.5|0.5||
1741 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1742 NPin|pin@3||-1.5|-1|1|1||
1743 NPin|pin@4||-2.5|-1||||
1744 NPin|pin@5||-0.5|2|1|1||
1745 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1746 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1747 NPin|pin@8||-1.5|2|1|1||
1748 NPin|pin@9||-1.5|-2|1|1||
1749 NPin|pin@10||-0.5|-2|1|1||
1750 NPin|pin@11||-2.5|1||||
1751 NPin|pin@12||-1.5|1|1|1||
1752 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1753 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1754 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1755 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1756 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1757 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1758 Eina||D5G1;|pin@2||I
1759 Einb||D5G1;|pin@6||I
1760 Eout||D5G1;|pin@7||O
1761 X
1762
1763 # Cell nand2LT;1{sch}
1764 Cnand2LT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1765 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1766 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1767 Ngeneric:Facet-Center|art@0||0|0||||AV
1768 NOff-Page|conn@0||-14.5|-9||||
1769 NOff-Page|conn@1||17|-5|||RR|
1770 NOff-Page|conn@2||17|0||||
1771 Inand2LT;1{ic}|nand2LT@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1772 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1773 Ngeneric:Invisible-Pin|pin@0||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1774 NWire_Pin|pin@1||-9.5|4||||
1775 NWire_Pin|pin@2||-5|0||||
1776 NWire_Pin|pin@3||-9.5|-9||||
1777 NWire_Pin|pin@4||6|0||||
1778 NWire_Pin|pin@5||11|4||||
1779 NWire_Pin|pin@6||11|-5||||
1780 Ngeneric:Invisible-Pin|pin@7||3.5|25|||||ART_message(D5G6;)S[nand2LT]
1781 NWire_Pin|pin@8||-5|7.5||||
1782 NWire_Pin|pin@9||6|7.5||||
1783 Ngeneric:Invisible-Pin|pin@10||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1784 Ngeneric:Invisible-Pin|pin@11||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1785 Ngeneric:Invisible-Pin|pin@12||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1786 NWire_Pin|pin@13||0|0||||
1787 NPower|pwr@0||-5|10.5||||
1788 Awire|net@0|||0|pin@6||11|-5|nms2@0|g2|3|-5
1789 Awire|net@1|||900|pin@13||0|0|nms2@0|d|0|-3
1790 Awire|net@2|||0|nms2@0|g|-3|-9|pin@3||-9.5|-9
1791 Awire|net@3|||2700|pin@3||-9.5|-9|pin@1||-9.5|4
1792 Awire|net@4|||0|pin@3||-9.5|-9|conn@0|y|-12.5|-9
1793 Awire|net@5|||2700|pin@6||11|-5|pin@5||11|4
1794 Awire|net@6|||1800|pin@6||11|-5|conn@1|y|15|-5
1795 Awire|net@7|||0|pin@9||6|7.5|pin@8||-5|7.5
1796 Awire|net@8|||2700|pin@8||-5|7.5|pwr@0||-5|10.5
1797 Awire|net@9|||1800|pin@4||6|0|conn@2|a|15|0
1798 Awire|net@10|||2700|pin@4||6|0|PMOS@0|d|6|2
1799 Awire|net@11|||1800|PMOS@0|g|9|4|pin@5||11|4
1800 Awire|net@12|||2700|PMOS@0|s|6|6|pin@9||6|7.5
1801 Awire|net@13|||2700|pin@2||-5|0|PMOS@1|d|-5|2
1802 Awire|net@14|||1800|pin@1||-9.5|4|PMOS@1|g|-8|4
1803 Awire|net@15|||900|pin@8||-5|7.5|PMOS@1|s|-5|6
1804 Awire|net@16|||0|pin@13||0|0|pin@2||-5|0
1805 Awire|net@17|||0|pin@4||6|0|pin@13||0|0
1806 Eina||D5G2;|conn@0|a|I
1807 Einb||D5G2;|conn@1|a|I
1808 Eout||D5G2;|conn@2|y|O
1809 X
1810
1811 # Cell nand2LT_sy;1{ic}
1812 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1813 Ngeneric:Facet-Center|art@0||0|0||||AV
1814 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1815 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1816 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1817 NPin|pin@0||-1.5|1|1|1||
1818 NPin|pin@1||-2.5|1||||
1819 NPin|pin@2||-0.5|-2|1|1||
1820 NPin|pin@3||-1.5|-2|1|1||
1821 NPin|pin@4||-1.5|2|1|1||
1822 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1823 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1824 NPin|pin@7||-0.5|2|1|1||
1825 NPin|pin@8||-2.5|-1||||
1826 NPin|pin@9||-1.5|-1|1|1||
1827 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1828 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1829 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1830 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1831 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1832 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1833 Eina||D5G1;|pin@10||I
1834 Einb||D5G1;|pin@6||I
1835 Eout||D5G1;|pin@5||O
1836 X
1837
1838 # Cell nand2LT_sy;1{sch}
1839 Cnand2LT_sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1840 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1841 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1842 Ngeneric:Facet-Center|art@0||0|0||||AV
1843 NOff-Page|conn@0||17|0||||
1844 NOff-Page|conn@1||17|-5|||RR|
1845 NOff-Page|conn@2||-14.5|-9||||
1846 Inand2LT_sy;1{ic}|nand2LT_@0||38.5|19|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1847 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1848 NWire_Pin|pin@0||0|0||||
1849 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1850 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1851 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1852 NWire_Pin|pin@4||6|7.5||||
1853 NWire_Pin|pin@5||-5|7.5||||
1854 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LT_sy]
1855 NWire_Pin|pin@7||11|-5||||
1856 NWire_Pin|pin@8||11|4||||
1857 NWire_Pin|pin@9||6|0||||
1858 NWire_Pin|pin@10||-9.5|-9||||
1859 NWire_Pin|pin@11||-5|0||||
1860 NWire_Pin|pin@12||-9.5|4||||
1861 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1862 NPower|pwr@0||-5|10.5||||
1863 Awire|net@0|||0|nms2_sy@0|g|-3|-9|pin@10||-9.5|-9
1864 Awire|net@1|||0|pin@7||11|-5|nms2_sy@0|g2|3|-5
1865 Awire|net@2|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1866 Awire|net@3|||0|pin@9||6|0|pin@0||0|0
1867 Awire|net@4|||0|pin@0||0|0|pin@11||-5|0
1868 Awire|net@5|||900|pin@5||-5|7.5|PMOS@0|s|-5|6
1869 Awire|net@6|||1800|pin@12||-9.5|4|PMOS@0|g|-8|4
1870 Awire|net@7|||2700|pin@11||-5|0|PMOS@0|d|-5|2
1871 Awire|net@8|||2700|PMOS@1|s|6|6|pin@4||6|7.5
1872 Awire|net@9|||1800|PMOS@1|g|9|4|pin@8||11|4
1873 Awire|net@10|||2700|pin@9||6|0|PMOS@1|d|6|2
1874 Awire|net@11|||1800|pin@9||6|0|conn@0|a|15|0
1875 Awire|net@12|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1876 Awire|net@13|||0|pin@4||6|7.5|pin@5||-5|7.5
1877 Awire|net@14|||1800|pin@7||11|-5|conn@1|y|15|-5
1878 Awire|net@15|||2700|pin@7||11|-5|pin@8||11|4
1879 Awire|net@16|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1880 Awire|net@17|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1881 Eina||D5G2;|conn@2|a|I
1882 Einb||D5G2;|conn@1|a|I
1883 Eout||D5G2;|conn@0|y|O
1884 X
1885
1886 # Cell nand2LTen;1{ic}
1887 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1888 Ngeneric:Facet-Center|art@0||0|0||||AV
1889 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1890 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1891 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1892 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1893 NPin|pin@1||-1.5|1|1|1||
1894 NPin|pin@2||-2.5|1||||
1895 NPin|pin@3||-0.5|-2|1|1||
1896 NPin|pin@4||-1.5|-2|1|1||
1897 NPin|pin@5||-1.5|2|1|1||
1898 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1899 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1900 NPin|pin@8||-0.5|2|1|1||
1901 NPin|pin@9||-2.5|-1||||
1902 NPin|pin@10||-1.5|-1|1|1||
1903 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1904 NPin|pin@12||-1.5|-0.75|0.5|0.5||
1905 NPin|pin@13||-0.25|-2|0.5|0.5||
1906 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1907 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1908 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1909 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1910 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1911 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1912 Eina||D5G1;|pin@11||I
1913 Einb||D5G1;|pin@7||I
1914 Eout||D5G1;|pin@6||O
1915 X
1916
1917 # Cell nand2LTen;1{sch}
1918 Cnand2LTen;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1919 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/20., 0.5)
1920 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1921 Ngeneric:Facet-Center|art@0||0|0||||AV
1922 NOff-Page|conn@0||17|0||||
1923 NOff-Page|conn@1||17|-5|||RR|
1924 NOff-Page|conn@2||-14.5|-9||||
1925 Inand2LTen;1{ic}|nand2LTe@0||42|18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1926 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1927 NWire_Pin|pin@0||0|0||||
1928 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1929 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1930 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND where ina is enable (DC) input]
1931 NWire_Pin|pin@4||6|7.5||||
1932 NWire_Pin|pin@5||-5|7.5||||
1933 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LTen]
1934 NWire_Pin|pin@7||11|-5||||
1935 NWire_Pin|pin@8||11|4||||
1936 NWire_Pin|pin@9||6|0||||
1937 NWire_Pin|pin@10||-9.5|-9||||
1938 NWire_Pin|pin@11||-5|0||||
1939 NWire_Pin|pin@12||-9.5|4||||
1940 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1941 NPower|pwr@0||-5|10.5||||
1942 Awire|net@0|||0|pin@9||6|0|pin@0||0|0
1943 Awire|net@1|||0|pin@0||0|0|pin@11||-5|0
1944 Awire|net@2|||900|pin@5||-5|7.5|PMOS@0|s|-5|6
1945 Awire|net@3|||1800|pin@12||-9.5|4|PMOS@0|g|-8|4
1946 Awire|net@4|||2700|pin@11||-5|0|PMOS@0|d|-5|2
1947 Awire|net@5|||2700|PMOS@1|s|6|6|pin@4||6|7.5
1948 Awire|net@6|||1800|PMOS@1|g|9|4|pin@8||11|4
1949 Awire|net@7|||2700|pin@9||6|0|PMOS@1|d|6|2
1950 Awire|net@8|||1800|pin@9||6|0|conn@0|a|15|0
1951 Awire|net@9|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1952 Awire|net@10|||0|pin@4||6|7.5|pin@5||-5|7.5
1953 Awire|net@11|||1800|pin@7||11|-5|conn@1|y|15|-5
1954 Awire|net@12|||2700|pin@7||11|-5|pin@8||11|4
1955 Awire|net@13|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1956 Awire|net@14|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1957 Awire|net@15|||0|nms2@0|g|-3|-9|pin@10||-9.5|-9
1958 Awire|net@16|||900|pin@0||0|0|nms2@0|d|0|-3
1959 Awire|net@17|||0|pin@7||11|-5|nms2@0|g2|3|-5
1960 Eina||D5G2;|conn@2|a|I
1961 Einb||D5G2;|conn@1|a|I
1962 Eout||D5G2;|conn@0|y|O
1963 X
1964
1965 # Cell nand2_sy;1{ic}
1966 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1967 Ngeneric:Facet-Center|art@0||0|0||||AV
1968 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1969 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1970 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1971 NPin|pin@1||-1.5|-1|1|1||
1972 NPin|pin@2||-2.5|-1||||
1973 NPin|pin@3||-0.5|2|1|1||
1974 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1975 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1976 NPin|pin@6||-1.5|2|1|1||
1977 NPin|pin@7||-1.5|-2|1|1||
1978 NPin|pin@8||-0.5|-2|1|1||
1979 NPin|pin@9||-2.5|1||||
1980 NPin|pin@10||-1.5|1|1|1||
1981 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1982 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1983 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1984 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1985 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1986 Eina||D5G1;|pin@0||I
1987 Einb||D5G1;|pin@4||I
1988 Eout||D5G1;|pin@5||O
1989 X
1990
1991 # Cell nand2_sy;1{sch}
1992 Cnand2_sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-17.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-17.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX25;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1993 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1994 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1995 Ngeneric:Facet-Center|art@0||0|0||||AV
1996 NOff-Page|conn@0||-23.5|-9||||
1997 NOff-Page|conn@1||19.5|-5|||RR|
1998 NOff-Page|conn@2||19.5|0||||
1999 Inand2_sy;1{ic}|nand2_sy@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2000 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
2001 NWire_Pin|pin@4||13.5|-5||||
2002 NWire_Pin|pin@5||0|0||||
2003 Ngeneric:Invisible-Pin|pin@6||35|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2004 Ngeneric:Invisible-Pin|pin@7||3.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2005 NWire_Pin|pin@8||-9.5|4||||
2006 NWire_Pin|pin@9||-5|0||||
2007 Ngeneric:Invisible-Pin|pin@10||3.5|20|||||ART_message(D5G2;)S[one-parameter symmetric NAND]
2008 NWire_Pin|pin@11||-9.5|-9||||
2009 NWire_Pin|pin@12||5.5|0||||
2010 NWire_Pin|pin@13||13.5|4||||
2011 Ngeneric:Invisible-Pin|pin@14||3.5|25|||||ART_message(D5G6;)S[nand2_sy]
2012 NWire_Pin|pin@15||-5|7.5||||
2013 NWire_Pin|pin@16||5.5|7.5||||
2014 NPower|pwr@0||-5|10.5||||
2015 Awire|net@8|||1800|pin@12||5.5|0|conn@2|a|17.5|0
2016 Awire|net@9|||2700|pin@4||13.5|-5|pin@13||13.5|4
2017 Awire|net@10|||0|conn@1|y|17.5|-5|pin@4||13.5|-5
2018 Awire|net@11|||0|nms2_sy@0|g|-3|-9|pin@11||-9.5|-9
2019 Awire|net@12|||900|pin@5||0|0|nms2_sy@0|d|0|-3
2020 Awire|net@13|||1800|pin@9||-5|0|pin@5||0|0
2021 Awire|net@14|||1800|pin@5||0|0|pin@12||5.5|0
2022 Awire|net@15|||2700|PMOS@0|s|5.5|6|pin@16||5.5|7.5
2023 Awire|net@16|||1800|PMOS@0|g|8.5|4|pin@13||13.5|4
2024 Awire|net@17|||2700|pin@12||5.5|0|PMOS@0|d|5.5|2
2025 Awire|net@18|||900|pin@15||-5|7.5|PMOS@1|s|-5|6
2026 Awire|net@19|||1800|pin@8||-9.5|4|PMOS@1|g|-8|4
2027 Awire|net@20|||2700|pin@9||-5|0|PMOS@1|d|-5|2
2028 Awire|net@21|||2700|pin@11||-9.5|-9|pin@8||-9.5|4
2029 Awire|net@22|||0|pin@16||5.5|7.5|pin@15||-5|7.5
2030 Awire|net@23|||2700|pin@15||-5|7.5|pwr@0||-5|10.5
2031 Awire|net@30|||1800|nms2_sy@0|g2|3|-5|pin@4||13.5|-5
2032 Awire|net@31|||1800|conn@0|y|-21.5|-9|pin@11||-9.5|-9
2033 Eina||D5G2;|conn@0|a|I
2034 Einb||D5G2;|conn@1|a|I
2035 Eout||D5G2;|conn@2|y|O
2036 X
2037
2038 # Cell nand2en;1{ic}
2039 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2040 Ngeneric:Facet-Center|art@0||0|0||||AV
2041 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2042 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2043 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2044 NPin|pin@1||-0.25|-2|1|1||
2045 NPin|pin@2||-1.5|-0.75|1|1||
2046 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2047 NPin|pin@4||-1.5|-1|1|1||
2048 NPin|pin@5||-2.5|-1||||
2049 NPin|pin@6||-0.5|2|1|1||
2050 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2051 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2052 NPin|pin@9||-1.5|2|1|1||
2053 NPin|pin@10||-1.5|-2|1|1||
2054 NPin|pin@11||-0.5|-2|1|1||
2055 NPin|pin@12||-2.5|1||||
2056 NPin|pin@13||-1.5|1|1|1||
2057 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I10
2058 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
2059 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@9||-1.5|2|ART_color()I10
2060 AThicker|net@3|||FS2700|pin@10||-1.5|-2|pin@9||-1.5|2|ART_color()I10
2061 AThicker|net@4|||FS0|pin@11||-0.5|-2|pin@10||-1.5|-2|ART_color()I10
2062 AThicker|net@5|||FS0|pin@13||-1.5|1|pin@12||-2.5|1|ART_color()I10
2063 Eina||D5G1;|pin@3||I
2064 Einb||D5G1;|pin@7||I
2065 Eout||D5G1;|pin@8||O
2066 X
2067
2068 # Cell nand2en;1{sch}
2069 Cnand2en;1{sch}||schematic|1021415734000|1200387280976||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2070 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/10., 5.2/6.)
2071 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X
2072 Ngeneric:Facet-Center|art@0||0|0||||AV
2073 NOff-Page|conn@0||-14|-1||||
2074 NOff-Page|conn@1||14|-5|||RR|
2075 NOff-Page|conn@2||14|0||||
2076 Inand2en;1{ic}|nand2en@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2077 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
2078 NWire_Pin|pin@0||4.5|0||||
2079 NWire_Pin|pin@1||-9|-9||||
2080 NWire_Pin|pin@2||0|0||||
2081 Ngeneric:Invisible-Pin|pin@3||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2082 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2083 NWire_Pin|pin@5||-9|4||||
2084 NWire_Pin|pin@6||-5|0||||
2085 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2086 NWire_Pin|pin@8||-9|-1||||
2087 NWire_Pin|pin@9||9|4||||
2088 NWire_Pin|pin@10||9|-5||||
2089 Ngeneric:Invisible-Pin|pin@11||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2090 NWire_Pin|pin@12||-5|7.5||||
2091 NWire_Pin|pin@13||4.5|7.5||||
2092 NPower|pwr@0||-5|10.5||||
2093 Awire|net@0|||900|pin@12||-5|7.5|PMOS@0|s|-5|6
2094 Awire|net@1|||1800|pin@5||-9|4|PMOS@0|g|-8|4
2095 Awire|net@2|||2700|pin@6||-5|0|PMOS@0|d|-5|2
2096 Awire|net@3|||900|pin@13||4.5|7.5|PMOS@1|s|4.5|6
2097 Awire|net@4|||0|pin@9||9|4|PMOS@1|g|7.5|4
2098 Awire|net@5|||2700|pin@0||4.5|0|PMOS@1|d|4.5|2
2099 Awire|net@6|||0|pin@10||9|-5|nms2@0|g2|3|-5
2100 Awire|net@7|||0|conn@2|a|12|0|pin@0||4.5|0
2101 Awire|net@8|||0|pin@0||4.5|0|pin@2||0|0
2102 Awire|net@9|||1800|pin@1||-9|-9|nms2@0|g|-3|-9
2103 Awire|net@10|||2700|pin@1||-9|-9|pin@8||-9|-1
2104 Awire|net@11|||900|pin@2||0|0|nms2@0|d|0|-3
2105 Awire|net@12|||1800|pin@6||-5|0|pin@2||0|0
2106 Awire|net@13|||2700|pin@8||-9|-1|pin@5||-9|4
2107 Awire|net@14|||0|pin@8||-9|-1|conn@0|y|-12|-1
2108 Awire|net@15|||2700|pin@10||9|-5|pin@9||9|4
2109 Awire|net@16|||1800|pin@10||9|-5|conn@1|y|12|-5
2110 Awire|net@17|||0|pin@13||4.5|7.5|pin@12||-5|7.5
2111 Awire|net@18|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2112 Eina||D5G2;|conn@0|a|I
2113 Einb||D5G2;|conn@1|a|I
2114 Eout||D5G2;|conn@2|y|O
2115 X
2116
2117 # Cell nand2en_3n;1{ic}
2118 Cnand2en_3n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2119 Ngeneric:Facet-Center|art@0||0|0||||AV
2120 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2121 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2122 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[3n]
2123 NPin|pin@1||-1.5|1|1|1||
2124 NPin|pin@2||-2.5|1||||
2125 NPin|pin@3||-0.5|-2|1|1||
2126 NPin|pin@4||-1.5|-2|1|1||
2127 NPin|pin@5||-1.5|2|1|1||
2128 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2129 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2130 NPin|pin@8||-0.5|2|1|1||
2131 NPin|pin@9||-2.5|-1||||
2132 NPin|pin@10||-1.5|-1|1|1||
2133 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2134 NPin|pin@12||-1.5|-0.75|1|1||
2135 NPin|pin@13||-0.25|-2|1|1||
2136 Ngeneric:Invisible-Pin|pin@14||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2137 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
2138 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
2139 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
2140 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
2141 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
2142 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
2143 Eina||D5G1;|pin@11||I
2144 Einb||D5G1;|pin@7||I
2145 Eout||D5G1;|pin@6||O
2146 X
2147
2148 # Cell nand2en_3n;1{sch}
2149 Cnand2en_3n;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-20;Y-5.5;)I100|ATTR_X(D5G1;HNPX-20;Y-4.5;)I1|ATTR_drive0(D5G1;HNPTX-20;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2150 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2151 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-9|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./6.)
2152 Ngeneric:Facet-Center|art@0||0|0||||AV
2153 NOff-Page|conn@0||15|0||||
2154 NOff-Page|conn@1||15|-5|||RR|
2155 NOff-Page|conn@2||-18|-1||||
2156 Inand2en_3n;1{ic}|nand2en_@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2157 Inms2b;1{ic}|nms2@0||-2|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2158 Inms2b;1{ic}|nms2@1||5.5|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2159 Inms2b;1{ic}|nms2@2||-9|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2160 NWire_Pin|pin@0||-2|0||||
2161 Ngeneric:Invisible-Pin|pin@1||-0.5|15|||||ART_message(D5G2;)S[3 n-stacks for larger sizes]
2162 NWire_Pin|pin@2||5.5|7.5||||
2163 NWire_Pin|pin@3||-9|7.5||||
2164 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en_3n]
2165 NWire_Pin|pin@5||10|-5||||
2166 NWire_Pin|pin@6||10|4||||
2167 NWire_Pin|pin@7||-13|-1||||
2168 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2169 NWire_Pin|pin@9||-9|0||||
2170 NWire_Pin|pin@10||-13|4||||
2171 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2172 Ngeneric:Invisible-Pin|pin@12||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2173 NWire_Pin|pin@13||-13|-9||||
2174 NWire_Pin|pin@14||5.5|0||||
2175 NPower|pwr@0||-9|10.5||||
2176 Awire|net@0|||2700|nms2@1|d|5.5|-3|pin@14||5.5|0
2177 Awire|net@1|||1800|nms2@1|g2|8.5|-5|pin@5||10|-5
2178 Awire|net@2|||1800|nms2@0|g2|1|-5|nms2@1|g2|8.5|-5
2179 Awire|net@3|||900|pin@0||-2|0|nms2@0|d|-2|-3
2180 Awire|net@4|||0|pin@14||5.5|0|pin@0||-2|0
2181 Awire|net@5|||0|pin@0||-2|0|pin@9||-9|0
2182 Awire|net@6|||1800|nms2@2|g2|-6|-5|nms2@0|g2|1|-5
2183 Awire|net@7|||0|nms2@1|g|2.5|-9|nms2@0|g|-5|-9
2184 Awire|net@8|||1800|nms2@2|g|-12|-9|nms2@0|g|-5|-9
2185 Awire|net@9|||900|pin@9||-9|0|nms2@2|d|-9|-3
2186 Awire|net@10|||2700|pin@3||-9|7.5|pwr@0||-9|10.5
2187 Awire|net@11|||0|pin@2||5.5|7.5|pin@3||-9|7.5
2188 Awire|net@12|||1800|pin@5||10|-5|conn@1|y|13|-5
2189 Awire|net@13|||2700|pin@5||10|-5|pin@6||10|4
2190 Awire|net@14|||0|pin@7||-13|-1|conn@2|y|-16|-1
2191 Awire|net@15|||2700|pin@7||-13|-1|pin@10||-13|4
2192 Awire|net@16|||2700|pin@13||-13|-9|pin@7||-13|-1
2193 Awire|net@17|||1800|pin@13||-13|-9|nms2@2|g|-12|-9
2194 Awire|net@18|||0|conn@0|a|13|0|pin@14||5.5|0
2195 Awire|net@19|||2700|pin@14||5.5|0|PMOS@0|d|5.5|2
2196 Awire|net@20|||0|pin@6||10|4|PMOS@0|g|8.5|4
2197 Awire|net@21|||900|pin@2||5.5|7.5|PMOS@0|s|5.5|6
2198 Awire|net@22|||2700|pin@9||-9|0|PMOS@1|d|-9|2
2199 Awire|net@23|||1800|pin@10||-13|4|PMOS@1|g|-12|4
2200 Awire|net@24|||900|pin@3||-9|7.5|PMOS@1|s|-9|6
2201 Eina||D5G2;|conn@2|a|I
2202 Einb||D5G2;|conn@1|a|I
2203 Eout||D5G2;|conn@0|y|O
2204 X
2205
2206 # Cell nand2n;1{ic}
2207 Cnand2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2208 Ngeneric:Facet-Center|art@0||0|0||||AV
2209 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I10
2210 NThick-Circle|art@2||-1|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2211 NThick-Circle|art@3||-1|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2212 NThick-Circle|art@4||-3.25|0|6|6|3200||ART_color()I10|ART_degrees()I800
2213 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
2214 NPin|pin@0||2|0||||
2215 NPin|pin@1||2.5|0|1|1||
2216 NPin|pin@2||-0.5|-1.25|1|1||
2217 NPin|pin@3||0|-1.75|1|1||
2218 Nschematic:Bus_Pin|pin@4||-2.5|-1|-2|-2||
2219 NPin|pin@5||-1.5|-1|1|1||
2220 NPin|pin@6||-2.5|-1||||
2221 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2222 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2223 NPin|pin@9||-2.5|1||||
2224 NPin|pin@10||-1.5|1|1|1||
2225 AThicker|net@0|||FS0|pin@1||2.5|0|pin@0||2|0|ART_color()I10
2226 AThicker|net@1|||FS3150|pin@3||0|-1.75|pin@2||-0.5|-1.25|ART_color()I10
2227 AThicker|net@2|||FS0|pin@5||-1.5|-1|pin@6||-2.5|-1|ART_color()I10
2228 AThicker|net@3|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
2229 Eina||D5G1;|pin@4||I
2230 Einb||D5G1;|pin@7||I
2231 Eout||D5G1;|pin@8||O
2232 X
2233
2234 # Cell nand2n;1{sch}
2235 Cnand2n;1{sch}||schematic|1021415734000|1157998157812||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
2236 Ngeneric:Facet-Center|art@0||0|0||||AV
2237 NOff-Page|conn@0||-9.5|-1||||
2238 NOff-Page|conn@1||-9.5|1||||
2239 NOff-Page|conn@2||9|0||||
2240 Inand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2241 Inand2n;1{ic}|nand2n@0||24|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2242 Ngeneric:Invisible-Pin|pin@0||22|-9.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2243 Ngeneric:Invisible-Pin|pin@1||-2.5|11|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2244 Ngeneric:Invisible-Pin|pin@2||-2.5|13.5|||||ART_message(D5G2;)S[one-parameter NAND (NOR rep)]
2245 Ngeneric:Invisible-Pin|pin@3||-2.5|18.5|||||ART_message(D5G6;)S[nand2n]
2246 Awire|net@0|||1800|nand2@0|out|2.5|0|conn@2|a|7|0
2247 Awire|net@1|||0|nand2@0|inb|-2.5|1|conn@1|y|-7.5|1
2248 Awire|net@2|||1800|conn@0|y|-7.5|-1|nand2@0|ina|-2.5|-1
2249 Eina||D5G2;|conn@0|a|I
2250 Einb||D5G2;|conn@1|a|I
2251 Eout||D5G2;|conn@2|y|O
2252 X
2253
2254 # Cell nand2n_sy;1{ic}
2255 Cnand2n_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX2;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2256 Ngeneric:Facet-Center|art@0||0|0||||AV
2257 NThick-Circle|art@1||-1.5|1|1|1|||ART_color()I10
2258 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2259 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2260 NThick-Circle|art@4||-1.5|-1|1|1|||ART_color()I10
2261 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
2262 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
2263 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
2264 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2265 NPin|pin@3||-2|1|1|1||
2266 NPin|pin@4||-2.5|1||||
2267 NPin|pin@5||1.5|0|1|1||
2268 NPin|pin@6||2.5|0||||
2269 NPin|pin@7||-2.5|-1||||
2270 NPin|pin@8||-2|-1|1|1||
2271 NPin|pin@9||-1|-1.25|1|1||
2272 AThicker|net@0|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I10
2273 AThicker|net@1|||FS0|pin@6||2.5|0|pin@5||1.5|0|ART_color()I10
2274 AThicker|net@2|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I10
2275 AThicker|net@3|||FS2700|pin@9||-1|-1.25|pin@9||-1|-1.25|ART_color()I78
2276 Eina||D5G1;|pin@2||I
2277 Einb||D5G1;|pin@1||I
2278 Eout||D5G1;|pin@0||O
2279 X
2280
2281 # Cell nand2n_sy;1{sch}
2282 Cnand2n_sy;1{sch}||schematic|1021415734000|1224793363940||ATTR_Delay(D5G1;HNPX-16.5;Y-6.5;)I100|ATTR_X(D5FLeave alone;G1;HNPX-16.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPTX-16.5;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-8.5;)Sstrong1|prototype_center()I[0,0]
2283 Ngeneric:Facet-Center|art@0||0|0||||AV
2284 NOff-Page|conn@0||-11.5|-1||||
2285 NOff-Page|conn@1||10|0||||
2286 NOff-Page|conn@2||-11.5|1||||
2287 Inand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1|ATTR_LEPARALLGRP()I-1|ATTR_su(OJT)S@su
2288 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2289 Ngeneric:Invisible-Pin|pin@0||-9|18.5|||||ART_message(D5G6;)S[nand2n_sy]
2290 Ngeneric:Invisible-Pin|pin@1||-10|13.5|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2291 Awire|net@0|||0|nand2_sy@0|inb|-2.5|1|conn@2|y|-9.5|1
2292 Awire|net@1|||0|nand2_sy@0|ina|-2.5|-1|conn@0|y|-9.5|-1
2293 Awire|net@2|||0|conn@1|a|8|0|nand2_sy@0|out|2.5|0
2294 Eina||D5G2;|conn@0|a|I
2295 Einb||D5G2;|conn@2|a|I
2296 Eout||D5G2;|conn@1|y|O
2297 X
2298
2299 # Cell nand3;1{ic}
2300 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2301 Ngeneric:Facet-Center|art@0||0|0||||AV
2302 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2303 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2304 NPin|pin@0||-0.25|-3|1|1||
2305 NPin|pin@1||-1.5|-1.75|1|1||
2306 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2307 NPin|pin@3||-1.5|-2|1|1||
2308 NPin|pin@4||-2.5|-2||||
2309 NPin|pin@5||-0.5|3|1|1||
2310 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2311 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2312 NPin|pin@8||-1.5|3|1|1||
2313 NPin|pin@9||-1.5|-3|1|1||
2314 NPin|pin@10||-0.5|-3|1|1||
2315 NPin|pin@11||-2.5|0||||
2316 NPin|pin@12||-1.5|0|1|1||
2317 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2318 NPin|pin@14||-1.5|2|1|1||
2319 NPin|pin@15||-2.5|2||||
2320 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2321 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I10
2322 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I10
2323 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I10
2324 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2325 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I10
2326 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I10
2327 Eina||D5G1;|pin@2||I
2328 Einb||D5G1;|pin@6||I
2329 Einc||D5G1;|pin@13||I
2330 Eout||D5G1;|pin@7||O
2331 X
2332
2333 # Cell nand3;1{sch}
2334 Cnand3;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2335 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2336 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2337 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2338 Ngeneric:Facet-Center|art@0||0|0||||AV
2339 NOff-Page|conn@0||-15|-12||||
2340 NOff-Page|conn@1||14|-1|||RR|
2341 NOff-Page|conn@2||0|14.5|||R|
2342 NOff-Page|conn@3||-22|4||||
2343 Inand3;1{ic}|nand3@0||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2344 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2345 NWire_Pin|pin@0||-5|7.5||||
2346 NWire_Pin|pin@1||-14|7.5||||
2347 NWire_Pin|pin@2||4.5|7.5||||
2348 Ngeneric:Invisible-Pin|pin@3||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2349 Ngeneric:Invisible-Pin|pin@4||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2350 NWire_Pin|pin@5||-9|4||||
2351 NWire_Pin|pin@6||0|0||||
2352 NWire_Pin|pin@7||-5|0||||
2353 Ngeneric:Invisible-Pin|pin@8||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2354 NWire_Pin|pin@9||-9|-12||||
2355 NWire_Pin|pin@10||4.5|0||||
2356 NWire_Pin|pin@11||9|4||||
2357 NWire_Pin|pin@12||9|-1||||
2358 Ngeneric:Invisible-Pin|pin@13||-0.5|27|||||ART_message(D5G6;)S[nand3]
2359 NWire_Pin|pin@14||-14|0||||
2360 NWire_Pin|pin@15||-18|4||||
2361 NWire_Pin|pin@16||-18|-4||||
2362 NWire_Pin|pin@17||9|-8||||
2363 NPower|pwr@0||-5|11.5||||
2364 Awire|net@0|||2700|pin@17||9|-8|pin@12||9|-1
2365 Awire|net@1|||0|nms3@0|g|-3|-12|pin@9||-9|-12
2366 Awire|net@2|||2700|pin@0||-5|7.5|pwr@0||-5|11.5
2367 Awire|net@3|||900|pin@0||-5|7.5|PMOS@2|s|-5|6
2368 Awire|net@4|||1800|pin@1||-14|7.5|pin@0||-5|7.5
2369 Awire|net@5|||1800|pin@0||-5|7.5|pin@2||4.5|7.5
2370 Awire|net@6|||2700|PMOS@0|s|-14|6|pin@1||-14|7.5
2371 Awire|net@7|||900|pin@2||4.5|7.5|PMOS@1|s|4.5|6
2372 Awire|net@8|||0|PMOS@0|g|-17|4|pin@15||-18|4
2373 Awire|net@9|||2700|pin@14||-14|0|PMOS@0|d|-14|2
2374 Awire|net@10|||1800|PMOS@1|g|7.5|4|pin@11||9|4
2375 Awire|net@11|||2700|pin@10||4.5|0|PMOS@1|d|4.5|2
2376 Awire|net@12|||1800|pin@5||-9|4|PMOS@2|g|-8|4
2377 Awire|net@13|||2700|pin@7||-5|0|PMOS@2|d|-5|2
2378 Awire|net@14|||2700|pin@9||-9|-12|pin@5||-9|4
2379 Awire|net@15|||2700|pin@6||0|0|conn@2|a|0|12.5
2380 Awire|net@16|||0|pin@10||4.5|0|pin@6||0|0
2381 Awire|net@17|||0|pin@6||0|0|pin@7||-5|0
2382 Awire|net@18|||0|pin@9||-9|-12|conn@0|y|-13|-12
2383 Awire|net@19|||2700|pin@12||9|-1|pin@11||9|4
2384 Awire|net@20|||1800|pin@12||9|-1|conn@1|y|12|-1
2385 Awire|net@21|||900|pin@6||0|0|nms3@0|d|0|-2
2386 Awire|net@22|||0|pin@7||-5|0|pin@14||-14|0
2387 Awire|net@23|||0|pin@15||-18|4|conn@3|y|-20|4
2388 Awire|net@24|||2700|pin@16||-18|-4|pin@15||-18|4
2389 Awire|net@25|||0|nms3@0|g3|-3|-4|pin@16||-18|-4
2390 Awire|net@26|||0|pin@17||9|-8|nms3@0|g2|3|-8
2391 Eina||D5G2;|conn@0|a|I
2392 Einb||D5G2;|conn@1|a|I
2393 Einc||D5G2;|conn@3|y|I
2394 Eout||D5G2;|conn@2|y|O
2395 X
2396
2397 # Cell nand3LT;1{ic}
2398 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2399 Ngeneric:Facet-Center|art@0||0|0||||AV
2400 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2401 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2402 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2403 NPin|pin@0||-0.25|-3|1|1||
2404 NPin|pin@1||-1.5|-1.75|1|1||
2405 NPin|pin@2||-2.5|2||||
2406 NPin|pin@3||-1.5|2|1|1||
2407 Ngeneric:Invisible-Pin|pin@4||-2.5|2||||
2408 NPin|pin@5||-1.5|0|1|1||
2409 NPin|pin@6||-2.5|0||||
2410 NPin|pin@7||-0.5|-3|1|1||
2411 NPin|pin@8||-1.5|-3|1|1||
2412 NPin|pin@9||-1.5|3|1|1||
2413 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
2414 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
2415 NPin|pin@12||-0.5|3|1|1||
2416 NPin|pin@13||-2.5|-2||||
2417 NPin|pin@14||-1.5|-2|1|1||
2418 Nschematic:Bus_Pin|pin@15||-2.5|-2|-2|-2||
2419 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2420 AThicker|net@1|||FS0|pin@3||-1.5|2|pin@2||-2.5|2|ART_color()I10
2421 AThicker|net@2|||FS0|pin@5||-1.5|0|pin@6||-2.5|0|ART_color()I10
2422 AThicker|net@3|||FS0|pin@7||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2423 AThicker|net@4|||FS2700|pin@8||-1.5|-3|pin@9||-1.5|3|ART_color()I10
2424 AThicker|net@5|||FS0|pin@12||-0.5|3|pin@9||-1.5|3|ART_color()I10
2425 AThicker|net@6|||FS0|pin@14||-1.5|-2|pin@13||-2.5|-2|ART_color()I10
2426 Eina||D5G1;|pin@15||I
2427 Einb||D5G1;|pin@11||I
2428 Einc||D5G1;|pin@4||I
2429 Eout||D5G1;|pin@10||O
2430 X
2431
2432 # Cell nand3LT;1{sch}
2433 Cnand3LT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2434 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2435 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2436 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2437 Ngeneric:Facet-Center|art@0||0|0||||AV
2438 NOff-Page|conn@0||-28|4||||
2439 NOff-Page|conn@1||0|11|||R|
2440 NOff-Page|conn@2||17|4|||RR|
2441 NOff-Page|conn@3||-27.5|-2.5||||
2442 Inand3LT;1{ic}|nand3LT@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2443 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2444 NWire_Pin|pin@0||-14|0||||
2445 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2446 NWire_Pin|pin@2||10.5|4||||
2447 NWire_Pin|pin@3||4.5|0||||
2448 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2449 NWire_Pin|pin@5||-5|0||||
2450 NWire_Pin|pin@6||0|0||||
2451 NWire_Pin|pin@7||-9|4||||
2452 Ngeneric:Invisible-Pin|pin@8||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2453 Ngeneric:Invisible-Pin|pin@9||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2454 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2455 NWire_Pin|pin@11||-14|7.5||||
2456 NWire_Pin|pin@12||4.5|7.5||||
2457 NWire_Pin|pin@13||-5|7.5||||
2458 NWire_Pin|pin@14||-9|-2.5||||
2459 NWire_Pin|pin@15||-21.5|-2.5||||
2460 NWire_Pin|pin@16||-19.5|4||||
2461 NWire_Pin|pin@17||10.5|-12.5||||
2462 NWire_Pin|pin@18||-21.5|-16.5||||
2463 NWire_Pin|pin@19||-19.5|-8.5||||
2464 NPower|pwr@0||-5|10.5||||
2465 Awire|net@0|||2700|nms3@0|d|-5|-6.5|pin@5||-5|0
2466 Awire|net@1|||1800|pin@19||-19.5|-8.5|nms3@0|g3|-8|-8.5
2467 Awire|net@2|||0|pin@17||10.5|-12.5|nms3@0|g2|-2|-12.5
2468 Awire|net@3|||1800|pin@18||-21.5|-16.5|nms3@0|g|-8|-16.5
2469 Awire|net@4|||0|pin@5||-5|0|pin@0||-14|0
2470 Awire|net@5|||1800|pin@2||10.5|4|conn@2|y|15|4
2471 Awire|net@6|||0|pin@6||0|0|pin@5||-5|0
2472 Awire|net@7|||0|pin@3||4.5|0|pin@6||0|0
2473 Awire|net@8|||2700|pin@6||0|0|conn@1|a|0|9
2474 Awire|net@9|||0|pin@13||-5|7.5|pin@11||-14|7.5
2475 Awire|net@10|||0|pin@12||4.5|7.5|pin@13||-5|7.5
2476 Awire|net@11|||2700|pin@13||-5|7.5|pwr@0||-5|10.5
2477 Awire|net@12|||2700|pin@14||-9|-2.5|pin@7||-9|4
2478 Awire|net@13|||0|pin@15||-21.5|-2.5|conn@3|y|-25.5|-2.5
2479 Awire|net@14|||0|pin@14||-9|-2.5|pin@15||-21.5|-2.5
2480 Awire|net@15|||1800|conn@0|y|-26|4|pin@16||-19.5|4
2481 Awire|net@16|||2700|pin@3||4.5|0|PMOS@0|d|4.5|2
2482 Awire|net@17|||0|pin@2||10.5|4|PMOS@0|g|7.5|4
2483 Awire|net@18|||2700|PMOS@0|s|4.5|6|pin@12||4.5|7.5
2484 Awire|net@19|||2700|pin@5||-5|0|PMOS@1|d|-5|2
2485 Awire|net@20|||1800|pin@7||-9|4|PMOS@1|g|-8|4
2486 Awire|net@21|||900|pin@13||-5|7.5|PMOS@1|s|-5|6
2487 Awire|net@22|||2700|pin@0||-14|0|PMOS@2|d|-14|2
2488 Awire|net@23|||1800|pin@16||-19.5|4|PMOS@2|g|-17|4
2489 Awire|net@24|||900|pin@11||-14|7.5|PMOS@2|s|-14|6
2490 Awire|net@25|||2700|pin@17||10.5|-12.5|pin@2||10.5|4
2491 Awire|net@26|||900|pin@15||-21.5|-2.5|pin@18||-21.5|-16.5
2492 Awire|net@27|||900|pin@16||-19.5|4|pin@19||-19.5|-8.5
2493 Eina||D5G2;|conn@3|a|I
2494 Einb||D5G2;|conn@2|a|I
2495 Einc||D5G2;|conn@0|y|I
2496 Eout||D5G2;|conn@1|y|O
2497 X
2498
2499 # Cell nand3LT_sy3;1{ic}
2500 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2501 Ngeneric:Facet-Center|art@0||0|0||||AV
2502 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2503 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2504 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2505 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2506 NPin|pin@1||-1.5|-2|1|1||
2507 NPin|pin@2||-2.5|-2||||
2508 NPin|pin@3||-0.5|3|1|1||
2509 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2510 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2511 NPin|pin@6||-1.5|3|1|1||
2512 NPin|pin@7||-1.5|-3|1|1||
2513 NPin|pin@8||-0.5|-3|1|1||
2514 NPin|pin@9||-2.5|0||||
2515 NPin|pin@10||-1.5|0|1|1||
2516 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2517 NPin|pin@12||-1.5|2|1|1||
2518 NPin|pin@13||-2.5|2||||
2519 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2520 AThicker|net@0|||FS0|pin@1||-1.5|-2|pin@2||-2.5|-2|ART_color()I10
2521 AThicker|net@1|||FS0|pin@3||-0.5|3|pin@6||-1.5|3|ART_color()I10
2522 AThicker|net@2|||FS2700|pin@7||-1.5|-3|pin@6||-1.5|3|ART_color()I10
2523 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
2524 AThicker|net@4|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I10
2525 AThicker|net@5|||FS0|pin@12||-1.5|2|pin@13||-2.5|2|ART_color()I10
2526 Eina||D5G1;|pin@0||I
2527 Einb||D5G1;|pin@4||I
2528 Einc||D5G1;|pin@11||I
2529 Eout||D5G1;|pin@5||O
2530 X
2531
2532 # Cell nand3LT_sy3;1{sch}
2533 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2534 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2535 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2536 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2537 Ngeneric:Facet-Center|art@0||0|0||||AV
2538 NOff-Page|conn@0||-34.5|-2.5||||
2539 NOff-Page|conn@1||24|-12.5|||RR|
2540 NOff-Page|conn@2||27|0||||
2541 NOff-Page|conn@3||-35|4||||
2542 Inand3LT_sy3;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2543 Inms3_sy3;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-8.5;Y1;)S@X
2544 NWire_Pin|pin@10||-19.5|-8.5||||
2545 NWire_Pin|pin@11||-21.5|-16.5||||
2546 NWire_Pin|pin@12||10.5|-12.5||||
2547 NWire_Pin|pin@13||-19.5|4||||
2548 NWire_Pin|pin@14||-21.5|-2.5||||
2549 NWire_Pin|pin@15||-9|-2.5||||
2550 NWire_Pin|pin@16||-5|7.5||||
2551 NWire_Pin|pin@17||4.5|7.5||||
2552 NWire_Pin|pin@18||-14|7.5||||
2553 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2554 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2555 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2556 NWire_Pin|pin@22||-9|4||||
2557 NWire_Pin|pin@23||-5|0||||
2558 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2559 NWire_Pin|pin@25||4.5|0||||
2560 NWire_Pin|pin@26||10.5|4||||
2561 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
2562 NWire_Pin|pin@28||-14|0||||
2563 NPower|pwr@0||-5|10.5||||
2564 Awire|net@16|||1800|pin@23||-5|0|pin@25||4.5|0
2565 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-13|-8.5
2566 Awire|net@26|||900|pin@13||-19.5|4|pin@10||-19.5|-8.5
2567 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-13|-16.5
2568 Awire|net@28|||900|pin@14||-21.5|-2.5|pin@11||-21.5|-16.5
2569 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|1.5|-12.5
2570 Awire|net@30|||2700|pin@12||10.5|-12.5|pin@26||10.5|4
2571 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2572 Awire|net@32|||900|pin@18||-14|7.5|PMOS@0|s|-14|6
2573 Awire|net@33|||1800|pin@13||-19.5|4|PMOS@0|g|-17|4
2574 Awire|net@34|||2700|pin@28||-14|0|PMOS@0|d|-14|2
2575 Awire|net@35|||900|pin@16||-5|7.5|PMOS@1|s|-5|6
2576 Awire|net@36|||1800|pin@22||-9|4|PMOS@1|g|-8|4
2577 Awire|net@37|||2700|pin@23||-5|0|PMOS@1|d|-5|2
2578 Awire|net@38|||2700|PMOS@2|s|4.5|6|pin@17||4.5|7.5
2579 Awire|net@39|||0|pin@26||10.5|4|PMOS@2|g|7.5|4
2580 Awire|net@40|||2700|pin@25||4.5|0|PMOS@2|d|4.5|2
2581 Awire|net@41|||0|pin@15||-9|-2.5|pin@14||-21.5|-2.5
2582 Awire|net@42|||2700|pin@15||-9|-2.5|pin@22||-9|4
2583 Awire|net@43|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
2584 Awire|net@44|||0|pin@17||4.5|7.5|pin@16||-5|7.5
2585 Awire|net@45|||0|pin@16||-5|7.5|pin@18||-14|7.5
2586 Awire|net@46|||0|pin@23||-5|0|pin@28||-14|0
2587 Awire|net@47|||0|conn@2|a|25|0|pin@25||4.5|0
2588 Awire|net@48|||0|conn@1|y|22|-12.5|pin@12||10.5|-12.5
2589 Awire|net@49|||0|pin@13||-19.5|4|conn@3|y|-33|4
2590 Awire|net@50|||1800|conn@0|y|-32.5|-2.5|pin@14||-21.5|-2.5
2591 Eina||D5G2;|conn@0|a|I
2592 Einb||D5G2;|conn@1|a|I
2593 Einc||D5G2;|conn@3|y|I
2594 Eout||D5G2;|conn@2|y|O
2595 X
2596
2597 # Cell nand3LT_sy6;1{ic}
2598 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2599 Ngeneric:Facet-Center|art@0||0|0||||AV
2600 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2601 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2602 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2603 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2604 NPin|pin@1||-1.5|-2|1|1||
2605 NPin|pin@2||-2.5|-2||||
2606 NPin|pin@3||-0.5|3|1|1||
2607 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2608 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2609 NPin|pin@6||-1.5|3|1|1||
2610 NPin|pin@7||-1.5|-3|1|1||
2611 NPin|pin@8||-0.5|-3|1|1||
2612 NPin|pin@9||-2.5|0||||
2613 NPin|pin@10||-1.5|0|1|1||
2614 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2615 NPin|pin@12||-1.5|2|1|1||
2616 NPin|pin@13||-2.5|2||||
2617 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2618 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
2619 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
2620 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
2621 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
2622 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
2623 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
2624 Eina||D5G1;|pin@0||I
2625 Einb||D5G1;|pin@4||I
2626 Einc||D5G1;|pin@11||I
2627 Eout||D5G1;|pin@5||O
2628 X
2629
2630 # Cell nand3LT_sy6;1{sch}
2631 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2632 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2633 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2634 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2635 Ngeneric:Facet-Center|art@0||0|0||||AV
2636 NOff-Page|conn@1||24|-12.5|||RR|
2637 NOff-Page|conn@2||27|0||||
2638 NOff-Page|conn@3||-35|4||||
2639 NOff-Page|conn@4||-35|-2.5||||
2640 Inand3LT_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2641 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
2642 NWire_Pin|pin@10||-19.5|-8.5||||
2643 NWire_Pin|pin@11||-21.5|-16.5||||
2644 NWire_Pin|pin@12||10.5|-12.5||||
2645 NWire_Pin|pin@13||-19.5|4||||
2646 NWire_Pin|pin@14||-21.5|-2.5||||
2647 NWire_Pin|pin@15||-9|-2.5||||
2648 NWire_Pin|pin@16||-5|7.5||||
2649 NWire_Pin|pin@17||4.5|7.5||||
2650 NWire_Pin|pin@18||-14|7.5||||
2651 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2652 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2653 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2654 NWire_Pin|pin@22||-9|4||||
2655 NWire_Pin|pin@23||-5|0||||
2656 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2657 NWire_Pin|pin@25||4.5|0||||
2658 NWire_Pin|pin@26||10.5|4||||
2659 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
2660 NWire_Pin|pin@28||-14|0||||
2661 NPower|pwr@0||-5|10.5||||
2662 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
2663 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
2664 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
2665 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
2666 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
2667 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
2668 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
2669 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2670 Awire|net@32|||2700|PMOS@0|s|-14|6|pin@18||-14|7.5
2671 Awire|net@33|||0|PMOS@0|g|-17|4|pin@13||-19.5|4
2672 Awire|net@34|||900|PMOS@0|d|-14|2|pin@28||-14|0
2673 Awire|net@35|||2700|PMOS@1|s|-5|6|pin@16||-5|7.5
2674 Awire|net@36|||0|PMOS@1|g|-8|4|pin@22||-9|4
2675 Awire|net@37|||900|PMOS@1|d|-5|2|pin@23||-5|0
2676 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@2|s|4.5|6
2677 Awire|net@39|||1800|PMOS@2|g|7.5|4|pin@26||10.5|4
2678 Awire|net@40|||900|PMOS@2|d|4.5|2|pin@25||4.5|0
2679 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
2680 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
2681 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
2682 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
2683 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
2684 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
2685 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
2686 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
2687 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
2688 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
2689 Eina||D5G2;|conn@4|y|I
2690 Einb||D5G2;|conn@1|a|I
2691 Einc||D5G2;|conn@3|y|I
2692 Eout||D5G2;|conn@2|y|O
2693 X
2694
2695 # Cell nand3LTen;1{ic}
2696 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2697 Ngeneric:Facet-Center|art@0||0|0||||AV
2698 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2699 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2700 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2701 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2702 Nschematic:Bus_Pin|pin@1||-2.5|-2|-2|-2||
2703 NPin|pin@2||-1.5|-2|1|1||
2704 NPin|pin@3||-2.5|-2||||
2705 NPin|pin@4||-0.5|3|1|1||
2706 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
2707 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2708 NPin|pin@7||-1.5|3|1|1||
2709 NPin|pin@8||-1.5|-3|1|1||
2710 NPin|pin@9||-0.5|-3|1|1||
2711 NPin|pin@10||-2.5|0||||
2712 NPin|pin@11||-1.5|0|1|1||
2713 Ngeneric:Invisible-Pin|pin@12||-2.5|2||||
2714 NPin|pin@13||-1.5|2|1|1||
2715 NPin|pin@14||-2.5|2||||
2716 NPin|pin@15||-1.5|-1.75|1|1||
2717 NPin|pin@16||-0.25|-3|1|1||
2718 AThicker|net@0|||FS0|pin@2||-1.5|-2|pin@3||-2.5|-2|ART_color()I10
2719 AThicker|net@1|||FS0|pin@4||-0.5|3|pin@7||-1.5|3|ART_color()I10
2720 AThicker|net@2|||FS2700|pin@8||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2721 AThicker|net@3|||FS0|pin@9||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2722 AThicker|net@4|||FS0|pin@11||-1.5|0|pin@10||-2.5|0|ART_color()I10
2723 AThicker|net@5|||FS0|pin@13||-1.5|2|pin@14||-2.5|2|ART_color()I10
2724 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
2725 Eina||D5G1;|pin@1||I
2726 Einb||D5G1;|pin@5||I
2727 Einc||D5G1;|pin@12||I
2728 Eout||D5G1;|pin@6||O
2729 X
2730
2731 # Cell nand3LTen;1{sch}
2732 Cnand3LTen;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2733 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX1.5;Y2.5;)Smax(@X/20., 5./6.)
2734 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2735 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2736 Ngeneric:Facet-Center|art@0||0|0||||AV
2737 NOff-Page|conn@0||-27.5|-2.5||||
2738 NOff-Page|conn@1||17|4|||RR|
2739 NOff-Page|conn@2||0|11|||R|
2740 NOff-Page|conn@3||-28|4||||
2741 Inand3LTen;1{ic}|nand3LTe@0||44|9|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2742 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2743 Ngeneric:Invisible-Pin|pin@0||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable)"]
2744 NWire_Pin|pin@1||-19.5|-8.5||||
2745 NWire_Pin|pin@2||-21.5|-16.5||||
2746 NWire_Pin|pin@3||10.5|-12.5||||
2747 NWire_Pin|pin@4||-19.5|4||||
2748 NWire_Pin|pin@5||-21.5|-2.5||||
2749 NWire_Pin|pin@6||-9|-2.5||||
2750 NWire_Pin|pin@7||-5|7.5||||
2751 NWire_Pin|pin@8||4.5|7.5||||
2752 NWire_Pin|pin@9||-14|7.5||||
2753 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2754 Ngeneric:Invisible-Pin|pin@11||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2755 Ngeneric:Invisible-Pin|pin@12||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2756 NWire_Pin|pin@13||-9|4||||
2757 NWire_Pin|pin@14||0|0||||
2758 NWire_Pin|pin@15||-5|0||||
2759 NWire_Pin|pin@16||4.5|0||||
2760 NWire_Pin|pin@17||10.5|4||||
2761 Ngeneric:Invisible-Pin|pin@18||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
2762 NWire_Pin|pin@19||-14|0||||
2763 NPower|pwr@0||-5|10.5||||
2764 Awire|net@0|||900|pin@7||-5|7.5|PMOS@0|s|-5|6
2765 Awire|net@1|||1800|pin@13||-9|4|PMOS@0|g|-8|4
2766 Awire|net@2|||2700|pin@15||-5|0|PMOS@0|d|-5|2
2767 Awire|net@3|||900|pin@15||-5|0|nms3@0|d|-5|-6.5
2768 Awire|net@4|||900|pin@4||-19.5|4|pin@1||-19.5|-8.5
2769 Awire|net@5|||900|pin@5||-21.5|-2.5|pin@2||-21.5|-16.5
2770 Awire|net@6|||2700|pin@3||10.5|-12.5|pin@17||10.5|4
2771 Awire|net@7|||900|pin@9||-14|7.5|PMOS@1|s|-14|6
2772 Awire|net@8|||1800|pin@4||-19.5|4|PMOS@1|g|-17|4
2773 Awire|net@9|||2700|pin@19||-14|0|PMOS@1|d|-14|2
2774 Awire|net@10|||2700|PMOS@2|s|4.5|6|pin@8||4.5|7.5
2775 Awire|net@11|||0|pin@17||10.5|4|PMOS@2|g|7.5|4
2776 Awire|net@12|||2700|pin@16||4.5|0|PMOS@2|d|4.5|2
2777 Awire|net@13|||1800|conn@3|y|-26|4|pin@4||-19.5|4
2778 Awire|net@14|||0|pin@6||-9|-2.5|pin@5||-21.5|-2.5
2779 Awire|net@15|||0|pin@5||-21.5|-2.5|conn@0|y|-25.5|-2.5
2780 Awire|net@16|||2700|pin@6||-9|-2.5|pin@13||-9|4
2781 Awire|net@17|||2700|pin@7||-5|7.5|pwr@0||-5|10.5
2782 Awire|net@18|||0|pin@8||4.5|7.5|pin@7||-5|7.5
2783 Awire|net@19|||0|pin@7||-5|7.5|pin@9||-14|7.5
2784 Awire|net@20|||2700|pin@14||0|0|conn@2|a|0|9
2785 Awire|net@21|||0|pin@16||4.5|0|pin@14||0|0
2786 Awire|net@22|||0|pin@14||0|0|pin@15||-5|0
2787 Awire|net@23|||1800|pin@17||10.5|4|conn@1|y|15|4
2788 Awire|net@24|||0|pin@15||-5|0|pin@19||-14|0
2789 Awire|net@25|||1800|pin@2||-21.5|-16.5|nms3@0|g|-8|-16.5
2790 Awire|net@26|||0|pin@3||10.5|-12.5|nms3@0|g2|-2|-12.5
2791 Awire|net@27|||1800|pin@1||-19.5|-8.5|nms3@0|g3|-8|-8.5
2792 Eina||D5G2;|conn@0|a|I
2793 Einb||D5G2;|conn@1|a|I
2794 Einc||D5G2;|conn@3|y|I
2795 Eout||D5G2;|conn@2|y|O
2796 X
2797
2798 # Cell nand3LTen_sy;1{ic}
2799 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2800 Ngeneric:Facet-Center|art@0||0|0||||AV
2801 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2802 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2803 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2804 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2805 NPin|pin@1||-0.25|-3|1|1||
2806 NPin|pin@2||-1.5|-1.75|1|1||
2807 NPin|pin@3||-2.5|2||||
2808 NPin|pin@4||-1.5|2|1|1||
2809 Ngeneric:Invisible-Pin|pin@5||-2.5|2||||
2810 NPin|pin@6||-1.5|0|1|1||
2811 NPin|pin@7||-2.5|0||||
2812 NPin|pin@8||-0.5|-3|1|1||
2813 NPin|pin@9||-1.5|-3|1|1||
2814 NPin|pin@10||-1.5|3|1|1||
2815 Nschematic:Bus_Pin|pin@11||2.5|0|-2|-2||
2816 Nschematic:Bus_Pin|pin@12||-2.5|0|-2|-2||
2817 NPin|pin@13||-0.5|3|1|1||
2818 NPin|pin@14||-2.5|-2||||
2819 NPin|pin@15||-1.5|-2|1|1||
2820 Nschematic:Bus_Pin|pin@16||-2.5|-2|-2|-2||
2821 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2822 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
2823 AThicker|net@1|||FS0|pin@4||-1.5|2|pin@3||-2.5|2|ART_color()I10
2824 AThicker|net@2|||FS0|pin@6||-1.5|0|pin@7||-2.5|0|ART_color()I10
2825 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2826 AThicker|net@4|||FS2700|pin@9||-1.5|-3|pin@10||-1.5|3|ART_color()I10
2827 AThicker|net@5|||FS0|pin@13||-0.5|3|pin@10||-1.5|3|ART_color()I10
2828 AThicker|net@6|||FS0|pin@15||-1.5|-2|pin@14||-2.5|-2|ART_color()I10
2829 Eina||D5G1;|pin@16||I
2830 Einb||D5G1;|pin@12||I
2831 Einc||D5G1;|pin@5||I
2832 Eout||D5G1;|pin@11||O
2833 X
2834
2835 # Cell nand3LTen_sy;1{sch}
2836 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2837 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/20., 5./6.)
2838 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2839 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2840 Ngeneric:Facet-Center|art@0||0|0||||AV
2841 NOff-Page|conn@0||-28|4||||
2842 NOff-Page|conn@1||0|11|||R|
2843 NOff-Page|conn@2||17|4|||RR|
2844 NOff-Page|conn@3||-27.5|-2.5||||
2845 Inand3LTen_sy;1{ic}|nand3LTe@0||40.5|8.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2846 Inms3_2sy;1{ic}|nms3_2sy@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
2847 NWire_Pin|pin@0||-14|0||||
2848 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
2849 NWire_Pin|pin@2||10.5|4||||
2850 NWire_Pin|pin@3||4.5|0||||
2851 NWire_Pin|pin@4||-5|0||||
2852 NWire_Pin|pin@5||0|0||||
2853 NWire_Pin|pin@6||-9|4||||
2854 Ngeneric:Invisible-Pin|pin@7||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2855 Ngeneric:Invisible-Pin|pin@8||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2856 Ngeneric:Invisible-Pin|pin@9||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2857 NWire_Pin|pin@10||-14|7.5||||
2858 NWire_Pin|pin@11||4.5|7.5||||
2859 NWire_Pin|pin@12||-5|7.5||||
2860 NWire_Pin|pin@13||-9|-2.5||||
2861 NWire_Pin|pin@14||-21.5|-2.5||||
2862 NWire_Pin|pin@15||-19.5|4||||
2863 NWire_Pin|pin@16||10.5|-12.5||||
2864 NWire_Pin|pin@17||-21.5|-16.5||||
2865 NWire_Pin|pin@18||-19.5|-8.5||||
2866 Ngeneric:Invisible-Pin|pin@19||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric"]
2867 NPower|pwr@0||-5|10.5||||
2868 Awire|net@0|||900|pin@12||-5|7.5|PMOS@0|s|-5|6
2869 Awire|net@1|||1800|pin@6||-9|4|PMOS@0|g|-8|4
2870 Awire|net@2|||2700|pin@4||-5|0|PMOS@0|d|-5|2
2871 Awire|net@3|||900|pin@4||-5|0|nms3_2sy@0|d|-5|-6.5
2872 Awire|net@4|||0|nms3_2sy@0|g|-7.25|-16.5|pin@17||-21.5|-16.5
2873 Awire|net@5|||1800|nms3_2sy@0|g2|-2|-12.5|pin@16||10.5|-12.5
2874 Awire|net@6|||0|nms3_2sy@0|g3|-8|-8.5|pin@18||-19.5|-8.5
2875 Awire|net@7|||0|pin@4||-5|0|pin@0||-14|0
2876 Awire|net@8|||1800|pin@2||10.5|4|conn@2|y|15|4
2877 Awire|net@9|||0|pin@5||0|0|pin@4||-5|0
2878 Awire|net@10|||0|pin@3||4.5|0|pin@5||0|0
2879 Awire|net@11|||2700|pin@5||0|0|conn@1|a|0|9
2880 Awire|net@12|||0|pin@12||-5|7.5|pin@10||-14|7.5
2881 Awire|net@13|||0|pin@11||4.5|7.5|pin@12||-5|7.5
2882 Awire|net@14|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2883 Awire|net@15|||2700|pin@13||-9|-2.5|pin@6||-9|4
2884 Awire|net@16|||0|pin@14||-21.5|-2.5|conn@3|y|-25.5|-2.5
2885 Awire|net@17|||0|pin@13||-9|-2.5|pin@14||-21.5|-2.5
2886 Awire|net@18|||1800|conn@0|y|-26|4|pin@15||-19.5|4
2887 Awire|net@19|||2700|pin@3||4.5|0|PMOS@1|d|4.5|2
2888 Awire|net@20|||0|pin@2||10.5|4|PMOS@1|g|7.5|4
2889 Awire|net@21|||2700|PMOS@1|s|4.5|6|pin@11||4.5|7.5
2890 Awire|net@22|||2700|pin@0||-14|0|PMOS@2|d|-14|2
2891 Awire|net@23|||1800|pin@15||-19.5|4|PMOS@2|g|-17|4
2892 Awire|net@24|||900|pin@10||-14|7.5|PMOS@2|s|-14|6
2893 Awire|net@25|||2700|pin@16||10.5|-12.5|pin@2||10.5|4
2894 Awire|net@26|||900|pin@14||-21.5|-2.5|pin@17||-21.5|-16.5
2895 Awire|net@27|||900|pin@15||-19.5|4|pin@18||-19.5|-8.5
2896 Eina||D5G2;|conn@3|a|I
2897 Einb||D5G2;|conn@2|a|I
2898 Einc||D5G2;|conn@0|y|I
2899 Eout||D5G2;|conn@1|y|O
2900 X
2901
2902 # Cell nand3MLT;1{ic}
2903 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2904 Ngeneric:Facet-Center|art@0||0|0||||AV
2905 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I10|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
2906 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2907 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2908 NThick-Circle|art@4||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2909 NPin|pin@0||-2.5|2||||
2910 NPin|pin@1||-1.5|2|1|1||
2911 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2912 NPin|pin@3||-1.5|0|1|1||
2913 NPin|pin@4||-2.5|0||||
2914 NPin|pin@5||-0.5|-3|1|1||
2915 NPin|pin@6||-1.5|-3|1|1||
2916 NPin|pin@7||-1.5|3|1|1||
2917 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2918 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2919 NPin|pin@10||-0.5|3|1|1||
2920 NPin|pin@11||-2.5|-2||||
2921 NPin|pin@12||-1.5|-2|1|1||
2922 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2923 NPin|pin@14||-1.5|-1.75|1|1||
2924 NPin|pin@15||-0.25|-3|1|1||
2925 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I10
2926 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I10
2927 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I10
2928 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2929 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I10
2930 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I10
2931 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I10
2932 Eina||D5G1;|pin@13||I
2933 Einb||D5G1;|pin@9||I
2934 Einc||D5G1;|pin@2||I
2935 Eout||D5G1;|pin@8||O
2936 X
2937
2938 # Cell nand3MLT;1{sch}
2939 Cnand3MLT;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2940 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2941 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2942 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2943 Ngeneric:Facet-Center|art@0||0|0||||AV
2944 NOff-Page|conn@0||-34.5|4||||
2945 NOff-Page|conn@1||28.5|10||||
2946 NOff-Page|conn@2||23|-1|||RR|
2947 NOff-Page|conn@3||-23|-12||||
2948 Inand3MLT;1{ic}|nand3MLT@0||38|26|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2949 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2950 NWire_Pin|pin@15||0|10||||
2951 Ngeneric:Invisible-Pin|pin@26||33|-10.5|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2952 Ngeneric:Invisible-Pin|pin@27||0|21|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
2953 Ngeneric:Invisible-Pin|pin@28||-0.5|23|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2954 NWire_Pin|pin@29||9|-8||||
2955 NWire_Pin|pin@30||-18|-4||||
2956 NWire_Pin|pin@31||-18|4||||
2957 NWire_Pin|pin@32||-14|0||||
2958 Ngeneric:Invisible-Pin|pin@33||-0.5|30|||||ART_message(D5G6;)S[nand3MLT]
2959 NWire_Pin|pin@34||9|4||||
2960 NWire_Pin|pin@35||4.5|0||||
2961 Ngeneric:Invisible-Pin|pin@36||-0.5|25|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2962 NWire_Pin|pin@37||-5|0||||
2963 NWire_Pin|pin@38||0|0||||
2964 NWire_Pin|pin@39||-9|4||||
2965 NWire_Pin|pin@40||-9|-12||||
2966 NWire_Pin|pin@41||4.5|7.5||||
2967 NWire_Pin|pin@42||-14|7.5||||
2968 NWire_Pin|pin@43||-5|7.5||||
2969 NWire_Pin|pin@44||9|-1||||
2970 NPower|pwr@0||-5|11.5||||
2971 Awire|net@30|||2700|pin@40||-9|-12|pin@39||-9|4
2972 Awire|net@33|||2700|pin@38||0|0|pin@15||0|10
2973 Awire|net@42|||0|pin@29||9|-8|nms3@0|g2|3|-8
2974 Awire|net@43|||0|nms3@0|g3|-3|-4|pin@30||-18|-4
2975 Awire|net@44|||2700|pin@30||-18|-4|pin@31||-18|4
2976 Awire|net@45|||0|pin@37||-5|0|pin@32||-14|0
2977 Awire|net@46|||0|nms3@0|g|-3|-12|pin@40||-9|-12
2978 Awire|net@47|||900|pin@38||0|0|nms3@0|d|0|-2
2979 Awire|net@48|||0|pin@38||0|0|pin@37||-5|0
2980 Awire|net@49|||0|pin@35||4.5|0|pin@38||0|0
2981 Awire|net@50|||2700|pin@37||-5|0|PMOS@0|d|-5|2
2982 Awire|net@51|||1800|pin@39||-9|4|PMOS@0|g|-8|4
2983 Awire|net@52|||2700|pin@35||4.5|0|PMOS@1|d|4.5|2
2984 Awire|net@53|||1800|PMOS@1|g|7.5|4|pin@34||9|4
2985 Awire|net@54|||2700|pin@32||-14|0|PMOS@2|d|-14|2
2986 Awire|net@55|||0|PMOS@2|g|-17|4|pin@31||-18|4
2987 Awire|net@56|||900|pin@41||4.5|7.5|PMOS@1|s|4.5|6
2988 Awire|net@57|||2700|PMOS@2|s|-14|6|pin@42||-14|7.5
2989 Awire|net@58|||1800|pin@43||-5|7.5|pin@41||4.5|7.5
2990 Awire|net@59|||1800|pin@42||-14|7.5|pin@43||-5|7.5
2991 Awire|net@60|||900|pin@43||-5|7.5|PMOS@0|s|-5|6
2992 Awire|net@61|||2700|pin@43||-5|7.5|pwr@0||-5|11.5
2993 Awire|net@62|||900|pin@44||9|-1|pin@29||9|-8
2994 Awire|net@63|||900|pin@34||9|4|pin@44||9|-1
2995 Awire|net@64|||0|conn@2|y|21|-1|pin@44||9|-1
2996 Awire|net@65|||0|conn@1|a|26.5|10|pin@15||0|10
2997 Awire|net@70|||1800|conn@3|y|-21|-12|pin@40||-9|-12
2998 Awire|net@71|||1800|conn@0|y|-32.5|4|pin@31||-18|4
2999 Eina||D5G2;|conn@3|a|I
3000 Einb||D5G2;|conn@2|a|I
3001 Einc||D5G2;|conn@0|y|I
3002 Eout||D5G2;|conn@1|y|O
3003 X
3004
3005 # Cell nand3_sy6;1{ic}
3006 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3007 Ngeneric:Facet-Center|art@0||0|0||||AV
3008 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3009 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
3010 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
3011 NPin|pin@1||-1.5|-2|1|1||
3012 NPin|pin@2||-2.5|-2||||
3013 NPin|pin@3||-0.5|3|1|1||
3014 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
3015 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3016 NPin|pin@6||-1.5|3|1|1||
3017 NPin|pin@7||-1.5|-3|1|1||
3018 NPin|pin@8||-0.5|-3|1|1||
3019 NPin|pin@9||-2.5|0||||
3020 NPin|pin@10||-1.5|0|1|1||
3021 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
3022 NPin|pin@12||-1.5|2|1|1||
3023 NPin|pin@13||-2.5|2||||
3024 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
3025 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
3026 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
3027 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
3028 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
3029 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
3030 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
3031 Eina||D5G1;|pin@0||I
3032 Einb||D5G1;|pin@4||I
3033 Einc||D5G1;|pin@11||I
3034 Eout||D5G1;|pin@5||O
3035 X
3036
3037 # Cell nand3_sy6;1{sch}
3038 Cnand3_sy6;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3039 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3040 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3041 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3042 Ngeneric:Facet-Center|art@0||0|0||||AV
3043 NOff-Page|conn@1||24|-12.5|||RR|
3044 NOff-Page|conn@2||27|0||||
3045 NOff-Page|conn@3||-35|4||||
3046 NOff-Page|conn@4||-35|-2.5||||
3047 Inand3_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
3048 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
3049 NWire_Pin|pin@10||-19.5|-8.5||||
3050 NWire_Pin|pin@11||-21.5|-16.5||||
3051 NWire_Pin|pin@12||10.5|-12.5||||
3052 NWire_Pin|pin@13||-19.5|4||||
3053 NWire_Pin|pin@14||-21.5|-2.5||||
3054 NWire_Pin|pin@15||-9|-2.5||||
3055 NWire_Pin|pin@16||-5|7.5||||
3056 NWire_Pin|pin@17||4.5|7.5||||
3057 NWire_Pin|pin@18||-14|7.5||||
3058 Ngeneric:Invisible-Pin|pin@19||0|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
3059 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3060 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
3061 NWire_Pin|pin@22||-9|4||||
3062 NWire_Pin|pin@23||-5|0||||
3063 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3064 NWire_Pin|pin@25||4.5|0||||
3065 NWire_Pin|pin@26||10.5|4||||
3066 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
3067 NWire_Pin|pin@28||-14|0||||
3068 NPower|pwr@0||-5|10.5||||
3069 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
3070 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
3071 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
3072 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
3073 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
3074 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
3075 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
3076 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
3077 Awire|net@32|||2700|PMOS@0|s|-14|6|pin@18||-14|7.5
3078 Awire|net@33|||0|PMOS@0|g|-17|4|pin@13||-19.5|4
3079 Awire|net@34|||900|PMOS@0|d|-14|2|pin@28||-14|0
3080 Awire|net@35|||2700|PMOS@1|s|-5|6|pin@16||-5|7.5
3081 Awire|net@36|||0|PMOS@1|g|-8|4|pin@22||-9|4
3082 Awire|net@37|||900|PMOS@1|d|-5|2|pin@23||-5|0
3083 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@2|s|4.5|6
3084 Awire|net@39|||1800|PMOS@2|g|7.5|4|pin@26||10.5|4
3085 Awire|net@40|||900|PMOS@2|d|4.5|2|pin@25||4.5|0
3086 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
3087 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
3088 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
3089 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
3090 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
3091 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
3092 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
3093 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
3094 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
3095 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
3096 Eina||D5G2;|conn@4|y|I
3097 Einb||D5G2;|conn@1|a|I
3098 Einc||D5G2;|conn@3|y|I
3099 Eout||D5G2;|conn@2|y|O
3100 X
3101
3102 # Cell nand3en;1{ic}
3103 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3104 Ngeneric:Facet-Center|art@0||0|0||||AV
3105 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
3106 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3107 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3108 NPin|pin@1||-2.5|2||||
3109 NPin|pin@2||-1.5|2|1|1||
3110 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3111 NPin|pin@4||-1.5|0|1|1||
3112 NPin|pin@5||-2.5|0||||
3113 NPin|pin@6||-0.5|-3|1|1||
3114 NPin|pin@7||-1.5|-3|1|1||
3115 NPin|pin@8||-1.5|3|1|1||
3116 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3117 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3118 NPin|pin@11||-0.5|3|1|1||
3119 NPin|pin@12||-2.5|-2||||
3120 NPin|pin@13||-1.5|-2|1|1||
3121 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3122 NPin|pin@15||-1.5|-1.75|1|1||
3123 NPin|pin@16||-0.25|-3|1|1||
3124 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I10
3125 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I10
3126 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
3127 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I10
3128 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I10
3129 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I10
3130 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
3131 Eina||D5G1;|pin@14||I
3132 Einb||D5G1;|pin@10||I
3133 Einc||D5G1;|pin@3||I
3134 Eout||D5G1;|pin@9||O
3135 X
3136
3137 # Cell nand3en;1{sch}
3138 Cnand3en;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3139 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2;)Smax(@X/10., 5./6.)
3140 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3141 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3142 Ngeneric:Facet-Center|art@0||0|0||||AV
3143 NOff-Page|conn@0||-22|4||||
3144 NOff-Page|conn@1||0|14.5|||R|
3145 NOff-Page|conn@2||14|-1|||RR|
3146 NOff-Page|conn@3||-15|-12||||
3147 Inand3en;1{ic}|nand3en@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3148 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
3149 NWire_Pin|pin@0||9|-8||||
3150 NWire_Pin|pin@1||-18|-4||||
3151 NWire_Pin|pin@2||-18|4||||
3152 NWire_Pin|pin@3||-14|0||||
3153 Ngeneric:Invisible-Pin|pin@4||-0.5|27|||||ART_message(D5G6;)S[nand3en]
3154 NWire_Pin|pin@5||9|-1||||
3155 NWire_Pin|pin@6||9|4||||
3156 NWire_Pin|pin@7||4.5|0||||
3157 NWire_Pin|pin@8||-9|-12||||
3158 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
3159 NWire_Pin|pin@10||-5|0||||
3160 NWire_Pin|pin@11||0|0||||
3161 NWire_Pin|pin@12||-9|4||||
3162 Ngeneric:Invisible-Pin|pin@13||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3163 Ngeneric:Invisible-Pin|pin@14||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3164 NWire_Pin|pin@15||4.5|7.5||||
3165 NWire_Pin|pin@16||-14|7.5||||
3166 NWire_Pin|pin@17||-5|7.5||||
3167 NPower|pwr@0||-5|11.5||||
3168 Awire|net@0|||900|pin@17||-5|7.5|PMOS@0|s|-5|6
3169 Awire|net@1|||1800|pin@12||-9|4|PMOS@0|g|-8|4
3170 Awire|net@2|||2700|pin@10||-5|0|PMOS@0|d|-5|2
3171 Awire|net@3|||1800|pin@8||-9|-12|nms3@0|g|-3|-12
3172 Awire|net@4|||900|pin@5||9|-1|pin@0||9|-8
3173 Awire|net@5|||0|pin@0||9|-8|nms3@0|g2|3|-8
3174 Awire|net@6|||0|nms3@0|g3|-3|-4|pin@1||-18|-4
3175 Awire|net@7|||2700|pin@1||-18|-4|pin@2||-18|4
3176 Awire|net@8|||0|pin@2||-18|4|conn@0|y|-20|4
3177 Awire|net@9|||0|pin@10||-5|0|pin@3||-14|0
3178 Awire|net@10|||900|pin@11||0|0|nms3@0|d|0|-2
3179 Awire|net@11|||1800|pin@5||9|-1|conn@2|y|12|-1
3180 Awire|net@12|||2700|pin@5||9|-1|pin@6||9|4
3181 Awire|net@13|||0|pin@8||-9|-12|conn@3|y|-13|-12
3182 Awire|net@14|||0|pin@11||0|0|pin@10||-5|0
3183 Awire|net@15|||0|pin@7||4.5|0|pin@11||0|0
3184 Awire|net@16|||2700|pin@11||0|0|conn@1|a|0|12.5
3185 Awire|net@17|||2700|pin@8||-9|-12|pin@12||-9|4
3186 Awire|net@18|||2700|pin@7||4.5|0|PMOS@1|d|4.5|2
3187 Awire|net@19|||1800|PMOS@1|g|7.5|4|pin@6||9|4
3188 Awire|net@20|||2700|pin@3||-14|0|PMOS@2|d|-14|2
3189 Awire|net@21|||0|PMOS@2|g|-17|4|pin@2||-18|4
3190 Awire|net@22|||900|pin@15||4.5|7.5|PMOS@1|s|4.5|6
3191 Awire|net@23|||2700|PMOS@2|s|-14|6|pin@16||-14|7.5
3192 Awire|net@24|||1800|pin@17||-5|7.5|pin@15||4.5|7.5
3193 Awire|net@25|||1800|pin@16||-14|7.5|pin@17||-5|7.5
3194 Awire|net@26|||2700|pin@17||-5|7.5|pwr@0||-5|11.5
3195 Eina||D5G2;|conn@3|a|I
3196 Einb||D5G2;|conn@2|a|I
3197 Einc||D5G2;|conn@0|y|I
3198 Eout||D5G2;|conn@1|y|O
3199 X
3200
3201 # Cell nand3en_sy;1{ic}
3202 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3203 Ngeneric:Facet-Center|art@0||0|0||||AV
3204 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3205 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
3206 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
3207 NPin|pin@1||-0.25|-3|1|1||
3208 NPin|pin@2||-1.5|-1.75|1|1||
3209 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
3210 NPin|pin@4||-1.5|-2|1|1||
3211 NPin|pin@5||-2.5|-2||||
3212 NPin|pin@6||-0.5|3|1|1||
3213 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
3214 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
3215 NPin|pin@9||-1.5|3|1|1||
3216 NPin|pin@10||-1.5|-3|1|1||
3217 NPin|pin@11||-0.5|-3|1|1||
3218 NPin|pin@12||-2.5|0||||
3219 NPin|pin@13||-1.5|0|1|1||
3220 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
3221 NPin|pin@15||-1.5|2|1|1||
3222 NPin|pin@16||-2.5|2||||
3223 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3224 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
3225 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I10
3226 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I10
3227 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I10
3228 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I10
3229 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I10
3230 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I10
3231 Eina||D5G1;|pin@3||I
3232 Einb||D5G1;|pin@7||I
3233 Einc||D5G1;|pin@14||I
3234 Eout||D5G1;|pin@8||O
3235 X
3236
3237 # Cell nand3en_sy;1{sch}
3238 Cnand3en_sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3239 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/10., 5./6.)
3240 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3241 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3242 Ngeneric:Facet-Center|art@0||0|0||||AV
3243 NOff-Page|conn@0||-15|-13||||
3244 NOff-Page|conn@1||14|-1|||RR|
3245 NOff-Page|conn@2||0|14.5|||R|
3246 NOff-Page|conn@3||-22|4||||
3247 Inand3en_sy;1{ic}|nand3en_@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3248 Inms3_2sy;1{ic}|nms3_2sy@0||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3249 Inms3_2sy;1{ic}|nms3_2sy@1||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3250 NWire_Pin|pin@0||-18|-5||||
3251 NWire_Pin|pin@1||-5|7.5||||
3252 NWire_Pin|pin@2||-14|7.5||||
3253 NWire_Pin|pin@3||4.5|7.5||||
3254 Ngeneric:Invisible-Pin|pin@4||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3255 Ngeneric:Invisible-Pin|pin@5||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3256 NWire_Pin|pin@6||-9|4||||
3257 NWire_Pin|pin@7||0|0||||
3258 NWire_Pin|pin@8||-5|0||||
3259 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
3260 NWire_Pin|pin@10||-9|-13||||
3261 NWire_Pin|pin@11||4.5|0||||
3262 NWire_Pin|pin@12||9|4||||
3263 NWire_Pin|pin@13||9|-1||||
3264 Ngeneric:Invisible-Pin|pin@14||-0.5|27|||||ART_message(D5G6;)S[nand3en_sy]
3265 NWire_Pin|pin@15||-14|0||||
3266 NWire_Pin|pin@16||-18|4||||
3267 NWire_Pin|pin@17||9|-9||||
3268 NPower|pwr@0||-5|11.5||||
3269 Awire|net@0|||900|pin@1||-5|7.5|PMOS@0|s|-5|6
3270 Awire|net@1|||1800|pin@6||-9|4|PMOS@0|g|-8|4
3271 Awire|net@2|||2700|pin@8||-5|0|PMOS@0|d|-5|2
3272 Awire|net@3|||900|pin@16||-18|4|pin@0||-18|-5
3273 Awire|net@4|||2700|pin@17||9|-9|pin@13||9|-1
3274 Awire|net@5|||1800|pin@10||-9|-13|nms3_2sy@0|g|-2.25|-13
3275 Awire|net@6|||1800|nms3_2sy@0|g2|3|-9|pin@17||9|-9
3276 Awire|net@8|||900|pin@7||0|0|nms3_2sy@0|d|0|-3
3277 Awire|net@9|||2700|pin@1||-5|7.5|pwr@0||-5|11.5
3278 Awire|net@10|||1800|pin@2||-14|7.5|pin@1||-5|7.5
3279 Awire|net@11|||1800|pin@1||-5|7.5|pin@3||4.5|7.5
3280 Awire|net@12|||2700|PMOS@1|s|-14|6|pin@2||-14|7.5
3281 Awire|net@13|||900|pin@3||4.5|7.5|PMOS@2|s|4.5|6
3282 Awire|net@14|||0|PMOS@1|g|-17|4|pin@16||-18|4
3283 Awire|net@15|||2700|pin@15||-14|0|PMOS@1|d|-14|2
3284 Awire|net@16|||1800|PMOS@2|g|7.5|4|pin@12||9|4
3285 Awire|net@17|||2700|pin@11||4.5|0|PMOS@2|d|4.5|2
3286 Awire|net@18|||2700|pin@10||-9|-13|pin@6||-9|4
3287 Awire|net@19|||2700|pin@7||0|0|conn@2|a|0|12.5
3288 Awire|net@20|||0|pin@11||4.5|0|pin@7||0|0
3289 Awire|net@21|||0|pin@7||0|0|pin@8||-5|0
3290 Awire|net@22|||0|pin@10||-9|-13|conn@0|y|-13|-13
3291 Awire|net@23|||2700|pin@13||9|-1|pin@12||9|4
3292 Awire|net@24|||1800|pin@13||9|-1|conn@1|y|12|-1
3293 Awire|net@25|||0|pin@8||-5|0|pin@15||-14|0
3294 Awire|net@26|||0|pin@16||-18|4|conn@3|y|-20|4
3295 Awire|net@27|||0|nms3_2sy@1|g3|-3|-5|pin@0||-18|-5
3296 Eina||D5G2;|conn@0|a|I
3297 Einb||D5G2;|conn@1|a|I
3298 Einc||D5G2;|conn@3|y|I
3299 Eout||D5G2;|conn@2|y|O
3300 X
3301
3302 # Cell nms1;2{ic}
3303 Cnms1;2{ic}||artwork|1021415734000|1228433765304|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3304 Ngeneric:Facet-Center|art@0||0|0||||AV
3305 NPin|pin@0||-1|-2|1|1||
3306 NPin|pin@1||0|-3||||
3307 NPin|pin@2||1|-2|1|1||
3308 NPin|pin@3||0|-2|1|1||
3309 NPin|pin@4||0|-2|1|1||
3310 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
3311 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3312 NPin|pin@8||-1.5|0|1|1|RR|
3313 NPin|pin@9||-3|0|||RR|
3314 NPin|pin@10||-1.5|1|1|1||
3315 NPin|pin@11||-1.5|-1|1|1||
3316 NPin|pin@12||0|-1|1|1||
3317 NPin|pin@13||-0.75|-1|1|1||
3318 NPin|pin@14||-0.75|1|1|1||
3319 NPin|pin@15||0|1|1|1||
3320 NPin|pin@16||0|2|1|1||
3321 NPin|pin@21||0|2|1|1|YRR|
3322 NPin|pin@24||0|2|1|1|YRR|
3323 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3324 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3325 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3326 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3327 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3328 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3329 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3330 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3331 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3332 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3333 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3334 AThicker|net@11|||FS900|pin@21||0|2|pin@24||0|2|ART_color()I10
3335 Ed||D5G1;|pin@5||O
3336 Eg||D5G1;|pin@6||I
3337 X
3338
3339 # Cell nms1;1{sch}
3340 Cnms1;1{sch}||schematic|1021415734000|1228433822694||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3341 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*1.0
3342 Ngeneric:Facet-Center|art@0||0|0||||AV
3343 NOff-Page|conn@0||-10|-11||||
3344 NOff-Page|conn@1||8|0|||Y|
3345 NGround|gnd@0||0|-19||||
3346 Inms1;2{ic}|nms1@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3347 NWire_Pin|pin@0||0|0||||
3348 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)Sone fixed-size N-type transistor to GND
3349 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)Snms1
3350 Awire|net@3|||2700|gnd@0||0|-17|NMOS@1|s|0|-13
3351 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@1|g|-3|-11
3352 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3353 Awire|net@6|||900|pin@0||0|0|NMOS@1|d|0|-9
3354 Ed||D5G2;|conn@1|y|O
3355 Eg||D5G2;|conn@0|a|I
3356 X
3357
3358 # Cell nms2;1{sch}
3359 Cnms2;1{sch}||schematic|1021415734000|1228433160568||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3360 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3361 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3362 Ngeneric:Facet-Center|art@0||0|0||||AV
3363 NOff-Page|conn@0||-10|-11||||
3364 NOff-Page|conn@1||8|0|||Y|
3365 NOff-Page|conn@2||8|-4|||YRR|
3366 NGround|gnd@0||0|-19||||
3367 Inms2b;1{ic}|nms2@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3368 Inms2a;2{ic}|nms2@1||29|-11|||D5G4;|ATTR_Delay(D5G1;NPX3.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-1.5;)I1
3369 NWire_Pin|pin@0||0|0||||
3370 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)S[two fixed-size N-type transistors to GND]
3371 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)S[nms2]
3372 Awire|net@0|||2700|NMOS@1|d|0|-9|NMOS@0|s|0|-6
3373 Awire|net@1|||900|pin@0||0|0|NMOS@0|d|0|-2
3374 Awire|net@2|||0|conn@2|y|6|-4|NMOS@0|g|3|-4
3375 Awire|net@3|||2700|gnd@0||0|-17|NMOS@1|s|0|-13
3376 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@1|g|-3|-11
3377 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3378 Ed||D5G2;|conn@1|y|O
3379 Eg||D5G2;|conn@0|a|I
3380 Eg2||D5G2;|conn@2|a|I
3381 X
3382
3383 # Cell nms2_sy;1{ic}
3384 Cnms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[0,0]
3385 Ngeneric:Facet-Center|art@0||0|0||||AV
3386 Nschematic:Bus_Pin|pin@0||0|6|-2|-2||
3387 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
3388 Nschematic:Bus_Pin|pin@2||3|4|-2|-2||
3389 NPin|pin@3||-1.5|0|1|1|RR|
3390 NPin|pin@4||-3|0|||RR|
3391 NPin|pin@5||0|-2|1|1||
3392 NPin|pin@6||1|-2|1|1||
3393 NPin|pin@7||0|-3||||
3394 NPin|pin@8||-1|-2|1|1||
3395 NPin|pin@9||-1.5|1|1|1||
3396 NPin|pin@10||-1.5|-1|1|1||
3397 NPin|pin@11||0|-1|1|1||
3398 NPin|pin@12||-0.75|-1|1|1||
3399 NPin|pin@13||-0.75|1|1|1||
3400 NPin|pin@14||-0.25|1|1|1||
3401 NPin|pin@15||0|6|||RR|
3402 NPin|pin@16||0|5|1|1|YRR|
3403 NPin|pin@17||0.75|5|1|1|YRR|
3404 NPin|pin@18||0.75|3|1|1|YRR|
3405 NPin|pin@19||1.5|3|1|1|YRR|
3406 NPin|pin@20||1.5|5|1|1|YRR|
3407 NPin|pin@21||3|4||||
3408 NPin|pin@22||1.5|4|1|1|Y|
3409 NPin|pin@23||-0.75|5|1|1||
3410 NPin|pin@24||-0.75|3|1|1||
3411 NPin|pin@25||-1.5|4|1|1|RR|
3412 NPin|pin@26||-2.25|4|1|1|RR|
3413 NPin|pin@27||-1.5|5|1|1||
3414 NPin|pin@28||-1.5|3|1|1||
3415 NPin|pin@29||1.5|0|1|1|Y|
3416 NPin|pin@30||2.25|0|1|1|Y|
3417 NPin|pin@31||1.5|1|1|1|YRR|
3418 NPin|pin@32||1.5|-1|1|1|YRR|
3419 NPin|pin@33||0|-1|1|1|YRR|
3420 NPin|pin@34||0.75|-1|1|1|YRR|
3421 NPin|pin@35||0.75|1|1|1|YRR|
3422 NPin|pin@36||0.25|3|1|1||
3423 NPin|pin@37||-0.25|3|1|1||
3424 NPin|pin@38||0.25|1|1|1||
3425 NPin|pin@39||2.25|4|1|1||
3426 NPin|pin@40||-2.25|0|1|1||
3427 AThicker|net@0|||FS900|pin@13||-0.75|1|pin@12||-0.75|-1|ART_color()I10
3428 AThicker|net@1|||FS0|pin@14||-0.25|1|pin@13||-0.75|1|ART_color()I10
3429 AThicker|net@2|||FS1350|pin@8||-1|-2|pin@7||0|-3|ART_color()I10
3430 AThicker|net@3|||FS0|pin@5||0|-2|pin@8||-1|-2|ART_color()I10
3431 AThicker|net@4|||FS900|pin@9||-1.5|1|pin@10||-1.5|-1|ART_color()I10
3432 AThicker|net@5|||FS1800|pin@4||-3|0|pin@3||-1.5|0|ART_color()I10
3433 AThicker|net@6|||FS1800|pin@12||-0.75|-1|pin@11||0|-1|ART_color()I10
3434 AThicker|net@7|||FS900|pin@11||0|-1|pin@5||0|-2|ART_color()I10
3435 AThicker|net@8|||FS2250|pin@7||0|-3|pin@6||1|-2|ART_color()I10
3436 AThicker|net@9|||FS0|pin@6||1|-2|pin@5||0|-2|ART_color()I10
3437 AThicker|net@10|||FS900|pin@15||0|6|pin@16||0|5|ART_color()I10
3438 AThicker|net@11|||FS900|pin@20||1.5|5|pin@19||1.5|3|ART_color()I10
3439 AThicker|net@12|||FS1800|pin@16||0|5|pin@17||0.75|5|ART_color()I10
3440 AThicker|net@13|||FS900|pin@17||0.75|5|pin@18||0.75|3|ART_color()I10
3441 AThicker|net@14|||FS1800|pin@23||-0.75|5|pin@16||0|5|ART_color()I10
3442 AThicker|net@15|||FS2700|pin@24||-0.75|3|pin@23||-0.75|5|ART_color()I10
3443 AThicker|net@16|||FS1800|pin@26||-2.25|4|pin@25||-1.5|4|ART_color()I10
3444 AThicker|net@17|||FS900|pin@27||-1.5|5|pin@28||-1.5|3|ART_color()I10
3445 AThicker|net@18|||FS0|pin@30||2.25|0|pin@29||1.5|0|ART_color()I10
3446 AThicker|net@19|||FS900|pin@35||0.75|1|pin@34||0.75|-1|ART_color()I10
3447 AThicker|net@20|||FS0|pin@34||0.75|-1|pin@33||0|-1|ART_color()I10
3448 AThicker|net@21|||FS900|pin@31||1.5|1|pin@32||1.5|-1|ART_color()I10
3449 AThicker|net@22|||FS760|pin@36||0.25|3|pin@14||-0.25|1|ART_color()I10
3450 AThicker|net@23|||FS0|pin@18||0.75|3|pin@36||0.25|3|ART_color()I10
3451 AThicker|net@24|||FS0|pin@37||-0.25|3|pin@24||-0.75|3|ART_color()I10
3452 AThicker|net@25|||FS2840|pin@38||0.25|1|pin@37||-0.25|3|ART_color()I10
3453 AThicker|net@26|||FS0|pin@35||0.75|1|pin@38||0.25|1|ART_color()I10
3454 AThicker|net@27|||FS0|pin@21||3|4|pin@22||1.5|4|ART_color()I10
3455 AThicker|net@28|||FS900|pin@39||2.25|4|pin@30||2.25|0|ART_color()I10
3456 AThicker|net@29|||FS2700|pin@40||-2.25|0|pin@26||-2.25|4|ART_color()I10
3457 Ed||D5G1;|pin@0||O
3458 Eg||D5G1;|pin@1||I
3459 Eg2||D5G1;|pin@2||I
3460 X
3461
3462 # Cell nms2_sy;1{sch}
3463 Cnms2_sy;1{sch}||schematic|1021415734000|1157998666994||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3464 Ngeneric:Facet-Center|art@0||0|0||||AV
3465 NOff-Page|conn@0||16.5|0||||
3466 NOff-Page|conn@1||16|-5.5|||YRR|
3467 NOff-Page|conn@2||-15|-5.5||||
3468 Inms2b;1{ic}|nms2@0||8|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3469 Inms2b;1{ic}|nms2@1||-6.5|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3470 Inms2_sy;1{ic}|nms2_sy@0||25|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOLPX-3.75;Y2.5;)S1
3471 Ngeneric:Invisible-Pin|pin@0||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3472 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric fixed-size N-type two-stack]
3473 NWire_Pin|pin@2||-6.5|0||||
3474 NWire_Pin|pin@3||-1|-9.5||||
3475 NWire_Pin|pin@4||3|-5.5||||
3476 NWire_Pin|pin@5||3|-9.5||||
3477 NWire_Pin|pin@6||-1|-5.5||||
3478 NWire_Pin|pin@7||8|0||||
3479 Awire|net@0|||2250|pin@3||-1|-9.5|pin@4||3|-5.5
3480 Awire|net@1|||3150|pin@5||3|-9.5|pin@6||-1|-5.5
3481 Awire|net@2|||0|pin@6||-1|-5.5|nms2@1|g2|-3.5|-5.5
3482 Awire|net@3|||0|nms2@0|g|5|-9.5|pin@5||3|-9.5
3483 Awire|net@4|||0|nms2@0|g2|11|-5.5|pin@4||3|-5.5
3484 Awire|net@5|||0|conn@1|y|14|-5.5|nms2@0|g2|11|-5.5
3485 Awire|net@6|||1800|nms2@1|g|-9.5|-9.5|pin@3||-1|-9.5
3486 Awire|net@7|||2700|nms2@1|d|-6.5|-3.5|pin@2||-6.5|0
3487 Awire|net@8|||1800|pin@7||8|0|conn@0|a|14.5|0
3488 Awire|net@9|||1800|pin@2||-6.5|0|pin@7||8|0
3489 Awire|net@10|||900|pin@7||8|0|nms2@0|d|8|-3.5
3490 Awire|net@11|||1800|conn@2|y|-13|-5.5|nms2@1|g2|-3.5|-5.5
3491 Ed||D5G2;|conn@0|y|O
3492 Eg||D5G2;|conn@2|a|I
3493 Eg2||D5G2;|conn@1|a|I
3494 X
3495
3496 # Cell nms2a;2{ic}
3497 Cnms2a;2{ic}|nms2|artwork|1021415734000|1228433108557|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3498 Ngeneric:Facet-Center|art@0||0|0||||AV
3499 NPin|pin@0||-1|-2|1|1||
3500 NPin|pin@1||0|-3||||
3501 NPin|pin@2||1|-2|1|1||
3502 NPin|pin@3||0|-2|1|1||
3503 NPin|pin@4||0|-2|1|1||
3504 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3505 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3506 Nschematic:Bus_Pin|pin@7||-3|4|-2|-2||
3507 NPin|pin@8||-1.5|0|1|1|RR|
3508 NPin|pin@9||-3|0|||RR|
3509 NPin|pin@10||-1.5|1|1|1||
3510 NPin|pin@11||-1.5|-1|1|1||
3511 NPin|pin@12||0|-1|1|1||
3512 NPin|pin@13||-0.75|-1|1|1||
3513 NPin|pin@14||-0.75|1|1|1||
3514 NPin|pin@15||0|1|1|1||
3515 NPin|pin@16||0|2|1|1||
3516 NPin|pin@17||0|6|||RR|
3517 NPin|pin@18||0|5|1|1|YRR|
3518 NPin|pin@19||-0.75|5|1|1|YRR|
3519 NPin|pin@20||-0.75|3|1|1|YRR|
3520 NPin|pin@21||0|3|1|1|YRR|
3521 NPin|pin@22||-1.5|3|1|1|YRR|
3522 NPin|pin@23||-1.5|5|1|1|YRR|
3523 NPin|pin@24||0|2|1|1|YRR|
3524 NPin|pin@25||-3|4||||
3525 NPin|pin@26||-1.5|4|1|1|Y|
3526 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3527 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3528 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3529 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3530 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3531 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3532 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3533 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3534 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3535 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3536 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3537 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3538 AThicker|net@12|||FS1800|pin@20||-0.75|3|pin@21||0|3|ART_color()I10
3539 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3540 AThicker|net@14|||FS0|pin@18||0|5|pin@19||-0.75|5|ART_color()I10
3541 AThicker|net@15|||FS900|pin@23||-1.5|5|pin@22||-1.5|3|ART_color()I10
3542 AThicker|net@16|||FS900|pin@19||-0.75|5|pin@20||-0.75|3|ART_color()I10
3543 AThicker|net@17|||FS1800|pin@25||-3|4|pin@26||-1.5|4|ART_color()I10
3544 Ed||D5G1;|pin@5||O
3545 Eg||D5G1;|pin@6||I
3546 Eg2||D5G1;|pin@7||I
3547 X
3548
3549 # Cell nms2b;1{ic}
3550 Cnms2b;1{ic}|nms2|artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3551 Ngeneric:Facet-Center|art@0||0|0||||AV
3552 NPin|pin@0||-1|-2|1|1||
3553 NPin|pin@1||0|-3||||
3554 NPin|pin@2||1|-2|1|1||
3555 NPin|pin@3||0|-2|1|1||
3556 NPin|pin@4||0|-2|1|1||
3557 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3558 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3559 Nschematic:Bus_Pin|pin@7||3|4|-2|-2||
3560 NPin|pin@8||-1.5|0|1|1|RR|
3561 NPin|pin@9||-3|0|||RR|
3562 NPin|pin@10||-1.5|1|1|1||
3563 NPin|pin@11||-1.5|-1|1|1||
3564 NPin|pin@12||0|-1|1|1||
3565 NPin|pin@13||-0.75|-1|1|1||
3566 NPin|pin@14||-0.75|1|1|1||
3567 NPin|pin@15||0|1|1|1||
3568 NPin|pin@16||0|2|1|1||
3569 NPin|pin@17||0|6|||RR|
3570 NPin|pin@18||0|5|1|1|YRR|
3571 NPin|pin@19||0.75|5|1|1|YRR|
3572 NPin|pin@20||0.75|3|1|1|YRR|
3573 NPin|pin@21||0|3|1|1|YRR|
3574 NPin|pin@22||1.5|3|1|1|YRR|
3575 NPin|pin@23||1.5|5|1|1|YRR|
3576 NPin|pin@24||0|2|1|1|YRR|
3577 NPin|pin@25||3|4||||
3578 NPin|pin@26||1.5|4|1|1|Y|
3579 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3580 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3581 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3582 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3583 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3584 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3585 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3586 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3587 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3588 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3589 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3590 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3591 AThicker|net@12|||FS0|pin@20||0.75|3|pin@21||0|3|ART_color()I10
3592 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3593 AThicker|net@14|||FS1800|pin@18||0|5|pin@19||0.75|5|ART_color()I10
3594 AThicker|net@15|||FS900|pin@23||1.5|5|pin@22||1.5|3|ART_color()I10
3595 AThicker|net@16|||FS900|pin@19||0.75|5|pin@20||0.75|3|ART_color()I10
3596 AThicker|net@17|||FS0|pin@25||3|4|pin@26||1.5|4|ART_color()I10
3597 Ed||D5G1;|pin@5||O
3598 Eg||D5G1;|pin@6||I
3599 Eg2||D5G1;|pin@7||I
3600 X
3601
3602 # Cell nms3;1{ic}
3603 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX-2;Y0.5;)S1|prototype_center()I[0,0]
3604 Ngeneric:Facet-Center|art@0||0|0||||AV
3605 NPin|pin@0||1.5|4|1|1|Y|
3606 NPin|pin@1||3|4||||
3607 NPin|pin@2||0|2|1|1|YRR|
3608 NPin|pin@3||1.5|5|1|1|YRR|
3609 NPin|pin@4||1.5|3|1|1|YRR|
3610 NPin|pin@5||0|3|1|1|YRR|
3611 NPin|pin@6||0.75|3|1|1|YRR|
3612 NPin|pin@7||0.75|5|1|1|YRR|
3613 NPin|pin@8||0|5|1|1|YRR|
3614 NPin|pin@9||0|6|||RR|
3615 NPin|pin@10||0|2|1|1||
3616 NPin|pin@11||0|1|1|1||
3617 NPin|pin@12||-0.75|1|1|1||
3618 NPin|pin@13||-0.75|-1|1|1||
3619 NPin|pin@14||0|-1|1|1||
3620 NPin|pin@15||-1.5|-1|1|1||
3621 NPin|pin@16||-1.5|1|1|1||
3622 NPin|pin@17||-1|-2|1|1||
3623 NPin|pin@18||0|-3||||
3624 NPin|pin@19||1|-2|1|1||
3625 NPin|pin@20||0|-2|1|1||
3626 NPin|pin@21||-3|0|||RR|
3627 NPin|pin@22||-1.5|0|1|1|RR|
3628 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3629 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3630 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
3631 Ngeneric:Invisible-Pin|pin@26||-3|8||||
3632 NPin|pin@27||-1.5|8|1|1|RR|
3633 NPin|pin@28||-3|8|||RR|
3634 NPin|pin@29||0|6|1|1||
3635 NPin|pin@30||-1.5|9|1|1||
3636 NPin|pin@31||-1.5|7|1|1||
3637 NPin|pin@32||0|7|1|1||
3638 NPin|pin@33||-0.75|7|1|1||
3639 NPin|pin@34||-0.75|9|1|1||
3640 NPin|pin@35||0|9|1|1||
3641 NPin|pin@36||0|10||||
3642 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
3643 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
3644 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
3645 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
3646 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
3647 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
3648 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
3649 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
3650 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
3651 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
3652 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
3653 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
3654 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
3655 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3656 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
3657 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
3658 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
3659 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
3660 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
3661 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
3662 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
3663 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
3664 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
3665 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
3666 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
3667 Ed||D5G1;|pin@25||O
3668 Eg||D5G1;|pin@24||I
3669 Eg2||D5G1;|pin@23||I
3670 Eg3||D5G1;|pin@26||I
3671 X
3672
3673 # Cell nms3;1{sch}
3674 Cnms3;1{sch}||schematic|1021415734000|1228435255725||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
3675 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|2.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3676 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3677 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@2||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3678 Ngeneric:Facet-Center|art@0||0|0||||AV
3679 NOff-Page|conn@0||8|-4|||YRR|
3680 NOff-Page|conn@1||5|6||||
3681 NOff-Page|conn@2||-10|-11||||
3682 NOff-Page|conn@3||-10|2.5||||
3683 NGround|gnd@0||0|-19||||
3684 Inms3;1{ic}|nms3@0||34|1|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NOLPX-2;Y0.5;)S1
3685 Inms3a;1{ic}|nms3a@0||35|-15|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-0.5;)I100|ATTR_X(D5G1;NOLPX4.5;Y0.5;)S1
3686 Inms3b;1{ic}|nms3b@0||24.5|-17|||D5G4;|ATTR_Delay(D5G1;NPX-3.25;Y0.5;)I100|ATTR_X(D5G1;NOLPX-3.25;Y1.5;)S1
3687 Inms3c;1{ic}|nms3c@0||24.5|1.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-3;)I100|ATTR_X(D5G1;NOLPX-3.5;Y-2;)S1
3688 Ngeneric:Invisible-Pin|pin@0||0|13.5|||||ART_message(D5G6;)S[nms3]
3689 Ngeneric:Invisible-Pin|pin@1||0|9|||||ART_message(D5G2;)S[three fixed-size N-type transistors to GND]
3690 NWire_Pin|pin@2||0|6||||
3691 Awire|net@0|||1800|pin@2||0|6|conn@1|a|3|6
3692 Awire|net@1|||0|NMOS@0|g|-3|2.5|conn@3|y|-8|2.5
3693 Awire|net@2|||2700|NMOS@0|d|0|4.5|pin@2||0|6
3694 Awire|net@3|||1800|conn@2|y|-8|-11|NMOS@1|g|-3|-11
3695 Awire|net@4|||2700|gnd@0||0|-17|NMOS@1|s|0|-13
3696 Awire|net@5|||0|conn@0|y|6|-4|NMOS@2|g|3|-4
3697 Awire|net@6|||2700|NMOS@2|d|0|-2|NMOS@0|s|0|0.5
3698 Awire|net@7|||2700|NMOS@1|d|0|-9|NMOS@2|s|0|-6
3699 Ed||D5G2;|conn@1|y|O
3700 Eg||D5G2;|conn@2|a|I
3701 Eg2||D5G2;|conn@0|a|I
3702 Eg3||D5G2;|conn@3|y|I
3703 X
3704
3705 # Cell nms3_2sy;1{ic}
3706 Cnms3_2sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[26000,-36000]
3707 Ngeneric:Facet-Center|art@0||0|0||||AV
3708 Nschematic:Bus_Pin|pin@0||-3|8||||
3709 NPin|pin@2||-3|8|||RR|
3710 NPin|pin@3||2.25|4|1|1|Y|
3711 NPin|pin@4||3|4|1|1|Y|
3712 NPin|pin@5||-1.5|0|1|1|RR|
3713 NPin|pin@6||-2.25|0|1|1|RR|
3714 NPin|pin@7||0|-2|1|1||
3715 NPin|pin@8||-0.75|-1|1|1||
3716 NPin|pin@9||0|-1|1|1|YRR|
3717 NPin|pin@10||0|1|1|1|YRR|
3718 NPin|pin@11||-0.75|1|1|1||
3719 NPin|pin@12||-0.75|-1|1|1||
3720 NPin|pin@13||-1.5|1|1|1||
3721 NPin|pin@14||-1.5|-1|1|1||
3722 NPin|pin@15||-2.25|4|1|1||
3723 NPin|pin@16||2.25|8|1|1||
3724 NPin|pin@17||0.25|5|1|1||
3725 NPin|pin@18||-0.25|7|1|1||
3726 NPin|pin@19||0.25|7|1|1||
3727 NPin|pin@20||0.75|5|1|1|YRR|
3728 NPin|pin@21||0.75|3|1|1|YRR|
3729 NPin|pin@22||0|3|1|1|YRR|
3730 NPin|pin@23||1.5|3|1|1|YRR|
3731 NPin|pin@24||1.5|5|1|1|YRR|
3732 NPin|pin@25||2.25|4|1|1|Y|
3733 NPin|pin@26||1.5|4|1|1|Y|
3734 NPin|pin@27||-1.5|7|1|1||
3735 NPin|pin@28||-1.5|9|1|1||
3736 NPin|pin@29||-2.25|8|1|1|RR|
3737 NPin|pin@30||-1.5|8|1|1|RR|
3738 NPin|pin@31||-0.75|7|1|1||
3739 NPin|pin@32||-0.75|9|1|1||
3740 NPin|pin@33||1.5|8|1|1|Y|
3741 NPin|pin@34||2.25|8||||
3742 NPin|pin@35||1.5|9|1|1|YRR|
3743 NPin|pin@36||1.5|7|1|1|YRR|
3744 NPin|pin@37||0.75|7|1|1|YRR|
3745 NPin|pin@38||0.75|9|1|1|YRR|
3746 NPin|pin@39||0|9|1|1|YRR|
3747 NPin|pin@40||0|10|||RR|
3748 NPin|pin@41||-0.25|5|1|1||
3749 NPin|pin@42||-0.75|5|1|1||
3750 NPin|pin@43||-0.75|3|1|1||
3751 NPin|pin@44||0|3|1|1||
3752 NPin|pin@45||-1.5|3|1|1||
3753 NPin|pin@46||-1.5|5|1|1||
3754 NPin|pin@47||-1|-2|1|1||
3755 NPin|pin@48||0|-3||||
3756 NPin|pin@49||1|-2|1|1||
3757 NPin|pin@50||-2.25|4|||RR|
3758 NPin|pin@51||-1.5|4|1|1|RR|
3759 Nschematic:Bus_Pin|pin@52||3|4|-2|-2||
3760 Nschematic:Bus_Pin|pin@53||-2.25|0|-2|-2||
3761 Nschematic:Bus_Pin|pin@54||0|10|-2|-2||
3762 NPin|pin@55||-3|8|1|1|RR|
3763 NPin|pin@56||-2.25|8|1|1|RR|
3764 AThicker|net@1|||FS0|pin@4||3|4|pin@3||2.25|4|ART_color()I10
3765 AThicker|net@2|||FS1800|pin@6||-2.25|0|pin@5||-1.5|0|ART_color()I10
3766 AThicker|net@3|||FS2700|pin@7||0|-2|pin@9||0|-1|ART_color()I10
3767 AThicker|net@4|||FS1800|pin@47||-1|-2|pin@7||0|-2|ART_color()I10
3768 AThicker|net@5|||FS1800|pin@7||0|-2|pin@49||1|-2|ART_color()I10
3769 AThicker|net@6|||FS1800|pin@8||-0.75|-1|pin@9||0|-1|ART_color()I10
3770 AThicker|net@7|||FS2700|pin@10||0|1|pin@44||0|3|ART_color()I10
3771 AThicker|net@8|||FS900|pin@13||-1.5|1|pin@14||-1.5|-1|ART_color()I10
3772 AThicker|net@9|||FS2700|pin@12||-0.75|-1|pin@11||-0.75|1|ART_color()I10
3773 AThicker|net@10|||FS1800|pin@11||-0.75|1|pin@10||0|1|ART_color()I10
3774 AThicker|net@11|||FS2700|pin@15||-2.25|4|pin@29||-2.25|8|ART_color()I10
3775 AThicker|net@12|||FS900|pin@16||2.25|8|pin@25||2.25|4|ART_color()I10
3776 AThicker|net@13|||FS0|pin@34||2.25|8|pin@33||1.5|8|ART_color()I10
3777 AThicker|net@14|||FS0|pin@20||0.75|5|pin@17||0.25|5|ART_color()I10
3778 AThicker|net@15|||FS2840|pin@17||0.25|5|pin@18||-0.25|7|ART_color()I10
3779 AThicker|net@16|||FS0|pin@18||-0.25|7|pin@31||-0.75|7|ART_color()I10
3780 AThicker|net@17|||FS0|pin@37||0.75|7|pin@19||0.25|7|ART_color()I10
3781 AThicker|net@18|||FS760|pin@19||0.25|7|pin@41||-0.25|5|ART_color()I10
3782 AThicker|net@19|||FS900|pin@24||1.5|5|pin@23||1.5|3|ART_color()I10
3783 AThicker|net@20|||FS0|pin@21||0.75|3|pin@22||0|3|ART_color()I10
3784 AThicker|net@21|||FS900|pin@20||0.75|5|pin@21||0.75|3|ART_color()I10
3785 AThicker|net@22|||FS0|pin@25||2.25|4|pin@26||1.5|4|ART_color()I10
3786 AThicker|net@23|||FS900|pin@28||-1.5|9|pin@27||-1.5|7|ART_color()I10
3787 AThicker|net@24|||FS1800|pin@29||-2.25|8|pin@30||-1.5|8|ART_color()I10
3788 AThicker|net@25|||FS2700|pin@31||-0.75|7|pin@32||-0.75|9|ART_color()I10
3789 AThicker|net@26|||FS1800|pin@32||-0.75|9|pin@39||0|9|ART_color()I10
3790 AThicker|net@27|||FS900|pin@38||0.75|9|pin@37||0.75|7|ART_color()I10
3791 AThicker|net@28|||FS1800|pin@39||0|9|pin@38||0.75|9|ART_color()I10
3792 AThicker|net@29|||FS900|pin@35||1.5|9|pin@36||1.5|7|ART_color()I10
3793 AThicker|net@30|||FS900|pin@40||0|10|pin@39||0|9|ART_color()I10
3794 AThicker|net@31|||FS2250|pin@48||0|-3|pin@49||1|-2|ART_color()I10
3795 AThicker|net@32|||FS1800|pin@43||-0.75|3|pin@44||0|3|ART_color()I10
3796 AThicker|net@33|||FS1800|pin@50||-2.25|4|pin@51||-1.5|4|ART_color()I10
3797 AThicker|net@34|||FS900|pin@46||-1.5|5|pin@45||-1.5|3|ART_color()I10
3798 AThicker|net@35|||FS1350|pin@47||-1|-2|pin@48||0|-3|ART_color()I10
3799 AThicker|net@36|||FS0|pin@41||-0.25|5|pin@42||-0.75|5|ART_color()I10
3800 AThicker|net@37|||FS900|pin@42||-0.75|5|pin@43||-0.75|3|ART_color()I10
3801 AThicker|net@38|||FS1800|pin@55||-3|8|pin@56||-2.25|8|ART_color()I10
3802 Ed||D5G1;|pin@54||O
3803 Eg||D5G1;|pin@53||I
3804 Eg2||D5G1;|pin@52||I
3805 Eg3||D5G1;|pin@0||I
3806 X
3807
3808 # Cell nms3_2sy;1{sch}
3809 Cnms3_2sy;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3810 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||8|-5.5|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3811 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||8|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3812 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@2||1.5|-19.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3.
3813 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@3||-6.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3814 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@4||-6.5|-11|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3815 Ngeneric:Facet-Center|art@0||0|0||||AV
3816 NOff-Page|conn@0||-14.5|-5.5||||
3817 NOff-Page|conn@1||-12.5|-19.5||||
3818 NOff-Page|conn@2||16|-5.5|||YRR|
3819 NOff-Page|conn@3||16.5|0||||
3820 NGround|gnd@0||1.5|-25||||
3821 Inms3_2sy;1{ic}|nms3_2sy@0||26.75|7.75|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S1
3822 NWire_Pin|pin@0||8|0||||
3823 NWire_Pin|pin@1||8|-15||||
3824 NWire_Pin|pin@2||-6.5|-15||||
3825 NWire_Pin|pin@3||1.5|-15||||
3826 NWire_Pin|pin@4||-2|-5.5||||
3827 NWire_Pin|pin@5||3.5|-11||||
3828 NWire_Pin|pin@6||3.5|-5.5||||
3829 NWire_Pin|pin@7||-2|-11||||
3830 NWire_Pin|pin@8||-6.5|0||||
3831 Ngeneric:Invisible-Pin|pin@9||-4|9|||||ART_message(D5G2;)S[fixed-size N-type three-stack where two inputs are symmetric]
3832 Ngeneric:Invisible-Pin|pin@10||-4|14|||||ART_message(D5G6;)S[nms3_2sy]
3833 Awire|net@0|||900|NMOS@2|s|1.5|-21.5|gnd@0||1.5|-23
3834 Awire|net@1|||0|NMOS@3|g|-9.5|-5.5|conn@0|y|-12.5|-5.5
3835 Awire|net@2|||1800|NMOS@0|g|11|-5.5|conn@2|y|14|-5.5
3836 Awire|net@3|||0|NMOS@2|g|-1.5|-19.5|conn@1|y|-10.5|-19.5
3837 Awire|net@4|||1800|NMOS@3|g|-9.5|-5.5|pin@4||-2|-5.5
3838 Awire|net@5|||0|NMOS@0|g|11|-5.5|pin@6||3.5|-5.5
3839 Awire|net@6|||2700|NMOS@1|d|8|-9|NMOS@0|s|8|-7.5
3840 Awire|net@7|||900|pin@0||8|0|NMOS@0|d|8|-3.5
3841 Awire|net@8|||0|conn@3|a|14.5|0|pin@0||8|0
3842 Awire|net@9|||0|pin@0||8|0|pin@8||-6.5|0
3843 Awire|net@10|||2700|pin@1||8|-15|NMOS@1|s|8|-13
3844 Awire|net@11|||0|NMOS@1|g|5|-11|pin@5||3.5|-11
3845 Awire|net@12|||0|pin@1||8|-15|pin@3||1.5|-15
3846 Awire|net@13|||900|NMOS@4|s|-6.5|-13|pin@2||-6.5|-15
3847 Awire|net@14|||1800|pin@2||-6.5|-15|pin@3||1.5|-15
3848 Awire|net@15|||900|pin@3||1.5|-15|NMOS@2|d|1.5|-17.5
3849 Awire|net@16|||900|pin@8||-6.5|0|NMOS@3|d|-6.5|-3.5
3850 Awire|net@17|||900|NMOS@3|s|-6.5|-7.5|NMOS@4|d|-6.5|-9
3851 Awire|net@18|||1800|NMOS@4|g|-3.5|-11|pin@7||-2|-11
3852 Awire|net@19|||3150|pin@5||3.5|-11|pin@4||-2|-5.5
3853 Awire|net@20|||2250|pin@7||-2|-11|pin@6||3.5|-5.5
3854 Ed||D5G2;|conn@3|y|O
3855 Eg||D5G2;|conn@1|a|I
3856 Eg2||D5G2;|conn@2|a|I
3857 Eg3||D5G2;|conn@0|a|I
3858 X
3859
3860 # Cell nms3_sy3;1{ic}
3861 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX-8.5;Y1;)S1|prototype_center()I[28000,-16000]
3862 Ngeneric:Facet-Center|art@0||0|0||||AV
3863 NPin|pin@0||10|8|1|1|Y|
3864 NPin|pin@1||7.75|8|1|1||
3865 NPin|pin@2||3.5|0|1|1|Y|
3866 NPin|pin@3||6|0|1|1|Y|
3867 NPin|pin@4||6|4|1|1||
3868 NPin|pin@5||3.5|4|1|1||
3869 NPin|pin@6||7.75|0|1|1|Y|
3870 NPin|pin@7||10|0|1|1||
3871 NPin|pin@8||10|4|1|1||
3872 NPin|pin@9||7.75|4|1|1|Y|
3873 NPin|pin@10||3.5|8|1|1||
3874 NPin|pin@11||6|8|1|1||
3875 NPin|pin@12||8.5|7|1|1||
3876 NPin|pin@13||8.5|5|1|1||
3877 NPin|pin@14||8.5|1|1|1||
3878 NPin|pin@15||8.5|3|1|1||
3879 NPin|pin@16||0|3|1|1||
3880 NPin|pin@17||0|1|1|1||
3881 NPin|pin@18||5|1|1|1||
3882 NPin|pin@19||5|3|1|1||
3883 NPin|pin@20||5|7|1|1||
3884 NPin|pin@21||5|5|1|1||
3885 NPin|pin@22||1|0|1|1|Y|
3886 NPin|pin@23||-1.5|0|1|1|Y|
3887 NPin|pin@24||2.75|8|1|1||
3888 NPin|pin@25||2.75|0|1|1|Y|
3889 NPin|pin@26||-1.5|4|1|1||
3890 NPin|pin@27||1|4|1|1||
3891 NPin|pin@28||1|8|1|1||
3892 NPin|pin@29||-1.5|8|1|1||
3893 NPin|pin@30||5|11||||
3894 NPin|pin@31||5|10|1|1||
3895 NPin|pin@32||5|-3|1|1||
3896 NPin|pin@33||0|-1|1|1|Y|
3897 NPin|pin@34||0|-2||||
3898 NPin|pin@35||5|-2||||
3899 NPin|pin@36||5|-1|1|1|Y|
3900 NPin|pin@37||8.5|-1|1|1|Y|
3901 NPin|pin@38||8.5|-2||||
3902 NPin|pin@39||8.5|-2|1|1|Y|
3903 NPin|pin@40||8.5|10|1|1||
3904 NPin|pin@41||8.5|10||||
3905 NPin|pin@42||8.5|9|1|1||
3906 NPin|pin@43||5|9|1|1||
3907 NPin|pin@44||5|10||||
3908 NPin|pin@45||0|5|1|1||
3909 NPin|pin@46||11.5|4|1|1|RR|
3910 NPin|pin@47||10|4|||RR|
3911 NPin|pin@48||10|8|||RR|
3912 NPin|pin@49||10.75|8|1|1|RR|
3913 NPin|pin@50||10.75|0||||
3914 NPin|pin@51||10|0|1|1|Y|
3915 NPin|pin@52||2.75|0|1|1|Y|
3916 NPin|pin@53||3.5|0||||
3917 NPin|pin@54||3.5|8||||
3918 NPin|pin@55||2.75|8|1|1|Y|
3919 NPin|pin@56||2.75|4|1|1|Y|
3920 NPin|pin@57||3.5|4||||
3921 NPin|pin@58||8.5|9|1|1|YRR|
3922 NPin|pin@59||9.25|9|1|1|YRR|
3923 NPin|pin@60||9.25|7|1|1|YRR|
3924 NPin|pin@61||8.5|7|1|1|YRR|
3925 NPin|pin@62||8.5|-1|1|1|YRR|
3926 NPin|pin@63||9.25|-1|1|1|YRR|
3927 NPin|pin@64||9.25|1|1|1|YRR|
3928 NPin|pin@65||8.5|1|1|1|YRR|
3929 NPin|pin@66||8.5|5|1|1||
3930 NPin|pin@67||9.25|5|1|1||
3931 NPin|pin@68||9.25|3|1|1||
3932 NPin|pin@69||8.5|3|1|1||
3933 NPin|pin@70||10|3|1|1||
3934 NPin|pin@71||10|5|1|1||
3935 NPin|pin@72||10|9|1|1||
3936 NPin|pin@73||10|7|1|1||
3937 NPin|pin@74||10|-1|1|1||
3938 NPin|pin@75||10|1|1|1||
3939 NPin|pin@76||3.5|1|1|1|YRR|
3940 NPin|pin@77||3.5|-1|1|1|YRR|
3941 NPin|pin@78||3.5|7|1|1|YRR|
3942 NPin|pin@79||3.5|9|1|1|YRR|
3943 NPin|pin@80||3.5|5|1|1|YRR|
3944 NPin|pin@81||3.5|3|1|1|YRR|
3945 NPin|pin@82||5|3|1|1|YRR|
3946 NPin|pin@83||4.25|3|1|1|YRR|
3947 NPin|pin@84||4.25|5|1|1|YRR|
3948 NPin|pin@85||5|5|1|1|YRR|
3949 NPin|pin@86||5|1|1|1||
3950 NPin|pin@87||4.25|1|1|1||
3951 NPin|pin@88||4.25|-1|1|1||
3952 NPin|pin@89||5|-1|1|1||
3953 NPin|pin@90||5|7|1|1||
3954 NPin|pin@91||4.25|7|1|1||
3955 NPin|pin@92||4.25|9|1|1||
3956 NPin|pin@93||5|9|1|1||
3957 NPin|pin@94||0|10||||
3958 NPin|pin@95||0|9|1|1||
3959 NPin|pin@96||-0.75|9|1|1||
3960 NPin|pin@97||-0.75|7|1|1||
3961 NPin|pin@98||0|7|1|1||
3962 NPin|pin@99||-1.5|7|1|1||
3963 NPin|pin@100||-1.5|9|1|1||
3964 NPin|pin@101||-3|8|||RR|
3965 NPin|pin@102||-1.5|8|1|1|RR|
3966 Ngeneric:Invisible-Pin|pin@103||-3|8||||
3967 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
3968 Nschematic:Bus_Pin|pin@105||-3|0|-2|-2||
3969 Nschematic:Bus_Pin|pin@106||11.5|4|-2|-2||
3970 NPin|pin@107||-1.5|0|1|1|RR|
3971 NPin|pin@108||-3|0|||RR|
3972 NPin|pin@109||6|-3|1|1||
3973 NPin|pin@110||5|-4||||
3974 NPin|pin@111||4|-3|1|1||
3975 NPin|pin@112||-1.5|1|1|1||
3976 NPin|pin@113||-1.5|-1|1|1||
3977 NPin|pin@114||0|-1|1|1||
3978 NPin|pin@115||-0.75|-1|1|1||
3979 NPin|pin@116||-0.75|1|1|1||
3980 NPin|pin@117||0|1|1|1||
3981 NPin|pin@118||0|5|1|1|YRR|
3982 NPin|pin@119||-0.75|5|1|1|YRR|
3983 NPin|pin@120||-0.75|3|1|1|YRR|
3984 NPin|pin@121||0|3|1|1|YRR|
3985 NPin|pin@122||-1.5|3|1|1|YRR|
3986 NPin|pin@123||-1.5|5|1|1|YRR|
3987 NPin|pin@124||-1.5|4||||
3988 NPin|pin@125||-2.25|4|1|1|Y|
3989 ASolid|net@0|||FS777|pin@1||7.75|8|pin@3||6|0|ART_color()I10
3990 ASolid|net@1|||FS0|pin@0||10|8|pin@1||7.75|8|ART_color()I10
3991 ASolid|net@2|||FS0|pin@3||6|0|pin@2||3.5|0|ART_color()I10
3992 ASolid|net@3|||FS2936|pin@6||7.75|0|pin@4||6|4|ART_color()I10
3993 ASolid|net@4|||FS0|pin@7||10|0|pin@6||7.75|0|ART_color()I10
3994 ASolid|net@5|||FS0|pin@4||6|4|pin@5||3.5|4|ART_color()I10
3995 ASolid|net@6|||FS0|pin@8||10|4|pin@9||7.75|4|ART_color()I10
3996 ASolid|net@7|||FS2936|pin@9||7.75|4|pin@11||6|8|ART_color()I10
3997 ASolid|net@8|||FS0|pin@11||6|8|pin@10||3.5|8|ART_color()I10
3998 AThicker|net@9|||FS2700|pin@13||8.5|5|pin@12||8.5|7|ART_color()I10
3999 AThicker|net@10|||FS2700|pin@14||8.5|1|pin@15||8.5|3|ART_color()I10
4000 AThicker|net@11|||FS2700|pin@17||0|1|pin@16||0|3|ART_color()I10
4001 AThicker|net@12|||FS2700|pin@18||5|1|pin@19||5|3|ART_color()I10
4002 AThicker|net@13|||FS2700|pin@21||5|5|pin@20||5|7|ART_color()I10
4003 ASolid|net@14|||FS0|pin@22||1|0|pin@23||-1.5|0|ART_color()I10
4004 ASolid|net@15|||FS777|pin@24||2.75|8|pin@22||1|0|ART_color()I10
4005 ASolid|net@16|||FS2936|pin@25||2.75|0|pin@27||1|4|ART_color()I10
4006 ASolid|net@17|||FS0|pin@27||1|4|pin@26||-1.5|4|ART_color()I10
4007 AThicker|net@18|||FS2700|pin@45||0|5|pin@98||0|7|ART_color()I10
4008 ASolid|net@19|||FS2936|pin@56||2.75|4|pin@28||1|8|ART_color()I10
4009 ASolid|net@20|||FS0|pin@28||1|8|pin@29||-1.5|8|ART_color()I10
4010 AThicker|net@21|||FS900|pin@30||5|11|pin@31||5|10|ART_color()I10
4011 AThicker|net@22|||FS2700|pin@32||5|-3|pin@35||5|-2|ART_color()I10
4012 AThicker|net@23|||FS1800|pin@111||4|-3|pin@32||5|-3|ART_color()I10
4013 AThicker|net@24|||FS1800|pin@32||5|-3|pin@109||6|-3|ART_color()I10
4014 AThicker|net@25|||FS1800|pin@34||0|-2|pin@39||8.5|-2|ART_color()I10
4015 AThicker|net@26|||FS2700|pin@38||8.5|-2|pin@37||8.5|-1|ART_color()I10
4016 AThicker|net@27|||FS2700|pin@34||0|-2|pin@33||0|-1|ART_color()I10
4017 AThicker|net@28|||FS2700|pin@35||5|-2|pin@36||5|-1|ART_color()I10
4018 AThicker|net@29|||FS1800|pin@94||0|10|pin@40||8.5|10|ART_color()I10
4019 AThicker|net@30|||FS900|pin@41||8.5|10|pin@42||8.5|9|ART_color()I10
4020 AThicker|net@31|||FS900|pin@44||5|10|pin@43||5|9|ART_color()I10
4021 AThicker|net@32|||FS1800|pin@47||10|4|pin@46||11.5|4|ART_color()I10
4022 AThicker|net@33|||FS1800|pin@48||10|8|pin@49||10.75|8|ART_color()I10
4023 AThicker|net@34|||FS0|pin@50||10.75|0|pin@51||10|0|ART_color()I10
4024 AThicker|net@35|||FS0|pin@53||3.5|0|pin@52||2.75|0|ART_color()I10
4025 AThicker|net@36|||FS0|pin@54||3.5|8|pin@55||2.75|8|ART_color()I10
4026 AThicker|net@37|||FS0|pin@57||3.5|4|pin@56||2.75|4|ART_color()I10
4027 AThicker|net@38|||FS0|pin@63||9.25|-1|pin@62||8.5|-1|ART_color()I10
4028 AThicker|net@39|||FS1800|pin@66||8.5|5|pin@67||9.25|5|ART_color()I10
4029 AThicker|net@40|||FS900|pin@72||10|9|pin@73||10|7|ART_color()I10
4030 AThicker|net@41|||FS1800|pin@58||8.5|9|pin@59||9.25|9|ART_color()I10
4031 AThicker|net@42|||FS900|pin@59||9.25|9|pin@60||9.25|7|ART_color()I10
4032 AThicker|net@43|||FS0|pin@60||9.25|7|pin@61||8.5|7|ART_color()I10
4033 AThicker|net@44|||FS900|pin@71||10|5|pin@70||10|3|ART_color()I10
4034 AThicker|net@45|||FS900|pin@67||9.25|5|pin@68||9.25|3|ART_color()I10
4035 AThicker|net@46|||FS0|pin@68||9.25|3|pin@69||8.5|3|ART_color()I10
4036 AThicker|net@47|||FS900|pin@75||10|1|pin@74||10|-1|ART_color()I10
4037 AThicker|net@48|||FS900|pin@64||9.25|1|pin@63||9.25|-1|ART_color()I10
4038 AThicker|net@49|||FS1800|pin@65||8.5|1|pin@64||9.25|1|ART_color()I10
4039 AThicker|net@50|||FS900|pin@76||3.5|1|pin@77||3.5|-1|ART_color()I10
4040 AThicker|net@51|||FS900|pin@79||3.5|9|pin@78||3.5|7|ART_color()I10
4041 AThicker|net@52|||FS900|pin@80||3.5|5|pin@81||3.5|3|ART_color()I10
4042 AThicker|net@53|||FS1800|pin@83||4.25|3|pin@82||5|3|ART_color()I10
4043 AThicker|net@54|||FS900|pin@92||4.25|9|pin@91||4.25|7|ART_color()I10
4044 AThicker|net@55|||FS1800|pin@91||4.25|7|pin@90||5|7|ART_color()I10
4045 AThicker|net@56|||FS0|pin@85||5|5|pin@84||4.25|5|ART_color()I10
4046 AThicker|net@57|||FS900|pin@84||4.25|5|pin@83||4.25|3|ART_color()I10
4047 AThicker|net@58|||FS0|pin@93||5|9|pin@92||4.25|9|ART_color()I10
4048 AThicker|net@59|||FS1800|pin@88||4.25|-1|pin@89||5|-1|ART_color()I10
4049 AThicker|net@60|||FS0|pin@86||5|1|pin@87||4.25|1|ART_color()I10
4050 AThicker|net@61|||FS900|pin@87||4.25|1|pin@88||4.25|-1|ART_color()I10
4051 AThicker|net@62|||FS900|pin@100||-1.5|9|pin@99||-1.5|7|ART_color()I10
4052 AThicker|net@63|||FS900|pin@94||0|10|pin@95||0|9|ART_color()I10
4053 AThicker|net@64|||FS0|pin@95||0|9|pin@96||-0.75|9|ART_color()I10
4054 AThicker|net@65|||FS1800|pin@97||-0.75|7|pin@98||0|7|ART_color()I10
4055 AThicker|net@66|||FS1800|pin@101||-3|8|pin@102||-1.5|8|ART_color()I10
4056 AThicker|net@67|||FS900|pin@96||-0.75|9|pin@97||-0.75|7|ART_color()I10
4057 AThicker|net@68|||FS900|pin@112||-1.5|1|pin@113||-1.5|-1|ART_color()I10
4058 AThicker|net@69|||FS1800|pin@115||-0.75|-1|pin@114||0|-1|ART_color()I10
4059 AThicker|net@70|||FS900|pin@116||-0.75|1|pin@115||-0.75|-1|ART_color()I10
4060 AThicker|net@71|||FS0|pin@117||0|1|pin@116||-0.75|1|ART_color()I10
4061 AThicker|net@72|||FS1350|pin@111||4|-3|pin@110||5|-4|ART_color()I10
4062 AThicker|net@73|||FS2250|pin@110||5|-4|pin@109||6|-3|ART_color()I10
4063 AThicker|net@74|||FS1800|pin@108||-3|0|pin@107||-1.5|0|ART_color()I10
4064 AThicker|net@75|||FS1800|pin@120||-0.75|3|pin@121||0|3|ART_color()I10
4065 AThicker|net@76|||FS0|pin@118||0|5|pin@119||-0.75|5|ART_color()I10
4066 AThicker|net@77|||FS900|pin@123||-1.5|5|pin@122||-1.5|3|ART_color()I10
4067 AThicker|net@78|||FS900|pin@119||-0.75|5|pin@120||-0.75|3|ART_color()I10
4068 AThicker|net@79|||FS0|pin@124||-1.5|4|pin@125||-2.25|4|ART_color()I10
4069 Ed||D5G1;|pin@104||O
4070 Eg||D5G1;|pin@105||I
4071 Eg2||D5G1;|pin@106||I
4072 Eg3||D5G1;|pin@103||I
4073 X
4074
4075 # Cell nms3_sy3;1{sch}
4076 Cnms3_sy3;1{sch}||schematic|1021415734000|1157754726659||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4077 Ngeneric:Facet-Center|art@0||0|0||||AV
4078 NOff-Page|conn@0||-7|0||||
4079 NOff-Page|conn@1||-7|-8||||
4080 NOff-Page|conn@2||42.5|4||||
4081 NOff-Page|conn@3||45.5|-4|||YRR|
4082 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4083 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4084 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4085 Inms3_sy3;1{ic}|nms3_sy3@0||45|13|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX-8.5;Y1;)S1
4086 NWire_Pin|pin@0||41|-4||||
4087 NWire_Pin|pin@1||41|0||||
4088 NWire_Pin|pin@2||36|4||||
4089 NWire_Pin|pin@3||32|-4||||
4090 NWire_Pin|pin@4||28|0||||
4091 NWire_Pin|pin@5||23.5|-4||||
4092 NWire_Pin|pin@6||27.5|-8||||
4093 NWire_Pin|pin@7||23.5|-8||||
4094 NWire_Pin|pin@8||31.5|0||||
4095 NWire_Pin|pin@9||19|4||||
4096 NWire_Pin|pin@10||2|4||||
4097 NWire_Pin|pin@11||14.5|0||||
4098 NWire_Pin|pin@12||6.5|-8||||
4099 NWire_Pin|pin@13||10.5|-8||||
4100 NWire_Pin|pin@14||6.5|-4||||
4101 NWire_Pin|pin@15||14|-4||||
4102 NWire_Pin|pin@16||10|0||||
4103 Ngeneric:Invisible-Pin|pin@17||8|12.5|||||ART_message(D5G2;)S[three 3-way symmetric fixed-size N-type transistors to GND]
4104 Ngeneric:Invisible-Pin|pin@18||8|17|||||ART_message(D5G6;)S[nms3_sy3]
4105 Awire|net@0|||0|conn@3|y|43.5|-4|pin@0||41|-4
4106 Awire|net@1|||2700|pin@0||41|-4|pin@1||41|0
4107 Awire|net@2|||0|pin@1||41|0|nms3@0|g3|33|0
4108 Awire|net@3|||1800|pin@2||36|4|conn@2|a|40.5|4
4109 Awire|net@4|||2700|nms3@0|d|36|2|pin@2||36|4
4110 Awire|net@5|||0|pin@2||36|4|pin@9||19|4
4111 Awire|net@6|||0|nms3@0|g2|39|-4|pin@3||32|-4
4112 Awire|net@7|||3150|pin@3||32|-4|pin@4||28|0
4113 Awire|net@8|||0|pin@4||28|0|nms3@1|g3|16|0
4114 Awire|net@9|||0|nms3@0|g3|33|0|pin@8||31.5|0
4115 Awire|net@10|||1800|pin@6||27.5|-8|nms3@0|g|33|-8
4116 Awire|net@11|||1800|nms3@1|g2|22|-4|pin@5||23.5|-4
4117 Awire|net@12|||3150|pin@6||27.5|-8|pin@5||23.5|-4
4118 Awire|net@13|||1800|nms3@1|g|16|-8|pin@7||23.5|-8
4119 Awire|net@14|||450|pin@8||31.5|0|pin@7||23.5|-8
4120 Awire|net@15|||2700|nms3@1|d|19|2|pin@9||19|4
4121 Awire|net@16|||0|pin@9||19|4|pin@10||2|4
4122 Awire|net@17|||900|pin@10||2|4|nms3@2|d|2|2
4123 Awire|net@18|||0|nms3@2|g3|-1|0|conn@0|y|-5|0
4124 Awire|net@19|||0|nms3@2|g|-1|-8|conn@1|y|-5|-8
4125 Awire|net@20|||0|nms3@1|g3|16|0|pin@11||14.5|0
4126 Awire|net@21|||450|pin@11||14.5|0|pin@12||6.5|-8
4127 Awire|net@22|||0|pin@12||6.5|-8|nms3@2|g|-1|-8
4128 Awire|net@23|||0|nms3@1|g|16|-8|pin@13||10.5|-8
4129 Awire|net@24|||3150|pin@13||10.5|-8|pin@14||6.5|-4
4130 Awire|net@25|||0|pin@14||6.5|-4|nms3@2|g2|5|-4
4131 Awire|net@26|||0|nms3@1|g2|22|-4|pin@15||14|-4
4132 Awire|net@27|||3150|pin@15||14|-4|pin@16||10|0
4133 Awire|net@28|||0|pin@16||10|0|nms3@2|g3|-1|0
4134 Ed||D5G2;|conn@2|y|O
4135 Eg||D5G2;|conn@1|a|I
4136 Eg2||D5G2;|conn@3|a|I
4137 Eg3||D5G2;|conn@0|y|I
4138 X
4139
4140 # Cell nms3_sy6;1{ic}
4141 Cnms3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOJPX-8.5;Y1;)SLE.getdrive()|prototype_center()I[28000,-16000]
4142 NPin|pin@18||5|1|1|1||
4143 NPin|pin@19||5|3|1|1||
4144 NPin|pin@20||5|7|1|1||
4145 NPin|pin@21||5|5|1|1||
4146 NPin|pin@30||5|11||||
4147 NPin|pin@31||5|10|1|1||
4148 NPin|pin@32||5|-3|1|1||
4149 NPin|pin@35||5|-2||||
4150 NPin|pin@36||5|-1|1|1|Y|
4151 NPin|pin@43||5|9|1|1||
4152 NPin|pin@44||5|10||||
4153 NPin|pin@52||2.5|0|1|1|Y|
4154 NPin|pin@53||3.5|0||||
4155 NPin|pin@54||3.5|8||||
4156 NPin|pin@55||2.5|8|1|1|Y|
4157 NPin|pin@56||7.5|4|1|1|XY|
4158 NPin|pin@57||6.5|4||||
4159 NPin|pin@76||3.5|1|1|1|YRR|
4160 NPin|pin@77||3.5|-1|1|1|YRR|
4161 NPin|pin@78||3.5|7|1|1|YRR|
4162 NPin|pin@79||3.5|9|1|1|YRR|
4163 NPin|pin@80||6.5|5|1|1|XYRR|
4164 NPin|pin@81||6.5|3|1|1|XYRR|
4165 NPin|pin@82||5|3|1|1|YRR|
4166 NPin|pin@83||5.75|3|1|1|YRR|
4167 NPin|pin@84||5.75|5|1|1|YRR|
4168 NPin|pin@85||5|5|1|1|YRR|
4169 NPin|pin@86||5|1|1|1||
4170 NPin|pin@87||4.25|1|1|1||
4171 NPin|pin@88||4.25|-1|1|1||
4172 NPin|pin@89||5|-1|1|1||
4173 NPin|pin@90||5|7|1|1||
4174 NPin|pin@91||4.25|7|1|1||
4175 NPin|pin@92||4.25|9|1|1||
4176 NPin|pin@93||5|9|1|1||
4177 Ngeneric:Invisible-Pin|pin@103||2.5|8||||
4178 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
4179 Nschematic:Bus_Pin|pin@105||2.5|0|-2|-2||
4180 Nschematic:Bus_Pin|pin@106||7.5|4|-2|-2||
4181 NPin|pin@109||6|-3|1|1||
4182 NPin|pin@110||5|-4||||
4183 NPin|pin@111||4|-3|1|1||
4184 Ngeneric:Invisible-Pin|pin@126||3.25|4|||||ART_message(D5G1;)S[6-way,sym]
4185 AThicker|net@12|||FS900|pin@19||5|3|pin@18||5|1|ART_color()I10
4186 AThicker|net@13|||FS900|pin@20||5|7|pin@21||5|5|ART_color()I10
4187 AThicker|net@21|||FS2700|pin@31||5|10|pin@30||5|11|ART_color()I10
4188 AThicker|net@22|||FS900|pin@35||5|-2|pin@32||5|-3|ART_color()I10
4189 AThicker|net@23|||FS0|pin@32||5|-3|pin@111||4|-3|ART_color()I10
4190 AThicker|net@24|||FS0|pin@109||6|-3|pin@32||5|-3|ART_color()I10
4191 AThicker|net@28|||FS900|pin@36||5|-1|pin@35||5|-2|ART_color()I10
4192 AThicker|net@31|||FS2700|pin@43||5|9|pin@44||5|10|ART_color()I10
4193 AThicker|net@35|||FS1800|pin@52||2.5|0|pin@53||3.5|0|ART_color()I10
4194 AThicker|net@36|||FS1800|pin@55||2.5|8|pin@54||3.5|8|ART_color()I10
4195 AThicker|net@37|||FS0|pin@56||7.5|4|pin@57||6.5|4|ART_color()I10
4196 AThicker|net@50|||FS2700|pin@77||3.5|-1|pin@76||3.5|1|ART_color()I10
4197 AThicker|net@51|||FS2700|pin@78||3.5|7|pin@79||3.5|9|ART_color()I10
4198 AThicker|net@52|||FS2700|pin@81||6.5|3|pin@80||6.5|5|ART_color()I10
4199 AThicker|net@53|||FS1800|pin@82||5|3|pin@83||5.75|3|ART_color()I10
4200 AThicker|net@54|||FS2700|pin@91||4.25|7|pin@92||4.25|9|ART_color()I10
4201 AThicker|net@55|||FS0|pin@90||5|7|pin@91||4.25|7|ART_color()I10
4202 AThicker|net@56|||FS0|pin@84||5.75|5|pin@85||5|5|ART_color()I10
4203 AThicker|net@57|||FS2700|pin@83||5.75|3|pin@84||5.75|5|ART_color()I10
4204 AThicker|net@58|||FS1800|pin@92||4.25|9|pin@93||5|9|ART_color()I10
4205 AThicker|net@59|||FS0|pin@89||5|-1|pin@88||4.25|-1|ART_color()I10
4206 AThicker|net@60|||FS1800|pin@87||4.25|1|pin@86||5|1|ART_color()I10
4207 AThicker|net@61|||FS2700|pin@88||4.25|-1|pin@87||4.25|1|ART_color()I10
4208 AThicker|net@72|||FS3150|pin@110||5|-4|pin@111||4|-3|ART_color()I10
4209 AThicker|net@73|||FS450|pin@109||6|-3|pin@110||5|-4|ART_color()I10
4210 Ed||D5G1;|pin@104||O
4211 Eg||D5G1;|pin@105||I
4212 Eg2||D5G1;|pin@106||I
4213 Eg3||D5G1;|pin@103||I
4214 X
4215
4216 # Cell nms3_sy6;1{sch}
4217 Cnms3_sy6;1{sch}||schematic|1021415734000|1112291892397||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOJPX-9.5;Y-15.5;)SLE.getdrive()|prototype_center()I[0,0]
4218 Ngeneric:Facet-Center|art@0||0|0||||AV
4219 NOff-Page|conn@0||-7|0||||
4220 NOff-Page|conn@1||-7|-8||||
4221 NOff-Page|conn@2||98.5|4||||
4222 NOff-Page|conn@3||98.5|-4|||YRR|
4223 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4224 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4225 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4226 Inms3;1{ic}|nms3@3||53|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4227 Inms3;1{ic}|nms3@4||70|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4228 Inms3;1{ic}|nms3@5||87|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4229 Inms3_sy6;1{ic}|nms3_sy3@0||69.5|13.5|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)SLE.getdrive()
4230 NWire_Pin|pin@0||93.5|-4||||
4231 NWire_Pin|pin@1||93.5|-8||||
4232 NWire_Pin|pin@2||36|4||||
4233 NWire_Pin|pin@3||32|-4||||
4234 NWire_Pin|pin@4||28|0||||
4235 NWire_Pin|pin@5||23.5|-4||||
4236 NWire_Pin|pin@6||27.5|-8||||
4237 NWire_Pin|pin@7||23.5|-8||||
4238 NWire_Pin|pin@8||31.5|0||||
4239 NWire_Pin|pin@9||19|4||||
4240 NWire_Pin|pin@10||2|4||||
4241 NWire_Pin|pin@11||14.5|0||||
4242 NWire_Pin|pin@12||6.5|-8||||
4243 NWire_Pin|pin@13||10.5|-8||||
4244 NWire_Pin|pin@14||6.5|-4||||
4245 NWire_Pin|pin@15||14|-4||||
4246 NWire_Pin|pin@16||10|0||||
4247 Ngeneric:Invisible-Pin|pin@17||32.5|13|||||ART_message(D5G2;)Sthree 6-way symmetric fixed-size N-type transistors to GND
4248 Ngeneric:Invisible-Pin|pin@18||32.5|17.5|||||ART_message(D5G6;)Snms3_sy6
4249 NWire_Pin|pin@23||46|-4||||
4250 NWire_Pin|pin@24||42|0||||
4251 NWire_Pin|pin@25||42|-4|||X|
4252 NWire_Pin|pin@26||46|0|||X|
4253 NWire_Pin|pin@27||53|4||||
4254 NWire_Pin|pin@28||59|-4|||X|
4255 NWire_Pin|pin@29||63|0|||X|
4256 NWire_Pin|pin@30||63|-4|||X|
4257 NWire_Pin|pin@31||59|-8|||X|
4258 NWire_Pin|pin@32||65|-8|||X|
4259 NWire_Pin|pin@33||57|0|||X|
4260 NWire_Pin|pin@34||70|4||||
4261 NWire_Pin|pin@35||87|4||||
4262 NWire_Pin|pin@36||80|-4|||X|
4263 NWire_Pin|pin@37||76|-8|||X|
4264 NWire_Pin|pin@38||80|0|||X|
4265 NWire_Pin|pin@39||76|-4|||X|
4266 NWire_Pin|pin@40||82.5|-8|||X|
4267 NWire_Pin|pin@41||74.5|0|||X|
4268 Awire|net@0|||1800|pin@0||93.5|-4|conn@3|y|96.5|-4
4269 Awire|net@1|||2700|pin@1||93.5|-8|pin@0||93.5|-4
4270 Awire|net@3|||0|pin@35||87|4|pin@34||70|4
4271 Awire|net@4|||900|pin@2||36|4|nms3@0|d|36|2
4272 Awire|net@5|||1800|pin@9||19|4|pin@2||36|4
4273 Awire|net@6|||1800|pin@3||32|-4|nms3@0|g2|39|-4
4274 Awire|net@7|||1350|pin@4||28|0|pin@3||32|-4
4275 Awire|net@8|||1800|nms3@1|g3|16|0|pin@4||28|0
4276 Awire|net@9|||1800|pin@8||31.5|0|nms3@0|g3|33|0
4277 Awire|net@10|||0|nms3@0|g|33|-8|pin@6||27.5|-8
4278 Awire|net@11|||0|pin@5||23.5|-4|nms3@1|g2|22|-4
4279 Awire|net@12|||1350|pin@5||23.5|-4|pin@6||27.5|-8
4280 Awire|net@13|||0|pin@7||23.5|-8|nms3@1|g|16|-8
4281 Awire|net@14|||2250|pin@7||23.5|-8|pin@8||31.5|0
4282 Awire|net@15|||900|pin@9||19|4|nms3@1|d|19|2
4283 Awire|net@16|||1800|pin@10||2|4|pin@9||19|4
4284 Awire|net@17|||2700|nms3@2|d|2|2|pin@10||2|4
4285 Awire|net@18|||1800|conn@0|y|-5|0|nms3@2|g3|-1|0
4286 Awire|net@19|||1800|conn@1|y|-5|-8|nms3@2|g|-1|-8
4287 Awire|net@20|||1800|pin@11||14.5|0|nms3@1|g3|16|0
4288 Awire|net@21|||2250|pin@12||6.5|-8|pin@11||14.5|0
4289 Awire|net@22|||1800|nms3@2|g|-1|-8|pin@12||6.5|-8
4290 Awire|net@23|||1800|pin@13||10.5|-8|nms3@1|g|16|-8
4291 Awire|net@24|||1350|pin@14||6.5|-4|pin@13||10.5|-8
4292 Awire|net@25|||1800|nms3@2|g2|5|-4|pin@14||6.5|-4
4293 Awire|net@26|||1800|pin@15||14|-4|nms3@1|g2|22|-4
4294 Awire|net@27|||1350|pin@16||10|0|pin@15||14|-4
4295 Awire|net@28|||1800|nms3@2|g3|-1|0|pin@16||10|0
4296 Awire|net@29|||1800|nms3@0|g|33|-8|nms3@3|g|50|-8
4297 Awire|net@34|||1350|pin@24||42|0|pin@23||46|-4
4298 Awire|net@35|||0|pin@24||42|0|nms3@0|g3|33|0
4299 Awire|net@36|||1800|pin@23||46|-4|nms3@3|g2|56|-4
4300 Awire|net@37|||450|pin@26||46|0|pin@25||42|-4
4301 Awire|net@38|||0|pin@25||42|-4|nms3@0|g2|39|-4
4302 Awire|net@39|||1800|pin@26||46|0|nms3@3|g3|50|0
4303 Awire|net@40|||0|pin@27||53|4|pin@2||36|4
4304 Awire|net@41|||2700|nms3@3|d|53|2|pin@27||53|4
4305 Awire|net@42|||450|pin@29||63|0|pin@28||59|-4
4306 Awire|net@43|||0|pin@28||59|-4|nms3@3|g2|56|-4
4307 Awire|net@44|||1800|pin@29||63|0|nms3@4|g3|67|0
4308 Awire|net@45|||450|pin@30||63|-4|pin@31||59|-8
4309 Awire|net@46|||0|pin@31||59|-8|nms3@3|g|50|-8
4310 Awire|net@47|||1800|pin@30||63|-4|nms3@4|g2|73|-4
4311 Awire|net@48|||3150|pin@32||65|-8|pin@33||57|0
4312 Awire|net@49|||1800|pin@32||65|-8|nms3@4|g|67|-8
4313 Awire|net@50|||0|pin@33||57|0|nms3@3|g3|50|0
4314 Awire|net@51|||0|pin@34||70|4|pin@27||53|4
4315 Awire|net@52|||2700|nms3@4|d|70|2|pin@34||70|4
4316 Awire|net@53|||0|conn@2|a|96.5|4|pin@35||87|4
4317 Awire|net@54|||2700|nms3@5|d|87|2|pin@35||87|4
4318 Awire|net@55|||450|pin@36||80|-4|pin@37||76|-8
4319 Awire|net@56|||0|pin@37||76|-8|nms3@4|g|67|-8
4320 Awire|net@57|||1800|pin@36||80|-4|nms3@5|g2|90|-4
4321 Awire|net@58|||450|pin@38||80|0|pin@39||76|-4
4322 Awire|net@59|||0|pin@39||76|-4|nms3@4|g2|73|-4
4323 Awire|net@60|||1800|pin@38||80|0|nms3@5|g3|84|0
4324 Awire|net@61|||3150|pin@40||82.5|-8|pin@41||74.5|0
4325 Awire|net@62|||1800|pin@40||82.5|-8|nms3@5|g|84|-8
4326 Awire|net@63|||0|pin@41||74.5|0|nms3@4|g3|67|0
4327 Awire|net@64|||0|pin@1||93.5|-8|nms3@5|g|84|-8
4328 Ed||D5G2;|conn@2|y|O
4329 Eg||D5G2;|conn@1|a|I
4330 Eg2||D5G2;|conn@3|a|I
4331 Eg3||D5G2;|conn@0|y|I
4332 X
4333
4334 # Cell nms3a;1{ic}
4335 Cnms3a;1{ic}|nms3|artwork|1021415734000|1228434950634|E|ATTR_Delay(D5G1;HNPX-7.5;Y-3.5;)I100|ATTR_X(D5G1;HNOLPX-7.5;Y-2.5;)S1|prototype_center()I[0,0]
4336 Ngeneric:Facet-Center|art@0||0|0||||AV
4337 NPin|pin@0||-3|4|1|1|Y|
4338 NPin|pin@1||-1.5|4||||
4339 NPin|pin@2||0|2|1|1|YRR|
4340 NPin|pin@3||-1.5|5|1|1|YRR|
4341 NPin|pin@4||-1.5|3|1|1|YRR|
4342 NPin|pin@5||0|3|1|1|YRR|
4343 NPin|pin@6||-0.75|3|1|1|YRR|
4344 NPin|pin@7||-0.75|5|1|1|YRR|
4345 NPin|pin@8||0|5|1|1|YRR|
4346 NPin|pin@9||0|6|||RR|
4347 NPin|pin@10||0|2|1|1||
4348 NPin|pin@11||0|1|1|1||
4349 NPin|pin@12||-0.75|1|1|1||
4350 NPin|pin@13||-0.75|-1|1|1||
4351 NPin|pin@14||0|-1|1|1||
4352 NPin|pin@15||-1.5|-1|1|1||
4353 NPin|pin@16||-1.5|1|1|1||
4354 NPin|pin@17||-1|-2|1|1||
4355 NPin|pin@18||0|-3||||
4356 NPin|pin@19||1|-2|1|1||
4357 NPin|pin@20||0|-2|1|1||
4358 NPin|pin@21||-3|0|||RR|
4359 NPin|pin@22||-1.5|0|1|1|RR|
4360 Nschematic:Bus_Pin|pin@23||-3|4|-2|-2||
4361 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4362 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4363 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4364 NPin|pin@27||-1.5|8|1|1|RR|
4365 NPin|pin@28||-3|8|||RR|
4366 NPin|pin@29||0|6|1|1||
4367 NPin|pin@30||-1.5|9|1|1||
4368 NPin|pin@31||-1.5|7|1|1||
4369 NPin|pin@32||0|7|1|1||
4370 NPin|pin@33||-0.75|7|1|1||
4371 NPin|pin@34||-0.75|9|1|1||
4372 NPin|pin@35||0|9|1|1||
4373 NPin|pin@36||0|10||||
4374 AThicker|net@0|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I10
4375 AThicker|net@1|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I10
4376 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I10
4377 AThicker|net@3|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I10
4378 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4379 AThicker|net@5|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I10
4380 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4381 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4382 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4383 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4384 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4385 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4386 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4387 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4388 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4389 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4390 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4391 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4392 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4393 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4394 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4395 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4396 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4397 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4398 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4399 Ed||D5G1;|pin@25||O
4400 Eg||D5G1;|pin@24||I
4401 Eg2||D5G1;|pin@23||I
4402 Eg3||D5G1;|pin@26||I
4403 X
4404
4405 # Cell nms3b;1{ic}
4406 Cnms3b;1{ic}|nms3|artwork|1021415734000|1228435116714|E|ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4407 Ngeneric:Facet-Center|art@0||0|0||||AV
4408 NPin|pin@0||1.5|4|1|1|Y|
4409 NPin|pin@1||3|4||||
4410 NPin|pin@2||0|2|1|1|YRR|
4411 NPin|pin@3||1.5|5|1|1|YRR|
4412 NPin|pin@4||1.5|3|1|1|YRR|
4413 NPin|pin@5||0|3|1|1|YRR|
4414 NPin|pin@6||0.75|3|1|1|YRR|
4415 NPin|pin@7||0.75|5|1|1|YRR|
4416 NPin|pin@8||0|5|1|1|YRR|
4417 NPin|pin@9||0|6|||RR|
4418 NPin|pin@10||0|2|1|1||
4419 NPin|pin@11||0|1|1|1||
4420 NPin|pin@12||-0.75|1|1|1||
4421 NPin|pin@13||-0.75|-1|1|1||
4422 NPin|pin@14||0|-1|1|1||
4423 NPin|pin@15||-1.5|-1|1|1||
4424 NPin|pin@16||-1.5|1|1|1||
4425 NPin|pin@17||-1|-2|1|1||
4426 NPin|pin@18||0|-3||||
4427 NPin|pin@19||1|-2|1|1||
4428 NPin|pin@20||0|-2|1|1||
4429 NPin|pin@21||-3|0|||RR|
4430 NPin|pin@22||-1.5|0|1|1|RR|
4431 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4432 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4433 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4434 Ngeneric:Invisible-Pin|pin@26||3|8||||
4435 NPin|pin@27||3|8|1|1|RR|
4436 NPin|pin@28||1.5|8|||RR|
4437 NPin|pin@29||0|6|1|1||
4438 NPin|pin@30||1.5|9|1|1||
4439 NPin|pin@31||1.5|7|1|1||
4440 NPin|pin@32||0|7|1|1||
4441 NPin|pin@33||0.75|7|1|1||
4442 NPin|pin@34||0.75|9|1|1||
4443 NPin|pin@35||0|9|1|1||
4444 NPin|pin@36||0|10||||
4445 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4446 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4447 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4448 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4449 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4450 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4451 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4452 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4453 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4454 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4455 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4456 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4457 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4458 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4459 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4460 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4461 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4462 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4463 AThicker|net@18|||FS900|pin@34||0.75|9|pin@33||0.75|7|ART_color()I10
4464 AThicker|net@19|||FS1800|pin@28||1.5|8|pin@27||3|8|ART_color()I10
4465 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4466 AThicker|net@21|||FS0|pin@33||0.75|7|pin@32||0|7|ART_color()I10
4467 AThicker|net@22|||FS1800|pin@35||0|9|pin@34||0.75|9|ART_color()I10
4468 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4469 AThicker|net@24|||FS900|pin@30||1.5|9|pin@31||1.5|7|ART_color()I10
4470 Ed||D5G1;|pin@25||O
4471 Eg||D5G1;|pin@24||I
4472 Eg2||D5G1;|pin@23||I
4473 Eg3||D5G1;|pin@26||I
4474 X
4475
4476 # Cell nms3c;1{ic}
4477 Cnms3c;1{ic}|nms3|artwork|1021415734000|1228435227802|E|ATTR_Delay(D5G1;HNPX-5;Y3;)I100|ATTR_X(D5G1;HNOLPX-5;Y4;)S1|prototype_center()I[0,0]
4478 Ngeneric:Facet-Center|art@0||0|0||||AV
4479 NPin|pin@0||1.5|4|1|1|Y|
4480 NPin|pin@1||3|4||||
4481 NPin|pin@2||0|2|1|1|YRR|
4482 NPin|pin@3||1.5|5|1|1|YRR|
4483 NPin|pin@4||1.5|3|1|1|YRR|
4484 NPin|pin@5||0|3|1|1|YRR|
4485 NPin|pin@6||0.75|3|1|1|YRR|
4486 NPin|pin@7||0.75|5|1|1|YRR|
4487 NPin|pin@8||0|5|1|1|YRR|
4488 NPin|pin@9||0|6|||RR|
4489 NPin|pin@10||0|2|1|1||
4490 NPin|pin@11||0|1|1|1||
4491 NPin|pin@12||0.75|1|1|1||
4492 NPin|pin@13||0.75|-1|1|1||
4493 NPin|pin@14||0|-1|1|1||
4494 NPin|pin@15||1.5|-1|1|1||
4495 NPin|pin@16||1.5|1|1|1||
4496 NPin|pin@17||-1|-2|1|1||
4497 NPin|pin@18||0|-3||||
4498 NPin|pin@19||1|-2|1|1||
4499 NPin|pin@20||0|-2|1|1||
4500 NPin|pin@21||1.5|0|||RR|
4501 NPin|pin@22||3|0|1|1|RR|
4502 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4503 Nschematic:Bus_Pin|pin@24||3|0|-2|-2||
4504 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4505 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4506 NPin|pin@27||-1.5|8|1|1|RR|
4507 NPin|pin@28||-3|8|||RR|
4508 NPin|pin@29||0|6|1|1||
4509 NPin|pin@30||-1.5|9|1|1||
4510 NPin|pin@31||-1.5|7|1|1||
4511 NPin|pin@32||0|7|1|1||
4512 NPin|pin@33||-0.75|7|1|1||
4513 NPin|pin@34||-0.75|9|1|1||
4514 NPin|pin@35||0|9|1|1||
4515 NPin|pin@36||0|10||||
4516 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4517 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4518 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4519 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4520 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4521 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4522 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4523 AThicker|net@7|||FS1800|pin@21||1.5|0|pin@22||3|0|ART_color()I10
4524 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4525 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4526 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4527 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4528 AThicker|net@12|||FS1800|pin@11||0|1|pin@12||0.75|1|ART_color()I10
4529 AThicker|net@13|||FS900|pin@12||0.75|1|pin@13||0.75|-1|ART_color()I10
4530 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4531 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4532 AThicker|net@16|||FS0|pin@13||0.75|-1|pin@14||0|-1|ART_color()I10
4533 AThicker|net@17|||FS900|pin@16||1.5|1|pin@15||1.5|-1|ART_color()I10
4534 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4535 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4536 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4537 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4538 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4539 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4540 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4541 Ed||D5G1;|pin@25||O
4542 Eg||D5G1;|pin@24||I
4543 Eg2||D5G1;|pin@23||I
4544 Eg3||D5G1;|pin@26||I
4545 X
4546
4547 # Cell nor2;1{ic}
4548 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4549 Ngeneric:Facet-Center|art@0||0|0||||AV
4550 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4551 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4552 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4553 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4554 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4555 NPin|pin@1||-1|-1|1|1||
4556 NPin|pin@2||-2.5|-1||||
4557 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4558 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4559 NPin|pin@5||-2.5|1||||
4560 NPin|pin@6||-1|1|1|1||
4561 NPin|pin@7||-1|-1.25|1|1||
4562 NPin|pin@8||-0.5|-1.75|1|1||
4563 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I10
4564 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I10
4565 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
4566 Eina||D5G1;|pin@0||I
4567 Einb||D5G1;|pin@3||I
4568 Eout||D5G1;|pin@4||O
4569 X
4570
4571 # Cell nor2;1{sch}
4572 Cnor2;1{sch}||schematic|1021415734000|1239967786229||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4573 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4574 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4575 Ngeneric:Facet-Center|art@0||0|0||||AV
4576 NOff-Page|conn@0||-15.5|0||||
4577 NOff-Page|conn@1||14|-8|||RR|
4578 NOff-Page|conn@2||14|0||||
4579 NGround|gnd@0||0|-15||||
4580 Inor2;1{ic}|nor2@0||24.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4581 Inor2nn;1{ic}|nor2nn@0||25|8|||D5G4;|ATTR_Delay(D5G1;NPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;NPX-18;Y-5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4582 NWire_Pin|pin@0||0|-11.5||||
4583 NWire_Pin|pin@1||-4|-11.5||||
4584 NWire_Pin|pin@2||4|-11.5||||
4585 NWire_Pin|pin@3||-9|-8||||
4586 Ngeneric:Invisible-Pin|pin@4||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR]
4587 NWire_Pin|pin@5||-9|0||||
4588 NWire_Pin|pin@6||9|4||||
4589 NWire_Pin|pin@7||9|-8||||
4590 Ngeneric:Invisible-Pin|pin@8||-2|19.5|||||ART_message(D5G6;)S[nor2]
4591 NWire_Pin|pin@9||-9|8||||
4592 NWire_Pin|pin@10||0|0||||
4593 NWire_Pin|pin@11||4|0||||
4594 NWire_Pin|pin@12||-4|0||||
4595 Ngeneric:Invisible-Pin|pin@13||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4596 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4597 Awire|net@0|||0|pin@7||9|-8|NMOS@1|g|7|-8
4598 Awire|net@1|||900|pin@0||0|-11.5|gnd@0||0|-13
4599 Awire|net@2|||0|pin@2||4|-11.5|pin@0||0|-11.5
4600 Awire|net@3|||0|pin@0||0|-11.5|pin@1||-4|-11.5
4601 Awire|net@4|||900|NMOS@0|s|-4|-10|pin@1||-4|-11.5
4602 Awire|net@5|||2700|pin@2||4|-11.5|NMOS@1|s|4|-10
4603 Awire|net@6|||900|pin@12||-4|0|NMOS@0|d|-4|-6
4604 Awire|net@7|||0|NMOS@0|g|-7|-8|pin@3||-9|-8
4605 Awire|net@8|||900|pin@11||4|0|NMOS@1|d|4|-6
4606 Awire|net@9|||2700|pin@3||-9|-8|pin@5||-9|0
4607 Awire|net@10|||0|pin@5||-9|0|conn@0|y|-13.5|0
4608 Awire|net@11|||2700|pin@7||9|-8|pin@6||9|4
4609 Awire|net@12|||1800|pin@7||9|-8|conn@1|y|12|-8
4610 Awire|net@13|||0|pin@6||9|4|pms2@0|g2|3|4
4611 Awire|net@14|||1800|pin@9||-9|8|pms2@0|g|-3|8
4612 Awire|net@15|||2700|pin@10||0|0|pms2@0|d|0|2
4613 Awire|net@16|||0|pin@11||4|0|pin@10||0|0
4614 Awire|net@17|||0|pin@10||0|0|pin@12||-4|0
4615 Awire|net@18|||2700|pin@5||-9|0|pin@9||-9|8
4616 Awire|net@19|||1800|pin@11||4|0|conn@2|a|12|0
4617 Eina||D5G2;|conn@0|a|I
4618 Einb||D5G2;|conn@1|a|I
4619 Eout||D5G2;|conn@2|y|O
4620 X
4621
4622 # Cell nor2HT_sy;1{ic}
4623 Cnor2HT_sy;1{ic}||artwork|1021415734000|1223674999787|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4624 Ngeneric:Facet-Center|art@0||0|0||||AV
4625 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4626 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4627 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4628 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4629 NOpened-Thicker-Polygon|art@5||0.2|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4630 NPin|pin@0||-1|-1.25|1|1||
4631 NPin|pin@1||-1|1|1|1||
4632 NPin|pin@2||-2.5|1||||
4633 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4634 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4635 NPin|pin@5||-2.5|-1||||
4636 NPin|pin@6||-1|-1|1|1||
4637 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4638 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4639 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4640 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4641 Eina||D5G1;|pin@7||I
4642 Einb||D5G1;|pin@4||I
4643 Eout||D5G1;|pin@3||O
4644 X
4645
4646 # Cell nor2HT_sy;1{sch}
4647 Cnor2HT_sy;1{sch}||schematic|1021415734000|1223674989378||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4648 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4649 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4650 Ngeneric:Facet-Center|art@0||0|0||||AV
4651 NOff-Page|conn@0||14|0||||
4652 NOff-Page|conn@1||14|-8|||RR|
4653 NOff-Page|conn@2||-15.5|0||||
4654 NGround|gnd@0||0|-15||||
4655 Inor2HT_sy;1{ic}|nor2HT_s@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
4656 Inor2HT_sya;2{ic}|nor2HT_s@1||30.5|9.5|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.75;Y2.75;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4657 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,Both pull-downs are,as strong as the pull-up]
4658 NWire_Pin|pin@1||-4|0||||
4659 NWire_Pin|pin@2||4|0||||
4660 NWire_Pin|pin@3||0|0||||
4661 NWire_Pin|pin@4||-9|8||||
4662 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)Snor2HT_sy
4663 NWire_Pin|pin@6||9|-8||||
4664 NWire_Pin|pin@7||9|4||||
4665 NWire_Pin|pin@8||-9|0||||
4666 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4667 NWire_Pin|pin@10||-9|-8||||
4668 NWire_Pin|pin@11||4|-11.5||||
4669 NWire_Pin|pin@12||-4|-11.5||||
4670 NWire_Pin|pin@13||0|-11.5||||
4671 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4672 Awire|net@0|||1800|NMOS@0|g|7|-8|pin@6||9|-8
4673 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4674 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4675 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4676 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4677 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4678 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4679 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4680 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4681 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4682 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4683 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4684 Awire|net@12|||900|pin@2||4|0|NMOS@0|d|4|-6
4685 Awire|net@13|||0|NMOS@1|g|-7|-8|pin@10||-9|-8
4686 Awire|net@14|||900|pin@1||-4|0|NMOS@1|d|-4|-6
4687 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@0|s|4|-10
4688 Awire|net@16|||900|NMOS@1|s|-4|-10|pin@12||-4|-11.5
4689 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4690 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4691 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4692 Eina||D5G2;|conn@2|a|I
4693 Einb||D5G2;|conn@1|a|I
4694 Eout||D5G2;|conn@0|y|O
4695 X
4696
4697 # Cell nor2HT_sya;2{ic}
4698 Cnor2HT_sya;2{ic}|nor2HT_sy|artwork|1021415734000|1223675184366|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4699 Ngeneric:Facet-Center|art@0||0|0||||AV
4700 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
4701 NThick-Circle|art@6||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4702 NThick-Circle|art@7||-1|-1|1|1|||ART_color()I10
4703 NOpened-Thicker-Polygon|art@8||1|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4704 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4705 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4706 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4707 NPin|pin@8||0.5|-2|1|1||
4708 NPin|pin@9||-0.5|-2|1|1||
4709 NPin|pin@10||-0.5|2|1|1||
4710 NPin|pin@11||0.5|2|1|1||
4711 NPin|pin@15||-2.5|1|1|1||
4712 NPin|pin@16||-1.5|1|1|1||
4713 NPin|pin@22||-2.5|-1|1|1||
4714 NPin|pin@23||-1.5|-1|1|1||
4715 AThicker|net@3|||FS0|pin@16||-1.5|1|pin@15||-2.5|1|ART_color()I10
4716 AThicker|net@4|||FS0|pin@8||0.5|-2|pin@9||-0.5|-2|ART_color()I10
4717 AThicker|net@5|||FS2700|pin@9||-0.5|-2|pin@10||-0.5|2|ART_color()I10
4718 AThicker|net@6|||FS0|pin@11||0.5|2|pin@10||-0.5|2|ART_color()I10
4719 AThicker|net@13|||FS0|pin@23||-1.5|-1|pin@22||-2.5|-1|ART_color()I10
4720 Eina||D5G1;|pin@7||I
4721 Einb||D5G1;|pin@4||I
4722 Eout||D5G1;|pin@3||O
4723 X
4724
4725 # Cell nor2_sy;1{ic}
4726 Cnor2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4727 Ngeneric:Facet-Center|art@0||0|0||||AV
4728 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4729 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4730 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4731 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4732 NPin|pin@0||-1|-1.25|1|1||
4733 NPin|pin@1||-1|1|1|1||
4734 NPin|pin@2||-2.5|1||||
4735 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4736 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4737 NPin|pin@5||-2.5|-1||||
4738 NPin|pin@6||-1|-1|1|1||
4739 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4740 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4741 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4742 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4743 Eina||D5G1;|pin@7||I
4744 Einb||D5G1;|pin@4||I
4745 Eout||D5G1;|pin@3||O
4746 X
4747
4748 # Cell nor2_sy;1{sch}
4749 Cnor2_sy;1{sch}||schematic|1021415734000|1239967677870||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4750 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4751 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4752 Ngeneric:Facet-Center|art@0||0|0||||AV
4753 NOff-Page|conn@0||14|0||||
4754 NOff-Page|conn@1||14|-8|||RR|
4755 NOff-Page|conn@2||-15.5|0||||
4756 NGround|gnd@0||0|-15||||
4757 Inor2_sy;1{ic}|nor2_sy@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4758 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4759 NWire_Pin|pin@1||-4|0||||
4760 NWire_Pin|pin@2||4|0||||
4761 NWire_Pin|pin@3||0|0||||
4762 NWire_Pin|pin@4||-9|8||||
4763 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
4764 NWire_Pin|pin@6||9|-8||||
4765 NWire_Pin|pin@7||9|4||||
4766 NWire_Pin|pin@8||-9|0||||
4767 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4768 NWire_Pin|pin@10||-9|-8||||
4769 NWire_Pin|pin@11||4|-11.5||||
4770 NWire_Pin|pin@12||-4|-11.5||||
4771 NWire_Pin|pin@13||0|-11.5||||
4772 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4773 Awire|net@0|||1800|NMOS@0|g|7|-8|pin@6||9|-8
4774 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4775 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4776 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4777 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4778 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4779 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4780 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4781 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4782 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4783 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4784 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4785 Awire|net@12|||900|pin@2||4|0|NMOS@0|d|4|-6
4786 Awire|net@13|||0|NMOS@1|g|-7|-8|pin@10||-9|-8
4787 Awire|net@14|||900|pin@1||-4|0|NMOS@1|d|-4|-6
4788 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@0|s|4|-10
4789 Awire|net@16|||900|NMOS@1|s|-4|-10|pin@12||-4|-11.5
4790 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4791 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4792 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4793 Eina||D5G2;|conn@2|a|I
4794 Einb||D5G2;|conn@1|a|I
4795 Eout||D5G2;|conn@0|y|O
4796 X
4797
4798 # Cell nor2en;1{ic}
4799 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4800 Ngeneric:Facet-Center|art@0||0|0||||AV
4801 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4802 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4803 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4804 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4805 Ngeneric:Invisible-Pin|pin@0||-0.25|-1|||||ART_message(D5G1;)S[en]
4806 NPin|pin@1||-0.5|-1.75|1|1||
4807 NPin|pin@2||-1|-1.25|1|1||
4808 NPin|pin@3||-1|1|1|1||
4809 NPin|pin@4||-2.5|1||||
4810 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4811 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
4812 NPin|pin@7||-2.5|-1||||
4813 NPin|pin@8||-1|-1|1|1||
4814 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
4815 AThicker|net@0|||FS3150|pin@1||-0.5|-1.75|pin@2||-1|-1.25|ART_color()I10
4816 AThicker|net@1|||FS0|pin@3||-1|1|pin@4||-2.5|1|ART_color()I10
4817 AThicker|net@2|||FS0|pin@8||-1|-1|pin@7||-2.5|-1|ART_color()I10
4818 Eina||D5G1;|pin@9||I
4819 Einb||D5G1;|pin@6||I
4820 Eout||D5G1;|pin@5||O
4821 X
4822
4823 # Cell nor2en;1{sch}
4824 Cnor2en;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4825 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y-0.5;)S@X
4826 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX4.5;Y1.5;)Smax(@X/3., 5./3.)
4827 Ngeneric:Facet-Center|art@0||0|0||||AV
4828 NOff-Page|conn@0||14|0||||
4829 NOff-Page|conn@1||14|-8|||RR|
4830 NOff-Page|conn@2||-15.5|0||||
4831 NGround|gnd@0||0|-15||||
4832 Inor2en;1{ic}|nor2en@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4833 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4834 NWire_Pin|pin@1||-4|0||||
4835 NWire_Pin|pin@2||4|0||||
4836 NWire_Pin|pin@3||0|0||||
4837 NWire_Pin|pin@4||-9|8||||
4838 Ngeneric:Invisible-Pin|pin@5||-2|21.5|||||ART_message(D5G6;)S[nor2en]
4839 NWire_Pin|pin@6||9|-8||||
4840 NWire_Pin|pin@7||9|4||||
4841 NWire_Pin|pin@8||-9|0||||
4842 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/12 for enable input)]
4843 NWire_Pin|pin@10||-9|-8||||
4844 NWire_Pin|pin@11||4|-11.5||||
4845 NWire_Pin|pin@12||-4|-11.5||||
4846 NWire_Pin|pin@13||0|-11.5||||
4847 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4848 Awire|net@0|||1800|pin@2||4|0|conn@0|a|12|0
4849 Awire|net@1|||2700|pin@8||-9|0|pin@4||-9|8
4850 Awire|net@2|||0|pin@3||0|0|pin@1||-4|0
4851 Awire|net@3|||0|pin@2||4|0|pin@3||0|0
4852 Awire|net@4|||2700|pin@3||0|0|pms2@0|d|0|2
4853 Awire|net@5|||1800|pin@4||-9|8|pms2@0|g|-3|8
4854 Awire|net@6|||0|pin@7||9|4|pms2@0|g2|3|4
4855 Awire|net@7|||1800|pin@6||9|-8|conn@1|y|12|-8
4856 Awire|net@8|||2700|pin@6||9|-8|pin@7||9|4
4857 Awire|net@9|||0|pin@8||-9|0|conn@2|y|-13.5|0
4858 Awire|net@10|||2700|pin@10||-9|-8|pin@8||-9|0
4859 Awire|net@11|||900|pin@2||4|0|NMOS@0|d|4|-6
4860 Awire|net@12|||0|NMOS@1|g|-7|-8|pin@10||-9|-8
4861 Awire|net@13|||900|pin@1||-4|0|NMOS@1|d|-4|-6
4862 Awire|net@14|||2700|pin@11||4|-11.5|NMOS@0|s|4|-10
4863 Awire|net@15|||900|NMOS@1|s|-4|-10|pin@12||-4|-11.5
4864 Awire|net@16|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4865 Awire|net@17|||0|pin@11||4|-11.5|pin@13||0|-11.5
4866 Awire|net@18|||900|pin@13||0|-11.5|gnd@0||0|-13
4867 Awire|net@19|||0|pin@6||9|-8|NMOS@0|g|7|-8
4868 Eina||D5G2;|conn@2|a|I
4869 Einb||D5G2;|conn@1|a|I
4870 Eout||D5G2;|conn@0|y|O
4871 X
4872
4873 # Cell nor2en_2p;1{ic}
4874 Cnor2en_2p;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX2.25;Y2.25;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4875 Ngeneric:Facet-Center|art@0||0|0||||AV
4876 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4877 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4878 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4879 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4880 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[2p]
4881 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
4882 NPin|pin@2||-1|-1|1|1||
4883 NPin|pin@3||-2.5|-1||||
4884 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4885 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4886 NPin|pin@6||-2.5|1||||
4887 NPin|pin@7||-1|1|1|1||
4888 NPin|pin@8||-1|-1.25|1|1||
4889 NPin|pin@9||-0.5|-1.75|1|1||
4890 Ngeneric:Invisible-Pin|pin@10||-0.25|-1|||||ART_message(D5G1;)S[en]
4891 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I10
4892 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I10
4893 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I10
4894 Eina||D5G1;|pin@1||I
4895 Einb||D5G1;|pin@4||I
4896 Eout||D5G1;|pin@5||O
4897 X
4898
4899 # Cell nor2en_2p;1{sch}
4900 Cnor2en_2p;1{sch}||schematic|1021415734000|1158010267102||ATTR_Delay(D5G1;HNPX-24;Y-6;)I100|ATTR_X(D5G1;HNPX-24;Y-5;)I1|ATTR_drive0(D5G1;HNPTX-24;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-24;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4901 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||-10|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./3.)
4902 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@1||1|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4903 Ngeneric:Facet-Center|art@0||0|0||||AV
4904 NOff-Page|conn@0||-21.5|0||||
4905 NOff-Page|conn@1||10|-8|||RR|
4906 NOff-Page|conn@2||10|0||||
4907 NGround|gnd@0||-4.5|-15||||
4908 Inor2en_2p;1{ic}|nor2en_2@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4909 NWire_Pin|pin@0||-2.5|0||||
4910 Ngeneric:Invisible-Pin|pin@1||-1.5|14|||||ART_message(D5G2;)S[2 p-stacks for larger sizes]
4911 NWire_Pin|pin@2||-4.5|-11.5||||
4912 NWire_Pin|pin@3||-10|-11.5||||
4913 NWire_Pin|pin@4||1|-11.5||||
4914 NWire_Pin|pin@5||-15|-8||||
4915 Ngeneric:Invisible-Pin|pin@6||-2|17.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/10 for enable input)]
4916 NWire_Pin|pin@7||-15|0||||
4917 NWire_Pin|pin@8||6|4||||
4918 NWire_Pin|pin@9||6|-8||||
4919 Ngeneric:Invisible-Pin|pin@10||-2|24.5|||||ART_message(D5G6;)S[nor2en_2p]
4920 NWire_Pin|pin@11||-15|8||||
4921 NWire_Pin|pin@12||1|0||||
4922 NWire_Pin|pin@13||-10|0||||
4923 Ngeneric:Invisible-Pin|pin@14||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4924 Ipms2;1{ic}|pms2@0||-2.5|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4925 Ipms2;1{ic}|pms2@1||-10|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4926 Awire|net@0|||1800|pms2@0|g2|0.5|4|pin@8||6|4
4927 Awire|net@1|||2700|pin@0||-2.5|0|pms2@0|d|-2.5|2
4928 Awire|net@2|||1800|pin@13||-10|0|pin@0||-2.5|0
4929 Awire|net@3|||1800|pin@0||-2.5|0|pin@12||1|0
4930 Awire|net@4|||1800|pms2@1|g2|-7|4|pms2@0|g2|0.5|4
4931 Awire|net@5|||1800|pms2@1|g|-13|8|pms2@0|g|-5.5|8
4932 Awire|net@6|||2700|pin@13||-10|0|pms2@1|d|-10|2
4933 Awire|net@7|||0|pin@9||6|-8|NMOS@1|g|4|-8
4934 Awire|net@8|||900|pin@2||-4.5|-11.5|gnd@0||-4.5|-13
4935 Awire|net@9|||0|pin@4||1|-11.5|pin@2||-4.5|-11.5
4936 Awire|net@10|||0|pin@2||-4.5|-11.5|pin@3||-10|-11.5
4937 Awire|net@11|||900|NMOS@0|s|-10|-10|pin@3||-10|-11.5
4938 Awire|net@12|||2700|pin@4||1|-11.5|NMOS@1|s|1|-10
4939 Awire|net@13|||900|pin@13||-10|0|NMOS@0|d|-10|-6
4940 Awire|net@14|||0|NMOS@0|g|-13|-8|pin@5||-15|-8
4941 Awire|net@15|||900|pin@12||1|0|NMOS@1|d|1|-6
4942 Awire|net@16|||2700|pin@5||-15|-8|pin@7||-15|0
4943 Awire|net@17|||0|pin@7||-15|0|conn@0|y|-19.5|0
4944 Awire|net@18|||2700|pin@9||6|-8|pin@8||6|4
4945 Awire|net@19|||1800|pin@9||6|-8|conn@1|y|8|-8
4946 Awire|net@20|||1800|pin@11||-15|8|pms2@1|g|-13|8
4947 Awire|net@21|||2700|pin@7||-15|0|pin@11||-15|8
4948 Awire|net@22|||1800|pin@12||1|0|conn@2|a|8|0
4949 Eina||D5G2;|conn@0|a|I
4950 Einb||D5G2;|conn@1|a|I
4951 Eout||D5G2;|conn@2|y|O
4952 X
4953
4954 # Cell nor2n;1{ic}
4955 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4956 Ngeneric:Facet-Center|art@0||0|0||||AV
4957 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
4958 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4959 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
4960 NPin|pin@0||-0.5|-0.75|1|1||
4961 NPin|pin@1||0.75|-2|1|1||
4962 NPin|pin@2||0.5|-2|1|1||
4963 NPin|pin@3||-0.5|-2|1|1||
4964 NPin|pin@4||-0.5|2|1|1||
4965 NPin|pin@5||0.5|2|1|1||
4966 NPin|pin@6||-1.5|1|1|1||
4967 NPin|pin@7||-2.5|1||||
4968 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4969 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
4970 NPin|pin@10||-2.5|-1||||
4971 NPin|pin@11||-1.5|-1|1|1||
4972 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
4973 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
4974 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
4975 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
4976 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
4977 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
4978 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
4979 Eina||D5G1;|pin@12||I
4980 Einb||D5G1;|pin@9||I
4981 Eout||D5G1;|pin@8||O
4982 X
4983
4984 # Cell nor2n;1{sch}
4985 Cnor2n;1{sch}||schematic|1021415734000|1209125237179||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
4986 Ngeneric:Facet-Center|art@0||0|0||||AV
4987 NOff-Page|conn@0||10.5|0||||
4988 NOff-Page|conn@1||-15.5|2.5||||
4989 NOff-Page|conn@2||-15.5|-2.5||||
4990 Inor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4991 Inor2n;1{ic}|nor2n@0||24.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4992 NWire_Pin|pin@0||-7|-2.5||||
4993 NWire_Pin|pin@1||-7|-1||||
4994 NWire_Pin|pin@2||-7|1||||
4995 NWire_Pin|pin@3||-7|2.5||||
4996 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4997 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)S[nor2n]
4998 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR (AND rep)]
4999 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
5000 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
5001 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
5002 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
5003 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
5004 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5005 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5006 Eina||D5G2;|conn@2|a|I
5007 Einb||D5G2;|conn@1|a|I
5008 Eout||D5G2;|conn@0|y|O
5009 X
5010
5011 # Cell nor2n_sy;1{ic}
5012 Cnor2n_sy;1{ic}||artwork|1021415734000|1209125462708|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5013 Ngeneric:Facet-Center|art@0||0|0||||AV
5014 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5015 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5016 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5017 NPin|pin@2||0.5|-2|1|1||
5018 NPin|pin@3||-0.5|-2|1|1||
5019 NPin|pin@4||-0.5|2|1|1||
5020 NPin|pin@5||0.5|2|1|1||
5021 NPin|pin@6||-1.5|1|1|1||
5022 NPin|pin@7||-2.5|1||||
5023 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5024 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5025 NPin|pin@10||-2.5|-1||||
5026 NPin|pin@11||-1.5|-1|1|1||
5027 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5028 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5029 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5030 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5031 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5032 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5033 Eina||D5G1;|pin@12||I
5034 Einb||D5G1;|pin@9||I
5035 Eout||D5G1;|pin@8||O
5036 X
5037
5038 # Cell nor2n_sy;1{sch}
5039 Cnor2n_sy;1{sch}||schematic|1021415734000|1239967624447||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
5040 Ngeneric:Facet-Center|art@0||0|0||||AV
5041 NOff-Page|conn@0||10.5|0||||
5042 NOff-Page|conn@1||-15.5|2.5||||
5043 NOff-Page|conn@2||-15.5|-2.5||||
5044 Inor2_sy;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
5045 Inor2n_sy;1{ic}|nor2n@0||24|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5046 NWire_Pin|pin@0||-7|-2.5||||
5047 NWire_Pin|pin@1||-7|-1||||
5048 NWire_Pin|pin@2||-7|1||||
5049 NWire_Pin|pin@3||-7|2.5||||
5050 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
5051 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)Snor2n_sy
5052 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)Sone-parameter fixed-size symmetric NOR (AND rep)
5053 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
5054 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
5055 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
5056 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
5057 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
5058 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5059 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5060 Eina||D5G2;|conn@2|a|I
5061 Einb||D5G2;|conn@1|a|I
5062 Eout||D5G2;|conn@0|y|O
5063 X
5064
5065 # Cell nor2nn;1{ic}
5066 Cnor2nn;1{ic}|nor2|artwork|1021415734000|1231517756672|E|ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|prototype_center()I[6000,0]
5067 Ngeneric:Facet-Center|art@0||0|0||||AV
5068 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5069 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5070 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5071 NPin|pin@0||-0.5|-0.75|1|1||
5072 NPin|pin@1||0.75|-2|1|1||
5073 NPin|pin@2||0.5|-2|1|1||
5074 NPin|pin@3||-0.5|-2|1|1||
5075 NPin|pin@4||-0.5|2|1|1||
5076 NPin|pin@5||0.5|2|1|1||
5077 NPin|pin@6||-1.5|1|1|1||
5078 NPin|pin@7||-2.5|1||||
5079 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5080 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5081 NPin|pin@10||-2.5|-1||||
5082 NPin|pin@11||-1.5|-1|1|1||
5083 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5084 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
5085 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5086 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5087 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5088 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5089 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5090 Eina||D5G1;|pin@12||I
5091 Einb||D5G1;|pin@9||I
5092 Eout||D5G1;|pin@8||O
5093 X
5094
5095 # Cell passF;1{ic}
5096 CpassF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5097 Ngeneric:Facet-Center|art@0||0|0||||AV
5098 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5099 Nschematic:Bus_Pin|pin@0||2|0||||
5100 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5101 Nschematic:Bus_Pin|pin@6||-2|0||||
5102 NPin|pin@9||-1|1|1|1||
5103 NPin|pin@10||1|1|1|1||
5104 NPin|pin@11||1|-1|1|1||
5105 NPin|pin@12||-1|-1|1|1||
5106 NPin|pin@13||-1|-1|1|1||
5107 NPin|pin@14||1|0|1|1||
5108 NPin|pin@15||2|0|1|1||
5109 NPin|pin@16||-2|0|1|1||
5110 NPin|pin@17||-1|0|1|1||
5111 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5112 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5113 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5114 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5115 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5116 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5117 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5118 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5119 Edrn||D5G2;|pin@0||O
5120 EpassF||D5G2;|pin@2||I
5121 Esrc||D5G2;|pin@6||I
5122 X
5123
5124 # Cell passF;1{sch}
5125 CpassF;1{sch}||schematic|1094080836000|1158010267102||ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5126 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5127 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5128 Ngeneric:Facet-Center|art@0||0|0||||AV
5129 NOff-Page|conn@0||-9|0||||
5130 NOff-Page|conn@1||16.5|0||||
5131 NOff-Page|conn@3||0|-11.5|||R|
5132 Iinv;1{ic}|inv@0||7|10|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5133 IpassF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5134 NWire_Pin|pin@0||0|-7||||
5135 NWire_Pin|pin@1||11|-7||||
5136 NWire_Pin|pin@2||11|10||||
5137 NWire_Pin|pin@3||0|10||||
5138 NWire_Pin|pin@4||-5|0||||
5139 NWire_Pin|pin@5||5|0||||
5140 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassF
5141 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only F control input
5142 NWire_Pin|pin@8||-5|2||||
5143 NWire_Pin|pin@9||-5|-2||||
5144 NWire_Pin|pin@10||5|-2||||
5145 NWire_Pin|pin@11||5|2||||
5146 Awire|net@0|||1800|pin@0||0|-7|pin@1||11|-7
5147 Awire|net@1|||900|pin@11||5|2|pin@5||5|0
5148 Awire|net@2|||0|pin@10||5|-2|PMOS@0|d|2|-2
5149 Awire|net@3|||0|PMOS@0|s|-2|-2|pin@9||-5|-2
5150 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5151 Awire|net@5|||1800|pin@8||-5|2|NMOS@0|s|-2|2
5152 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5153 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5154 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5155 Awire|net@9|||1800|pin@5||5|0|conn@1|a|14.5|0
5156 Awire|net@11|||2700|conn@3|y|0|-9.5|pin@0||0|-7
5157 Awire|net@12|||2700|pin@0||0|-7|PMOS@0|g|0|-5
5158 Awire|net@13|||2700|pin@1||11|-7|pin@2||11|10
5159 Awire|net@14|||0|pin@2||11|10|inv@0|in|9.5|10
5160 Awire|net@15|||2700|NMOS@0|g|0|5|pin@3||0|10
5161 Awire|net@16|||1800|pin@3||0|10|inv@0|out|4.5|10
5162 Awire|net@17|||1800|NMOS@0|d|2|2|pin@11||5|2
5163 Edrn||D5G2;|conn@1|y|O
5164 EpassF||D5G2;|conn@3|a|I
5165 Esrc||D5G2;|conn@0|a|I
5166 X
5167
5168 # Cell passT;1{ic}
5169 CpassT;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5170 Ngeneric:Facet-Center|art@0||0|0||||AV
5171 Nschematic:Bus_Pin|pin@0||2|0||||
5172 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5173 Nschematic:Bus_Pin|pin@6||-2|0||||
5174 NPin|pin@9||-1|1|1|1||
5175 NPin|pin@10||1|1|1|1||
5176 NPin|pin@11||1|-1|1|1||
5177 NPin|pin@12||-1|-1|1|1||
5178 NPin|pin@13||-1|-1|1|1||
5179 NPin|pin@14||1|0|1|1||
5180 NPin|pin@15||2|0|1|1||
5181 NPin|pin@16||-2|0|1|1||
5182 NPin|pin@17||-1|0|1|1||
5183 NPin|pin@18||-0.5|-1|1|1|RRR|
5184 NPin|pin@19||-0.5|-2|1|1|RRR|
5185 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5186 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5187 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5188 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5189 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5190 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5191 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5192 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5193 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5194 Edrn||D5G2;|pin@0||O
5195 EpassT||D5G2;|pin@4||I
5196 Esrc||D5G2;|pin@6||I
5197 X
5198
5199 # Cell passT;1{sch}
5200 CpassT;1{sch}||schematic|1094080836000|1158010267102||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5201 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5202 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5203 Ngeneric:Facet-Center|art@0||0|0||||AV
5204 NOff-Page|conn@0||-9|0||||
5205 NOff-Page|conn@1||20.5|0||||
5206 NOff-Page|conn@2||0|12|||RRR|
5207 Iinv;1{ic}|inv@0||6|-9|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5208 IpassT;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5209 NWire_Pin|pin@0||11|-9||||
5210 NWire_Pin|pin@1||11|8||||
5211 NWire_Pin|pin@2||0|8||||
5212 NWire_Pin|pin@3||0|-9||||
5213 NWire_Pin|pin@4||-5|0||||
5214 NWire_Pin|pin@5||5|0||||
5215 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassT
5216 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only T control input
5217 NWire_Pin|pin@8||-5|2||||
5218 NWire_Pin|pin@9||-5|-2||||
5219 NWire_Pin|pin@10||5|-2||||
5220 NWire_Pin|pin@11||5|2||||
5221 Awire|net@0|||1800|inv@0|in|8.5|-9|pin@0||11|-9
5222 Awire|net@1|||2700|pin@0||11|-9|pin@1||11|8
5223 Awire|net@2|||0|pin@1||11|8|pin@2||0|8
5224 Awire|net@3|||0|PMOS@0|s|-2|-2|pin@9||-5|-2
5225 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5226 Awire|net@5|||1800|pin@8||-5|2|NMOS@0|s|-2|2
5227 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5228 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5229 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5230 Awire|net@9|||1800|pin@5||5|0|conn@1|a|18.5|0
5231 Awire|net@10|||900|conn@2|y|0|10|pin@2||0|8
5232 Awire|net@11|||900|pin@2||0|8|NMOS@0|g|0|5
5233 Awire|net@12|||900|PMOS@0|g|0|-5|pin@3||0|-9
5234 Awire|net@13|||1800|pin@3||0|-9|inv@0|out|3.5|-9
5235 Awire|net@14|||900|pin@11||5|2|pin@5||5|0
5236 Awire|net@15|||0|pin@10||5|-2|PMOS@0|d|2|-2
5237 Awire|net@16|||1800|NMOS@0|d|2|2|pin@11||5|2
5238 Edrn||D5G2;|conn@1|y|O
5239 EpassT||D5G2;|conn@2|a|I
5240 Esrc||D5G2;|conn@0|a|I
5241 X
5242
5243 # Cell passTF;1{ic}
5244 CpassTF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|prototype_center()I[0,0]
5245 Ngeneric:Facet-Center|art@0||0|0||||AV
5246 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5247 Nschematic:Bus_Pin|pin@0||2|0||||
5248 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5249 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5250 Nschematic:Bus_Pin|pin@6||-2|0||||
5251 NPin|pin@9||-1|1|1|1||
5252 NPin|pin@10||1|1|1|1||
5253 NPin|pin@11||1|-1|1|1||
5254 NPin|pin@12||-1|-1|1|1||
5255 NPin|pin@13||-1|-1|1|1||
5256 NPin|pin@14||1|0|1|1||
5257 NPin|pin@15||2|0|1|1||
5258 NPin|pin@16||-2|0|1|1||
5259 NPin|pin@17||-1|0|1|1||
5260 NPin|pin@18||-0.5|-1|1|1|RRR|
5261 NPin|pin@19||-0.5|-2|1|1|RRR|
5262 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5263 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5264 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5265 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5266 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5267 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5268 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5269 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5270 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5271 Edrn||D5G2;|pin@0||O
5272 EpassF||D5G2;|pin@2||I
5273 EpassT||D5G2;|pin@4||I
5274 Esrc||D5G2;|pin@6||I
5275 X
5276
5277 # Cell passTF;1{sch}
5278 CpassTF;1{sch}||schematic|1094080836000|1158010267102||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|prototype_center()I[0,0]
5279 IorangeTSMC090nm:NMOSx;1{ic}|NMOS@0||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5280 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5281 Ngeneric:Facet-Center|art@0||0|0||||AV
5282 NOff-Page|conn@0||-9|0||||
5283 NOff-Page|conn@1||10.5|0||||
5284 NOff-Page|conn@2||0|12|||RRR|
5285 NOff-Page|conn@3||0|-11.5|||R|
5286 IpassTF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1
5287 NWire_Pin|pin@0||5|2||||
5288 NWire_Pin|pin@1||5|-2||||
5289 NWire_Pin|pin@2||-5|-2||||
5290 NWire_Pin|pin@3||-5|2||||
5291 NWire_Pin|pin@4||-5|0||||
5292 NWire_Pin|pin@5||5|0||||
5293 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassTF
5294 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with seperate T/F control inputs
5295 Awire|net@0|||1800|NMOS@0|d|2|2|pin@0||5|2
5296 Awire|net@1|||900|pin@0||5|2|pin@5||5|0
5297 Awire|net@2|||0|pin@1||5|-2|PMOS@0|d|2|-2
5298 Awire|net@3|||0|PMOS@0|s|-2|-2|pin@2||-5|-2
5299 Awire|net@4|||2700|pin@2||-5|-2|pin@4||-5|0
5300 Awire|net@5|||1800|pin@3||-5|2|NMOS@0|s|-2|2
5301 Awire|net@6|||2700|pin@4||-5|0|pin@3||-5|2
5302 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5303 Awire|net@8|||900|pin@5||5|0|pin@1||5|-2
5304 Awire|net@9|||1800|pin@5||5|0|conn@1|a|8.5|0
5305 Awire|net@10|||900|conn@2|y|0|10|NMOS@0|g|0|5
5306 Awire|net@11|||2700|conn@3|y|0|-9.5|PMOS@0|g|0|-5
5307 Edrn||D5G2;|conn@1|y|O
5308 EpassF||D5G2;|conn@3|a|I
5309 EpassT||D5G2;|conn@2|a|I
5310 Esrc||D5G2;|conn@0|a|I
5311 X
5312
5313 # Cell pms1;2{ic}
5314 Cpms1;2{ic}||artwork|1021415734000|1228434629412|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5315 Ngeneric:Facet-Center|art@0||0|0||||AV
5316 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5317 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5318 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
5319 NPin|pin@3||0|1|1|1||
5320 NPin|pin@4||-0.75|1|1|1||
5321 NPin|pin@5||-0.75|-1|1|1||
5322 NPin|pin@6||0|-1|1|1|YRR|
5323 NPin|pin@7||0|-2|1|1|YRR|
5324 NPin|pin@8||-3|0|||RR|
5325 NPin|pin@9||-2.5|0|1|1|RR|
5326 NPin|pin@10||0|2|1|1||
5327 NPin|pin@11||-0.5|2.5||||
5328 NPin|pin@12||0.5|1.5|1|1||
5329 NPin|pin@13||-1.5|-1|1|1||
5330 NPin|pin@14||-1.5|1|1|1||
5331 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5332 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5333 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5334 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5335 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5336 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5337 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5338 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5339 Ed||D5G1;|pin@1||O
5340 Eg||D5G1;|pin@0||I
5341 X
5342
5343 # Cell pms1;1{sch}
5344 Cpms1;1{sch}||schematic|1021415734000|1228434581221||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5345 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.0
5346 Ngeneric:Facet-Center|art@0||0|0||||AV
5347 NOff-Page|conn@0||-8.5|15||||
5348 NOff-Page|conn@1||8|0||||
5349 NWire_Pin|pin@0||0|0||||
5350 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)Spms1
5351 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)Sone fixed-size P-type transistor to VDD
5352 Ipms1;2{ic}|pms1@1||19|18|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5353 NPower|pwr@0||0|22||||
5354 Awire|net@0|||900|pwr@0||0|22|PMOS@0|s|0|17
5355 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@0|g|-3|15
5356 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5357 Awire|net@6|||2700|pin@0||0|0|PMOS@0|d|0|13
5358 Ed||D5G2;|conn@1|y|O
5359 Eg||D5G2;|conn@0|a|I
5360 X
5361
5362 # Cell pms2;1{ic}
5363 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5364 Ngeneric:Facet-Center|art@0||0|0||||AV
5365 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5366 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5367 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5368 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5369 Nschematic:Bus_Pin|pin@2||3|-4|-2|-2||
5370 NPin|pin@3||0|1|1|1||
5371 NPin|pin@4||-0.75|1|1|1||
5372 NPin|pin@5||-0.75|-1|1|1||
5373 NPin|pin@6||0|-1|1|1|YRR|
5374 NPin|pin@7||0|-2|1|1|YRR|
5375 NPin|pin@8||-3|0|||RR|
5376 NPin|pin@9||-2.5|0|1|1|RR|
5377 NPin|pin@10||0|2|1|1||
5378 NPin|pin@11||-0.5|2.5||||
5379 NPin|pin@12||0.5|1.5|1|1||
5380 NPin|pin@13||-1.5|-1|1|1||
5381 NPin|pin@14||-1.5|1|1|1||
5382 NPin|pin@15||1.5|-3|1|1|YRR|
5383 NPin|pin@16||1.5|-5|1|1|YRR|
5384 NPin|pin@17||0|-2|1|1|YRR|
5385 NPin|pin@18||3|-4|||RR|
5386 NPin|pin@19||2.5|-4|1|1|RR|
5387 NPin|pin@20||0|-6|||RR|
5388 NPin|pin@21||0|-5|1|1|YRR|
5389 NPin|pin@22||0.75|-5|1|1|YRR|
5390 NPin|pin@23||0.75|-3|1|1|YRR|
5391 NPin|pin@24||0|-3|1|1|YRR|
5392 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5393 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5394 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5395 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5396 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5397 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5398 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5399 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5400 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5401 AThicker|net@9|||FS1800|pin@24||0|-3|pin@23||0.75|-3|ART_color()I10
5402 AThicker|net@10|||FS900|pin@15||1.5|-3|pin@16||1.5|-5|ART_color()I10
5403 AThicker|net@11|||FS1800|pin@19||2.5|-4|pin@18||3|-4|ART_color()I10
5404 AThicker|net@12|||FS0|pin@22||0.75|-5|pin@21||0|-5|ART_color()I10
5405 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5406 AThicker|net@14|||FS900|pin@23||0.75|-3|pin@22||0.75|-5|ART_color()I10
5407 Ed||D5G1;|pin@1||O
5408 Eg||D5G1;|pin@0||I
5409 Eg2||D5G1;|pin@2||I
5410 X
5411
5412 # Cell pms2;1{sch}
5413 Cpms2;1{sch}||schematic|1021415734000|1228434158481||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5414 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5415 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5416 Ngeneric:Facet-Center|art@0||0|0||||AV
5417 NOff-Page|conn@0||-8.5|15||||
5418 NOff-Page|conn@1||8|0||||
5419 NOff-Page|conn@2||8.5|7|||YRR|
5420 NWire_Pin|pin@0||0|0||||
5421 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
5422 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[two fixed-size P-type transistors to VDD]
5423 Ipms2;1{ic}|pms2@0||20|22|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S1
5424 Ipms2a;2{ic}|pms2@1||20|10|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5425 NPower|pwr@0||0|22||||
5426 Awire|net@0|||900|pwr@0||0|22|PMOS@0|s|0|17
5427 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@0|g|-3|15
5428 Awire|net@2|||2700|PMOS@1|s|0|9|PMOS@0|d|0|13|NET_ncc_match()SNCCmatch1
5429 Awire|net@3|||0|conn@2|y|6.5|7|PMOS@1|g|3|7
5430 Awire|net@4|||2700|pin@0||0|0|PMOS@1|d|0|5
5431 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5432 Ed||D5G2;|conn@1|y|O
5433 Eg||D5G2;|conn@0|a|I
5434 Eg2||D5G2;|conn@2|a|I
5435 X
5436
5437 # Cell pms2_sy;1{ic}
5438 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
5439 Ngeneric:Facet-Center|art@0||0|0||||AV
5440 NThick-Circle|art@1||-1.75|0|1|1|||ART_color()I10
5441 NThick-Circle|art@2||1.75|-4|1|1|||ART_color()I10
5442 NThick-Circle|art@3||1.75|0|1|1|||ART_color()I10
5443 NThick-Circle|art@4||-1.75|-4|1|1|||ART_color()I10
5444 Nschematic:Bus_Pin|pin@0||3|-4|-2|-2||
5445 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
5446 Nschematic:Bus_Pin|pin@2||0|-6|-2|-2||
5447 NPin|pin@3||0|1|1|1||
5448 NPin|pin@4||-0.75|1|1|1||
5449 NPin|pin@5||-0.75|-1|1|1||
5450 NPin|pin@6||0|2|1|1||
5451 NPin|pin@7||-0.5|2.5||||
5452 NPin|pin@8||0.5|1.5|1|1||
5453 NPin|pin@9||-1.25|-1|1|1||
5454 NPin|pin@10||-1.25|1|1|1||
5455 NPin|pin@11||1.25|-3|1|1|YRR|
5456 NPin|pin@12||1.25|-5|1|1|YRR|
5457 NPin|pin@13||0|-6|||RR|
5458 NPin|pin@14||0|-5|1|1|YRR|
5459 NPin|pin@15||0.75|-5|1|1|YRR|
5460 NPin|pin@16||0.75|-3|1|1|YRR|
5461 NPin|pin@17||0.25|-3|1|1|YRR|
5462 NPin|pin@18||1.25|1|1|1|YRR|
5463 NPin|pin@19||1.25|-1|1|1|YRR|
5464 NPin|pin@20||0.25|-1|1|1||
5465 NPin|pin@21||0.75|-1|1|1|YRR|
5466 NPin|pin@22||0.75|1|1|1|YRR|
5467 NPin|pin@23||0|1|1|1|YRR|
5468 NPin|pin@24||-0.25|-3|1|1||
5469 NPin|pin@25||-0.75|-3|1|1||
5470 NPin|pin@26||-0.75|-5|1|1||
5471 NPin|pin@27||0|-5|1|1|YRR|
5472 NPin|pin@28||-1.25|-5|1|1||
5473 NPin|pin@29||-1.25|-3|1|1||
5474 NPin|pin@30||-0.25|-1|1|1||
5475 NPin|pin@31||-3|0|||RR|
5476 NPin|pin@32||-2.5|0|1|1|RR|
5477 NPin|pin@33||-2.5|-4|1|1||
5478 NPin|pin@34||3|-4|||RR|
5479 NPin|pin@35||2.5|-4|1|1|RR|
5480 NPin|pin@36||2.5|0|1|1||
5481 NPin|pin@37||2.25|0|1|1||
5482 NPin|pin@38||2.25|-4|1|1||
5483 NPin|pin@39||-2.25|-4|1|1||
5484 NPin|pin@40||-2.25|0|1|1||
5485 AThicker|net@0|||FS1800|pin@17||0.25|-3|pin@16||0.75|-3|ART_color()I10
5486 AThicker|net@1|||FS0|pin@15||0.75|-5|pin@14||0|-5|ART_color()I10
5487 AThicker|net@2|||FS900|pin@16||0.75|-3|pin@15||0.75|-5|ART_color()I10
5488 AThicker|net@3|||FS900|pin@11||1.25|-3|pin@12||1.25|-5|ART_color()I10
5489 AThicker|net@4|||FS900|pin@14||0|-5|pin@13||0|-6|ART_color()I10
5490 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5491 AThicker|net@6|||FS900|pin@10||-1.25|1|pin@9||-1.25|-1|ART_color()I10
5492 AThicker|net@7|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5493 AThicker|net@8|||FS3150|pin@8||0.5|1.5|pin@7||-0.5|2.5|ART_color()I10
5494 AThicker|net@9|||FS2700|pin@3||0|1|pin@6||0|2|ART_color()I10
5495 AThicker|net@10|||FS900|pin@18||1.25|1|pin@19||1.25|-1|ART_color()I10
5496 AThicker|net@11|||FS900|pin@22||0.75|1|pin@21||0.75|-1|ART_color()I10
5497 AThicker|net@12|||FS1800|pin@23||0|1|pin@22||0.75|1|ART_color()I10
5498 AThicker|net@13|||FS0|pin@21||0.75|-1|pin@20||0.25|-1|ART_color()I10
5499 AThicker|net@14|||FS900|pin@29||-1.25|-3|pin@28||-1.25|-5|ART_color()I10
5500 AThicker|net@15|||FS900|pin@25||-0.75|-3|pin@26||-0.75|-5|ART_color()I10
5501 AThicker|net@16|||FS0|pin@24||-0.25|-3|pin@25||-0.75|-3|ART_color()I10
5502 AThicker|net@17|||FS1800|pin@26||-0.75|-5|pin@27||0|-5|ART_color()I10
5503 AThicker|net@18|||FS0|pin@30||-0.25|-1|pin@5||-0.75|-1|ART_color()I10
5504 AThicker|net@19|||FS2840|pin@17||0.25|-3|pin@30||-0.25|-1|ART_color()I10
5505 AThicker|net@20|||FS2560|pin@24||-0.25|-3|pin@20||0.25|-1|ART_color()I10
5506 AThicker|net@21|||FS1800|pin@31||-3|0|pin@32||-2.5|0|ART_color()I10
5507 AThicker|net@22|||FS2700|pin@33||-2.5|-4|pin@32||-2.5|0|ART_color()I10
5508 AThicker|net@23|||FS1800|pin@35||2.5|-4|pin@34||3|-4|ART_color()I10
5509 AThicker|net@24|||FS900|pin@36||2.5|0|pin@35||2.5|-4|ART_color()I10
5510 AThicker|net@25|||FS1800|pin@37||2.25|0|pin@36||2.5|0|ART_color()I10
5511 AThicker|net@26|||FS1800|pin@38||2.25|-4|pin@35||2.5|-4|ART_color()I10
5512 AThicker|net@27|||FS0|pin@39||-2.25|-4|pin@33||-2.5|-4|ART_color()I10
5513 AThicker|net@28|||FS0|pin@40||-2.25|0|pin@32||-2.5|0|ART_color()I10
5514 Ed||D5G1;|pin@2||O
5515 Eg||D5G1;|pin@1||I
5516 Eg2||D5G1;|pin@0||I
5517 X
5518
5519 # Cell pms2_sy;1{sch}
5520 Cpms2_sy;1{sch}||schematic|1021415734000|1157998674545||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20.5;Y-9.5;)S1|prototype_center()I[0,0]
5521 Ngeneric:Facet-Center|art@0||0|0||||AV
5522 NOff-Page|conn@0||-13|-1||||
5523 NOff-Page|conn@1||23.5|-1|||YRR|
5524 NOff-Page|conn@2||21|-11||||
5525 Ngeneric:Invisible-Pin|pin@0||-2.5|9|||||ART_message(D5G2;)S[symmetric fixed-size P-type two-stack]
5526 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
5527 NWire_Pin|pin@2||0.5|-1||||
5528 NWire_Pin|pin@3||4.5|-5||||
5529 NWire_Pin|pin@4||4.5|-1||||
5530 NWire_Pin|pin@5||0.5|-5||||
5531 NWire_Pin|pin@6||-4|-11||||
5532 NWire_Pin|pin@7||10|-11||||
5533 Ipms2;1{ic}|pms2@0||-4|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X/2.0
5534 Ipms2;1{ic}|pms2@1||10|-1|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S@X/2.0
5535 Ipms2_sy;1{ic}|pms2_sy@0||31|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1
5536 Awire|net@0|||0|conn@1|y|21.5|-1|pms2@1|g|13|-1
5537 Awire|net@1|||1800|conn@0|y|-11|-1|pms2@0|g|-7|-1
5538 Awire|net@2|||0|pin@2||0.5|-1|pms2@0|g|-7|-1
5539 Awire|net@3|||3150|pin@3||4.5|-5|pin@2||0.5|-1
5540 Awire|net@4|||0|pms2@1|g2|7|-5|pin@3||4.5|-5
5541 Awire|net@5|||1800|pin@4||4.5|-1|pms2@1|g|13|-1
5542 Awire|net@6|||2250|pin@5||0.5|-5|pin@4||4.5|-1
5543 Awire|net@7|||1800|pms2@0|g2|-1|-5|pin@5||0.5|-5
5544 Awire|net@8|||2700|pin@6||-4|-11|pms2@0|d|-4|-7
5545 Awire|net@9|||0|pin@7||10|-11|pin@6||-4|-11
5546 Awire|net@10|||900|pms2@1|d|10|-7|pin@7||10|-11
5547 Awire|net@11|||1800|pin@7||10|-11|conn@2|a|19|-11
5548 Ed||D5G2;|conn@2|y|O
5549 Eg||D5G2;|conn@0|a|I
5550 Eg2||D5G2;|conn@1|a|I
5551 X
5552
5553 # Cell pms2a;2{ic}
5554 Cpms2a;2{ic}|pms2|artwork|1021415734000|1228434146914|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5555 Ngeneric:Facet-Center|art@0||0|0||||AV
5556 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5557 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I10
5558 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5559 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5560 Nschematic:Bus_Pin|pin@2||-3|-4|-2|-2||
5561 NPin|pin@3||0|1|1|1||
5562 NPin|pin@4||-0.75|1|1|1||
5563 NPin|pin@5||-0.75|-1|1|1||
5564 NPin|pin@6||0|-1|1|1|YRR|
5565 NPin|pin@7||0|-2|1|1|YRR|
5566 NPin|pin@8||-3|0|||RR|
5567 NPin|pin@9||-2.5|0|1|1|RR|
5568 NPin|pin@10||0|2|1|1||
5569 NPin|pin@11||-0.5|2.5||||
5570 NPin|pin@12||0.5|1.5|1|1||
5571 NPin|pin@13||-1.5|-1|1|1||
5572 NPin|pin@14||-1.5|1|1|1||
5573 NPin|pin@15||-1.5|-3|1|1|YRR|
5574 NPin|pin@16||-1.5|-5|1|1|YRR|
5575 NPin|pin@17||0|-2|1|1|YRR|
5576 NPin|pin@18||-3|-4|||RR|
5577 NPin|pin@19||-2.5|-4|1|1|RR|
5578 NPin|pin@20||0|-6|||RR|
5579 NPin|pin@21||0|-5|1|1|YRR|
5580 NPin|pin@22||-0.75|-5|1|1|YRR|
5581 NPin|pin@23||-0.75|-3|1|1|YRR|
5582 NPin|pin@24||0|-3|1|1|YRR|
5583 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5584 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5585 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5586 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5587 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5588 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5589 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5590 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5591 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5592 AThicker|net@9|||FS0|pin@24||0|-3|pin@23||-0.75|-3|ART_color()I10
5593 AThicker|net@10|||FS900|pin@15||-1.5|-3|pin@16||-1.5|-5|ART_color()I10
5594 AThicker|net@11|||FS0|pin@19||-2.5|-4|pin@18||-3|-4|ART_color()I10
5595 AThicker|net@12|||FS1800|pin@22||-0.75|-5|pin@21||0|-5|ART_color()I10
5596 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5597 AThicker|net@14|||FS900|pin@23||-0.75|-3|pin@22||-0.75|-5|ART_color()I10
5598 Ed||D5G1;|pin@1||O
5599 Eg||D5G1;|pin@0||I
5600 Eg2||D5G1;|pin@2||I
5601 X
5602
5603 # Cell pms3;1{ic}
5604 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1;)I100|ATTR_X(D5G1.5;HNPX2.75;Y3;)I1|prototype_center()I[0,0]
5605 Ngeneric:Facet-Center|art@0||0|0||||AV
5606 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5607 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5608 NThick-Circle|art@3||-2|0|1|1|||ART_color()I10
5609 NPin|pin@0||0|-10|0.5|0.5||
5610 NPin|pin@1||-2.5|-8|||RR|
5611 NPin|pin@2||-3|-8|1|1|RR|
5612 NPin|pin@3||-1.5|-7|1|1|YRR|
5613 NPin|pin@4||-1.5|-9|1|1|YRR|
5614 NPin|pin@5||0|-9|0.5|0.5||
5615 NPin|pin@6||-0.75|-9|0.5|0.5||
5616 NPin|pin@7||-0.75|-7|0.5|0.5||
5617 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5618 NPin|pin@9||0|-3|1|1|YRR|
5619 NPin|pin@10||0.75|-3|1|1|YRR|
5620 NPin|pin@11||0.75|-5|1|1|YRR|
5621 NPin|pin@12||0|-5|1|1|YRR|
5622 NPin|pin@13||0|-7|||RR|
5623 NPin|pin@14||2.5|-4|1|1|RR|
5624 NPin|pin@15||3|-4|||RR|
5625 NPin|pin@16||0|-2|1|1|YRR|
5626 NPin|pin@17||1.5|-5|1|1|YRR|
5627 NPin|pin@18||1.5|-3|1|1|YRR|
5628 NPin|pin@19||-1.5|1|1|1||
5629 NPin|pin@20||-1.5|-1|1|1||
5630 NPin|pin@21||0.5|1.5|1|1||
5631 NPin|pin@22||-0.5|2.5||||
5632 NPin|pin@23||0|2|1|1||
5633 NPin|pin@24||-2.5|0|1|1|RR|
5634 NPin|pin@25||-3|0|||RR|
5635 NPin|pin@26||0|-2|1|1|YRR|
5636 NPin|pin@27||0|-1|1|1|YRR|
5637 NPin|pin@28||-0.75|-1|1|1||
5638 NPin|pin@29||-0.75|1|1|1||
5639 NPin|pin@30||0|1|1|1||
5640 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5641 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5642 Nschematic:Bus_Pin|pin@33||-3|0|-2|-2||
5643 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5644 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5645 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5646 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5647 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5648 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5649 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5650 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5651 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5652 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5653 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5654 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5655 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5656 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5657 AThicker|net@14|||FS900|pin@29||-0.75|1|pin@28||-0.75|-1|ART_color()I10
5658 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5659 AThicker|net@16|||FS1800|pin@28||-0.75|-1|pin@27||0|-1|ART_color()I10
5660 AThicker|net@17|||FS1800|pin@25||-3|0|pin@24||-2.5|0|ART_color()I10
5661 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5662 AThicker|net@19|||FS0|pin@30||0|1|pin@29||-0.75|1|ART_color()I10
5663 AThicker|net@20|||FS900|pin@19||-1.5|1|pin@20||-1.5|-1|ART_color()I10
5664 Ed||D5G1;|pin@32||O
5665 Eg||D5G1;|pin@33||I
5666 Eg2||D5G1;|pin@31||I
5667 Eg3||D5G1;|pin@8||I
5668 X
5669
5670 # Cell pms3;1{sch}
5671 Cpms3;1{sch}||schematic|1021415734000|1228702115699||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5672 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@0||0|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5673 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@1||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5674 IorangeTSMC090nm:PMOSx;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5675 Ngeneric:Facet-Center|art@0||0|0||||AV
5676 NOff-Page|conn@0||-8.5|-1||||
5677 NOff-Page|conn@1||8.5|7|||YRR|
5678 NOff-Page|conn@2||8|-10.5||||
5679 NOff-Page|conn@3||-8.5|15||||
5680 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[three fixed-size P-type transistors to VDD]
5681 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
5682 NWire_Pin|pin@2||0|-10.5||||
5683 Ipms3;1{ic}|pms3@0||28|22.38|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1
5684 Ipms3a;1{ic}|pms3a@0||27.5|5.5|||D5G4;|ATTR_Delay(D5G1;NPX-12;Y12;)I100|ATTR_X(D5G1;NPX-12.25;Y13;)I1
5685 NPower|pwr@0||0|22||||
5686 Awire|net@0|||2700|pin@2||0|-10.5|PMOS@0|d|0|-3
5687 Awire|net@1|||0|PMOS@0|g|-3|-1|conn@0|y|-6.5|-1
5688 Awire|net@2|||2700|PMOS@0|s|0|1|PMOS@1|d|0|5
5689 Awire|net@3|||1800|pin@2||0|-10.5|conn@2|a|6|-10.5
5690 Awire|net@4|||0|conn@1|y|6.5|7|PMOS@1|g|3|7
5691 Awire|net@5|||2700|PMOS@1|s|0|9|PMOS@2|d|0|13
5692 Awire|net@6|||1800|conn@3|y|-6.5|15|PMOS@2|g|-3|15
5693 Awire|net@7|||900|pwr@0||0|22|PMOS@2|s|0|17
5694 Ed||D5G2;|conn@2|y|O
5695 Eg||D5G2;|conn@3|a|I
5696 Eg2||D5G2;|conn@1|a|I
5697 Eg3||D5G2;|conn@0|a|I
5698 X
5699
5700 # Cell pms3a;1{ic}
5701 Cpms3a;1{ic}|pms3|artwork|1021415734000|1228702104316|E|ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5702 Ngeneric:Facet-Center|art@0||0|0||||AV
5703 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5704 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5705 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5706 NPin|pin@0||0|-10|0.5|0.5||
5707 NPin|pin@1||-2.5|-8|||RR|
5708 NPin|pin@2||-3|-8|1|1|RR|
5709 NPin|pin@3||-1.5|-7|1|1|YRR|
5710 NPin|pin@4||-1.5|-9|1|1|YRR|
5711 NPin|pin@5||0|-9|0.5|0.5||
5712 NPin|pin@6||-0.75|-9|0.5|0.5||
5713 NPin|pin@7||-0.75|-7|0.5|0.5||
5714 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5715 NPin|pin@9||0|-3|1|1|YRR|
5716 NPin|pin@10||0.75|-3|1|1|YRR|
5717 NPin|pin@11||0.75|-5|1|1|YRR|
5718 NPin|pin@12||0|-5|1|1|YRR|
5719 NPin|pin@13||0|-7|||RR|
5720 NPin|pin@14||2.5|-4|1|1|RR|
5721 NPin|pin@15||3|-4|||RR|
5722 NPin|pin@16||0|-2|1|1|YRR|
5723 NPin|pin@17||1.5|-5|1|1|YRR|
5724 NPin|pin@18||1.5|-3|1|1|YRR|
5725 NPin|pin@19||1.5|1|1|1||
5726 NPin|pin@20||1.5|-1|1|1||
5727 NPin|pin@21||0.5|1.5|1|1||
5728 NPin|pin@22||-0.5|2.5||||
5729 NPin|pin@23||0|2|1|1||
5730 NPin|pin@24||3|0|1|1|RR|
5731 NPin|pin@25||2.5|0|||RR|
5732 NPin|pin@26||0|-2|1|1|YRR|
5733 NPin|pin@27||0|-1|1|1|YRR|
5734 NPin|pin@28||0.75|-1|1|1||
5735 NPin|pin@29||0.75|1|1|1||
5736 NPin|pin@30||0|1|1|1||
5737 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5738 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5739 Nschematic:Bus_Pin|pin@33||3|0|-2|-2||
5740 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5741 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5742 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5743 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5744 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5745 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5746 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5747 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5748 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5749 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5750 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5751 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5752 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5753 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5754 AThicker|net@14|||FS900|pin@29||0.75|1|pin@28||0.75|-1|ART_color()I10
5755 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5756 AThicker|net@16|||FS0|pin@28||0.75|-1|pin@27||0|-1|ART_color()I10
5757 AThicker|net@17|||FS1800|pin@25||2.5|0|pin@24||3|0|ART_color()I10
5758 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5759 AThicker|net@19|||FS1800|pin@30||0|1|pin@29||0.75|1|ART_color()I10
5760 AThicker|net@20|||FS900|pin@19||1.5|1|pin@20||1.5|-1|ART_color()I10
5761 Ed||D5G1;|pin@32||O
5762 Eg||D5G1;|pin@33||I
5763 Eg2||D5G1;|pin@31||I
5764 Eg3||D5G1;|pin@8||I
5765 X
5766
5767 # Cell triInv;1{ic}
5768 CtriInv;1{ic}||artwork|1092081409000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2;)S1|prototype_center()I[0,0]
5769 Ngeneric:Facet-Center|art@0||0|0||||AV
5770 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I10
5771 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5772 Nschematic:Bus_Pin|pin@0||0|-2||||
5773 Nschematic:Bus_Pin|pin@2||0|2||||
5774 Nschematic:Bus_Pin|pin@4||-2.5|0||||
5775 Nschematic:Bus_Pin|pin@6||2.5|0||||
5776 NPin|pin@15||1.5|0|1|1||
5777 NPin|pin@17||-1.5|0|1|1||
5778 NPin|pin@18||-2.5|0||||
5779 NPin|pin@20||-1.5|2|1|1||
5780 NPin|pin@21||-1.5|-2|1|1||
5781 NPin|pin@22||0|-1|1|1||
5782 NPin|pin@23||0|-2|1|1||
5783 NPin|pin@24||0|2|1|1||
5784 NPin|pin@25||0|1.5|1|1||
5785 AThicker|net@8|||FS3263|pin@15||1.5|0|pin@20||-1.5|2|ART_color()I10
5786 AThicker|net@9|||FS337|pin@15||1.5|0|pin@21||-1.5|-2|ART_color()I10
5787 AThicker|net@10|||FS0|pin@17||-1.5|0|pin@18||-2.5|0|ART_color()I10
5788 AThicker|net@11|||FS2700|pin@21||-1.5|-2|pin@20||-1.5|2|ART_color()I10
5789 AThicker|net@12|||FS2700|pin@23||0|-2|pin@22||0|-1|ART_color()I10
5790 AThicker|net@13|||FS2700|pin@25||0|1.5|pin@24||0|2|ART_color()I10
5791 Een||D5G1;|pin@0||I
5792 EenB||D5G1;|pin@2||I
5793 Ein||D5G1;|pin@4||I
5794 Eout||D5G1;|pin@6||O
5795 X
5796
5797 # Cell triInv;1{sch}
5798 CtriInv;1{sch}||schematic|1092081210000|1157998403442||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|prototype_center()I[0,0]
5799 Ngeneric:Facet-Center|art@0||0|0||||AV
5800 NOff-Page|conn@0||-13|0||||
5801 NOff-Page|conn@1||12|0||||
5802 NOff-Page|conn@2||12|4|||RR|
5803 NOff-Page|conn@3||12|-4|||RR|
5804 Inms2b;1{ic}|nms2@0||0|-8|||D5G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5805 NWire_Pin|pin@1||-6|0||||
5806 NWire_Pin|pin@2||-6|8||||
5807 NWire_Pin|pin@3||-6|-8||||
5808 NWire_Pin|pin@4||0|0||||
5809 Ngeneric:Invisible-Pin|pin@5||-4|17|||||ART_message(D5G5;)StriInv
5810 Ngeneric:Invisible-Pin|pin@6||-4|13|||||ART_message(D5G2;)Stristate inverter
5811 Ipms2;1{ic}|pms2@0||0|8|||D5G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5812 ItriInv;1{ic}|triInv@2||11|14|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2;)S1
5813 Awire|net@0|||2700|nms2@0|d|0|-2|pin@4||0|0
5814 Awire|net@1|||1800|conn@0|y|-11|0|pin@1||-6|0
5815 Awire|net@2|||2700|pin@1||-6|0|pin@2||-6|8
5816 Awire|net@3|||1800|pin@2||-6|8|pms2@0|g|-3|8
5817 Awire|net@4|||900|pin@1||-6|0|pin@3||-6|-8
5818 Awire|net@5|||1800|pin@3||-6|-8|nms2@0|g|-3|-8
5819 Awire|net@6|||0|conn@3|y|10|-4|nms2@0|g2|3|-4
5820 Awire|net@7|||0|conn@2|y|10|4|pms2@0|g2|3|4
5821 Awire|net@9|||0|conn@1|a|10|0|pin@4||0|0
5822 Awire|net@10|||2700|pin@4||0|0|pms2@0|d|0|2
5823 Een||D5G2;|conn@3|a|I
5824 EenB||D5G2;|conn@2|a|I
5825 Ein||D5G2;|conn@0|a|I
5826 Eout||D5G2;|conn@1|y|O
5827 X
5828
5829 # Cell xor2;1{ic}
5830 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5831 Ngeneric:Facet-Center|art@0||0|0||||AV
5832 NThick-Circle|art@1||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5833 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5834 NThick-Circle|art@3||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
5835 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I10|ART_degrees()I800
5836 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I10
5837 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I10
5838 Nschematic:Bus_Pin|pin@0||-3.5|-0.5|-2|-2||
5839 NPin|pin@1||-1.5|-0.5|1|1||
5840 NPin|pin@2||-3.5|-0.5||||
5841 Nschematic:Bus_Pin|pin@3||-3.5|1.5|-2|-2||
5842 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
5843 NPin|pin@5||-3.5|0.5||||
5844 NPin|pin@6||-2.5|0.5|1|1||
5845 NPin|pin@7||-1|-1.25|1|1||
5846 NPin|pin@8||-0.5|-1.75|1|1||
5847 NPin|pin@9||-2|1.5|1|1||
5848 NPin|pin@10||-3.5|1.5||||
5849 Ngeneric:Invisible-Pin|pin@11||-3.5|0.5||||
5850 NPin|pin@12||-3.5|-1.5||||
5851 NPin|pin@13||-3|-1.5|1|1||
5852 Ngeneric:Invisible-Pin|pin@14||-3.5|-1.5||||
5853 AThicker|net@0|||FS0|pin@1||-1.5|-0.5|pin@2||-3.5|-0.5|ART_color()I10
5854 AThicker|net@1|||FS0|pin@6||-2.5|0.5|pin@5||-3.5|0.5|ART_color()I10
5855 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
5856 AThicker|net@3|||FS0|pin@9||-2|1.5|pin@10||-3.5|1.5|ART_color()I10
5857 AThicker|net@4|||FS0|pin@13||-3|-1.5|pin@12||-3.5|-1.5|ART_color()I10
5858 Eina||D5G1;|pin@0||I
5859 EinaB||D5G1;|pin@14||I
5860 Einb||D5G1;|pin@3||I
5861 EinbB||D5G1;|pin@11||I
5862 Eout||D5G1;|pin@4||O
5863 X
5864
5865 # Cell xor2;1{sch}
5866 Cxor2;1{sch}||schematic|1021415734000|1157998393633||ATTR_Delay(D5G1;HNPX-22;Y-13.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-22;Y-12.5;)S1|ATTR_drive0(D5G1;HNPTX-22;Y-14.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-22;Y-15.5;)Sstrong1|prototype_center()I[0,0]
5867 Ngeneric:Facet-Center|art@0||0|0||||AV
5868 NOff-Page|conn@0||-17|4||||
5869 NOff-Page|conn@1||-17|-4|||Y|
5870 NOff-Page|conn@2||12|0||||
5871 NOff-Page|conn@3||25|-4|||RR|
5872 NOff-Page|conn@4||25|4|||YRR|
5873 Inms2b;1{ic}|nms2@0||-5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5874 Inms2b;1{ic}|nms2@1||5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5875 NWire_Pin|pin@0||-10|-8||||
5876 Ngeneric:Invisible-Pin|pin@1||-3|18|||||ART_message(D5G2;)S[one-parameter fixed-size XOR]
5877 NWire_Pin|pin@2||-12|10||||
5878 NWire_Pin|pin@3||-12|-4||||
5879 Ngeneric:Invisible-Pin|pin@4||0.5|24.5|||||ART_message(D5G6;)S[xor2]
5880 NWire_Pin|pin@5||-10|8||||
5881 NWire_Pin|pin@6||-5|0||||
5882 NWire_Pin|pin@7||-5|3.5||||
5883 NWire_Pin|pin@8||5|0||||
5884 NWire_Pin|pin@9||-10|4||||
5885 NWire_Pin|pin@10||18|4||||
5886 NWire_Pin|pin@11||18|-8||||
5887 NWire_Pin|pin@12||-12|-12||||
5888 NWire_Pin|pin@13||20|-4||||
5889 NWire_Pin|pin@14||20|-12||||
5890 NWire_Pin|pin@15||20|10||||
5891 NWire_Pin|pin@16||18|8||||
5892 NWire_Pin|pin@17||-1|10||||
5893 NWire_Pin|pin@18||1|12||||
5894 NWire_Pin|pin@19||1|10||||
5895 NWire_Pin|pin@20||-1|12||||
5896 Ipms2;1{ic}|pms2@0||-5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5897 Ipms2;1{ic}|pms2@1||5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5898 Ixor2;1{ic}|xor2@0||28.48|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5899 Awire|net@0|||2700|pin@3||-12|-4|pin@2||-12|10
5900 Awire|net@1|||0|pin@3||-12|-4|conn@1|y|-15|-4
5901 Awire|net@2|||2700|pin@6||-5|0|pms2@0|d|-5|6
5902 Awire|net@3|||2700|pin@6||-5|0|pin@7||-5|3.5
5903 Awire|net@4|||900|pin@7||-5|3.5|nms2@0|d|-5|-6
5904 Awire|net@5|||1800|pin@8||5|0|conn@2|a|10|0
5905 Awire|net@6|||1800|pin@6||-5|0|pin@8||5|0
5906 Awire|net@7|||900|pin@8||5|0|nms2@1|d|5|-6
5907 Awire|net@8|||2700|pin@0||-10|-8|pin@9||-10|4
5908 Awire|net@9|||2700|pin@9||-10|4|pin@5||-10|8
5909 Awire|net@10|||0|pin@9||-10|4|conn@0|y|-15|4
5910 Awire|net@11|||0|nms2@0|g2|-2|-8|pin@0||-10|-8
5911 Awire|net@12|||1800|pin@10||18|4|conn@4|y|23|4
5912 Awire|net@13|||2700|pin@11||18|-8|pin@10||18|4
5913 Awire|net@14|||1800|nms2@1|g2|8|-8|pin@11||18|-8
5914 Awire|net@15|||2700|pin@12||-12|-12|pin@3||-12|-4
5915 Awire|net@16|||0|nms2@0|g|-8|-12|pin@12||-12|-12
5916 Awire|net@17|||1800|pin@13||20|-4|conn@3|y|23|-4
5917 Awire|net@18|||2700|pin@14||20|-12|pin@13||20|-4
5918 Awire|net@19|||1800|nms2@1|g|2|-12|pin@14||20|-12
5919 Awire|net@20|||900|pin@15||20|10|pin@13||20|-4
5920 Awire|net@21|||0|pms2@0|g2|-2|8|pin@5||-10|8
5921 Awire|net@22|||2700|pin@8||5|0|pms2@1|d|5|6
5922 Awire|net@23|||900|pin@16||18|8|pin@10||18|4
5923 Awire|net@24|||1800|pms2@1|g2|8|8|pin@16||18|8
5924 Awire|net@25|||0|pin@17||-1|10|pin@2||-12|10
5925 Awire|net@26|||450|pin@18||1|12|pin@17||-1|10
5926 Awire|net@27|||0|pms2@1|g|2|12|pin@18||1|12
5927 Awire|net@28|||1800|pin@19||1|10|pin@15||20|10
5928 Awire|net@29|||1350|pin@20||-1|12|pin@19||1|10
5929 Awire|net@30|||1800|pms2@0|g|-8|12|pin@20||-1|12
5930 Eina||D5G2;|conn@0|a|I
5931 EinaB||D5G2;|conn@4|a|I
5932 Einb||D5G2;|conn@1|a|I
5933 EinbB||D5G2;|conn@3|a|I
5934 Eout||D5G2;|conn@2|y|O
5935 X