merge omegaCounter 40nm/90nm branches into chips/omegaCounter/
[fleet.git] / chips / omegaCounter / 40nm / electric / orange40nm.jelib
1 # header information:
2 Horange40nm|8.10a
3
4 # Views:
5 Vicon|ic
6 Vschematic|sch
7
8 # Tools:
9 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
10 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
11 OGateLayoutGenerator|enableNCC()SPurpleFour
12 OSTA|GlobalSDCCommands()S"\n### 4 GHz clock setup\ncreate_clock -period 0.250 -name clk -waveform \"0 0.125\" clk\nset_clock_uncertainty -setup 0.010 clk\nset_clock_uncertainty -hold 0.010 clk\nset_propagated_clock clk\nset_clock_transition -rise 0.030 clk\nset_clock_transition -fall 0.030 clk\n#set_driving_cell -lib_cell inv_X008_0 clk\n\n### remove scan path from timing\nset_false_path -through */so\nset_false_path -through */*/so\nset_false_path -through */*/*/so\nset_false_path -through */*/*/*/so\nset_false_path -from {sin}\nset_false_path -from {scanEn}\nset_false_path -to {sout}\n"
13
14 # Technologies:
15 Tartwork|SelectedFoundryForartwork()S""
16 Tcmos90|"GDS(TSMC)LayerForOD33INcmos90"()S111
17 Ttft|SelectedFoundryFortft()SMOSIS
18
19 # Cell NMOS4f;1{ic}
20 CNMOS4f;1{ic}||artwork|1021415734000|1241210880338|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
21 Ngeneric:Facet-Center|art@0||0|0||||AV
22 Ngeneric:Invisible-Pin|pin@0||0|-2||||
23 NPin|pin@1||-1.5|0|1|1|RR|
24 NPin|pin@2||-3|0|||RR|
25 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
26 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
27 NPin|pin@5||0|-2||||
28 NPin|pin@6||-1.5|1|1|1||
29 NPin|pin@7||-1.5|-1|1|1||
30 NPin|pin@8||0|-1||||
31 NPin|pin@9||-0.75|-1|1|1||
32 NPin|pin@10||-0.75|1|1|1||
33 NPin|pin@11||0|1||||
34 NPin|pin@12||0|2||||
35 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
36 NPin|pin@15||-0.25|-0.75||||
37 NPin|pin@16||-0.25|-0.25||||
38 NPin|pin@17||-0.75|-0.5|1|1|RR|
39 NPin|pin@18||0|-0.5|||RR|
40 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
41 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I74
42 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I74
43 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
44 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
45 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
46 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
47 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
48 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
49 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
50 Eb||D5G1;|pin@13||B
51 Ed||D5G1;|pin@4||B
52 Eg||D5G1;|pin@3||I
53 Es||D5G1;|pin@0||B
54 X
55
56 # Cell NMOS4f;1{sch}
57 CNMOS4f;1{sch}||schematic|1021415734000|1243981113049||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
58 INMOS4f;1{ic}|NMOS4f@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
59 Ngeneric:Facet-Center|art@0||0|0||||AV
60 NOff-Page|conn@0||6|-16.5||||
61 NOff-Page|conn@1||6.5|0||||
62 NOff-Page|conn@2||-16.5|-8||||
63 NOff-Page|conn@3||5.5|-9||||
64 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch
65 NWire_Pin|pin@0||0|-16.5||||
66 NWire_Pin|pin@1||0|0||||
67 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOS4f
68 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S4-terminal standard threshold NMOS device
69 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
70 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
71 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
72 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
73 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
74 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
75 Eb||D5G2;|conn@3|y|B
76 Ed||D5G2;|conn@1|y|B
77 Eg||D5G2;|conn@2|a|I
78 Es||D5G2;|conn@0|y|B
79 X
80
81 # Cell NMOS4f_dnw;1{ic}
82 CNMOS4f_dnw;1{ic}||artwork|1021415734000|1244675981257|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
83 Ngeneric:Facet-Center|art@0||0|0||||AV
84 Ngeneric:Invisible-Pin|pin@0||0|-2||||
85 NPin|pin@1||-1.5|0|1|1|RR|
86 NPin|pin@2||-3|0|||RR|
87 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
88 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
89 NPin|pin@5||0|-2||||
90 NPin|pin@6||-1.5|1|1|1||
91 NPin|pin@7||-1.5|-1|1|1||
92 NPin|pin@8||0|-1||||
93 NPin|pin@9||-0.75|-1|1|1||
94 NPin|pin@10||-0.75|1|1|1||
95 NPin|pin@11||0|1||||
96 NPin|pin@12||0|2||||
97 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
98 NPin|pin@15||-0.25|-0.75||||
99 NPin|pin@16||-0.25|-0.25||||
100 NPin|pin@17||-0.75|-0.5|1|1|RR|
101 NPin|pin@18||0|-0.5|||RR|
102 Ngeneric:Invisible-Pin|pin@19||0.5|0.5|||||ART_message(BC106;D5G1;)Sdnw
103 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
104 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I74
105 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I74
106 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
107 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
108 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
109 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
110 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
111 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
112 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
113 Eb||D5G1;|pin@13||B
114 Ed||D5G1;|pin@4||B
115 Eg||D5G1;|pin@3||I
116 Es||D5G1;|pin@0||B
117 X
118
119 # Cell NMOS4f_dnw;1{sch}
120 CNMOS4f_dnw;1{sch}||schematic|1021415734000|1244676270446||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch_dnw W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_dnw W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
121 INMOS4f_dnw;1{ic}|NMOS4f_d@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
122 Ngeneric:Facet-Center|art@0||0|0||||AV
123 NOff-Page|conn@0||6|-16.5||||
124 NOff-Page|conn@1||6.5|0||||
125 NOff-Page|conn@2||-16.5|-8||||
126 NOff-Page|conn@3||5.5|-9||||
127 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch
128 NWire_Pin|pin@0||0|-16.5||||
129 NWire_Pin|pin@1||0|0||||
130 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOS4f_dnw
131 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S4-terminal standard threshold deep nwell NMOS device
132 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
133 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
134 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
135 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
136 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
137 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
138 Eb||D5G2;|conn@3|y|B
139 Ed||D5G2;|conn@1|y|B
140 Eg||D5G2;|conn@2|a|I
141 Es||D5G2;|conn@0|y|B
142 X
143
144 # Cell NMOS4f_high;1{ic}
145 CNMOS4f_high;1{ic}||artwork|1021415734000|1245267258273|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
146 Ngeneric:Facet-Center|art@0||0|0||||AV
147 Ngeneric:Invisible-Pin|pin@0||0|-2||||
148 NPin|pin@1||-2|0|1|1|RR|
149 NPin|pin@2||-3|0|||RR|
150 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
151 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
152 NPin|pin@5||0|-2||||
153 NPin|pin@6||-2|1|1|1||
154 NPin|pin@7||-2|-1|1|1||
155 NPin|pin@8||0|-1||||
156 NPin|pin@9||-0.75|-1|1|1||
157 NPin|pin@10||-0.75|1|1|1||
158 NPin|pin@11||0|1||||
159 NPin|pin@12||0|2||||
160 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
161 NPin|pin@15||-0.25|-0.75||||
162 NPin|pin@16||-0.25|-0.25||||
163 NPin|pin@17||-0.75|-0.5|1|1|RR|
164 NPin|pin@18||0|-0.5|||RR|
165 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
166 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-2|0|ART_color()I74
167 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
168 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
169 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
170 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
171 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
172 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
173 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
174 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
175 Eb||D5G1;|pin@13||B
176 Ed||D5G1;|pin@4||B
177 Eg||D5G1;|pin@3||I
178 Es||D5G1;|pin@0||B
179 X
180
181 # Cell NMOS4f_high;1{sch}
182 CNMOS4f_high;1{sch}||schematic|1021415734000|1245267253761||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_hvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_hvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
183 INMOS4f_high;1{ic}|NMOS4f_h@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
184 Ngeneric:Facet-Center|art@0||0|0||||AV
185 NOff-Page|conn@0||6|-16.5||||
186 NOff-Page|conn@1||6.5|0||||
187 NOff-Page|conn@2||-16.5|-8||||
188 NOff-Page|conn@3||5.5|-9||||
189 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_hvt
190 NWire_Pin|pin@0||0|-16.5||||
191 NWire_Pin|pin@1||0|0||||
192 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOS4f_high
193 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S4-terminal high threshold NMOS device
194 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
195 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
196 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
197 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
198 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
199 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
200 Eb||D5G2;|conn@3|y|B
201 Ed||D5G2;|conn@1|y|B
202 Eg||D5G2;|conn@2|a|I
203 Es||D5G2;|conn@0|y|B
204 X
205
206 # Cell NMOS4f_io18;1{ic}
207 CNMOS4f_io18;1{ic}||artwork|1021415734000|1244051425806|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S15|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S32|prototype_center()I[0,-8000]
208 Ngeneric:Facet-Center|art@0||0|0||||AV
209 Ngeneric:Invisible-Pin|pin@0||0|-2||||
210 NPin|pin@1||-2|0|1|1|RR|
211 NPin|pin@2||-3.5|0|||RR|
212 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
213 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
214 NPin|pin@5||0|-2||||
215 NPin|pin@6||-2|1|1|1||
216 NPin|pin@7||-2|-1|1|1||
217 NPin|pin@8||0|-1||||
218 NPin|pin@9||-0.75|-1|1|1||
219 NPin|pin@10||-0.75|1|1|1||
220 NPin|pin@11||0|1||||
221 NPin|pin@12||0|2||||
222 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
223 NPin|pin@15||-0.25|-0.75||||
224 NPin|pin@16||-0.25|-0.25||||
225 NPin|pin@17||-0.75|-0.5|1|1|RR|
226 NPin|pin@18||0|-0.5|||RR|
227 Ngeneric:Invisible-Pin|pin@19||-2.25|1.75|||||ART_message(D5G1;)S1.8V
228 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
229 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I74
230 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
231 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
232 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
233 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
234 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
235 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
236 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
237 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
238 Eb||D5G1;|pin@13||B
239 Ed||D5G1;|pin@4||B
240 Eg||D5G1;|pin@3||I
241 Es||D5G1;|pin@0||B
242 X
243
244 # Cell NMOS4f_io18;1{sch}
245 CNMOS4f_io18;1{sch}||schematic|1021415734000|1245272156473||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S15|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S32|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_18_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_18 W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
246 INMOS4f_io18;1{ic}|NMOS4f_i@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S15|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S32
247 Ngeneric:Facet-Center|art@0||0|0||||AV
248 NOff-Page|conn@0||6|-16.5||||
249 NOff-Page|conn@1||6.5|0||||
250 NOff-Page|conn@2||-16.5|-8||||
251 NOff-Page|conn@3||5.5|-9||||
252 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_18
253 NWire_Pin|pin@0||0|-16.5||||
254 NWire_Pin|pin@1||0|0||||
255 Ngeneric:Invisible-Pin|pin@2||1.5|18|||||ART_message(D5G6;)SNMOS4f_io18
256 Ngeneric:Invisible-Pin|pin@3||1.5|11.5|||||ART_message(D5G2;)S4-terminal NMOS device for 1.8V I/O pads
257 Ngeneric:Invisible-Pin|pin@4||2.5|8|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 15 (0.15um)
258 Ngeneric:Invisible-Pin|pin@5||1|6|||||ART_message(D5G2;)Sminimum width is 32 (0.32um)
259 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
260 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
261 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
262 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
263 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
264 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
265 Eb||D5G2;|conn@3|y|B
266 Ed||D5G2;|conn@1|y|B
267 Eg||D5G2;|conn@2|a|I
268 Es||D5G2;|conn@0|y|B
269 X
270
271 # Cell NMOS4f_low;1{ic}
272 CNMOS4f_low;1{ic}||artwork|1021415734000|1245267035055|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
273 Ngeneric:Facet-Center|art@0||0|0||||AV
274 Ngeneric:Invisible-Pin|pin@0||0|-2||||
275 NPin|pin@1||-1|0|1|1|RR|
276 NPin|pin@2||-3|0|||RR|
277 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
278 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
279 NPin|pin@5||0|-2||||
280 NPin|pin@6||-1|1|1|1||
281 NPin|pin@7||-1|-1|1|1||
282 NPin|pin@8||0|-1||||
283 NPin|pin@9||-0.75|-1|1|1||
284 NPin|pin@10||-0.75|1|1|1||
285 NPin|pin@11||0|1||||
286 NPin|pin@12||0|2||||
287 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
288 NPin|pin@15||-0.25|-0.75||||
289 NPin|pin@16||-0.25|-0.25||||
290 NPin|pin@17||-0.75|-0.5|1|1|RR|
291 NPin|pin@18||0|-0.5|||RR|
292 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
293 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1|0|ART_color()I74
294 AThicker|net@2|||FS900|pin@6||-1|1|pin@7||-1|-1|ART_color()I74
295 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
296 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
297 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
298 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
299 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
300 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
301 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
302 Eb||D5G1;|pin@13||B
303 Ed||D5G1;|pin@4||B
304 Eg||D5G1;|pin@3||I
305 Es||D5G1;|pin@0||B
306 X
307
308 # Cell NMOS4f_low;1{sch}
309 CNMOS4f_low;1{sch}||schematic|1021415734000|1245267028797||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_lvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_lvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
310 INMOS4f_low;1{ic}|NMOS4f_l@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
311 Ngeneric:Facet-Center|art@0||0|0||||AV
312 NOff-Page|conn@0||6|-16.5||||
313 NOff-Page|conn@1||6.5|0||||
314 NOff-Page|conn@2||-16.5|-8||||
315 NOff-Page|conn@3||5.5|-9||||
316 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_lvt
317 NWire_Pin|pin@0||0|-16.5||||
318 NWire_Pin|pin@1||0|0||||
319 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOS4f_low
320 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S4-terminal low threshold NMOS device
321 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
322 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
323 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
324 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
325 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
326 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
327 Eb||D5G2;|conn@3|y|B
328 Ed||D5G2;|conn@1|y|B
329 Eg||D5G2;|conn@2|a|I
330 Es||D5G2;|conn@0|y|B
331 X
332
333 # Cell NMOS4f_native;1{ic}
334 CNMOS4f_native;1{ic}||artwork|1021415734000|1241331284383|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S30|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
335 Ngeneric:Facet-Center|art@0||0|0||||AV
336 Ngeneric:Invisible-Pin|pin@0||0|-2||||
337 NPin|pin@1||-0.75|0|1|1|RR|
338 NPin|pin@2||-2.5|0|||RR|
339 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
340 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
341 NPin|pin@5||0|-2||||
342 NPin|pin@8||0|-1||||
343 NPin|pin@9||-0.75|-1|1|1||
344 NPin|pin@10||-0.75|1|1|1||
345 NPin|pin@11||0|1||||
346 NPin|pin@12||0|2||||
347 Nschematic:Bus_Pin|pin@13||0|-0.5|-2|-2||
348 NPin|pin@15||-0.25|-0.75||||
349 NPin|pin@16||-0.25|-0.25||||
350 NPin|pin@17||-0.75|-0.5|1|1|RR|
351 NPin|pin@18||0|-0.5|||RR|
352 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
353 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-0.75|0|ART_color()I74
354 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
355 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
356 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
357 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
358 AThicker|net@8|||FS2250|pin@15||-0.25|-0.75|pin@18||0|-0.5|ART_color()I74
359 AThicker|net@9|||FS1350|pin@16||-0.25|-0.25|pin@18||0|-0.5|ART_color()I74
360 AThicker|net@10|||FS1800|pin@17||-0.75|-0.5|pin@18||0|-0.5|ART_color()I74
361 Eb||D5G1;|pin@13||B
362 Ed||D5G1;|pin@4||B
363 Eg||D5G1;|pin@3||I
364 Es||D5G1;|pin@0||B
365 X
366
367 # Cell NMOS4f_native;1{sch}
368 CNMOS4f_native;1{sch}||schematic|1021415734000|1245272183894||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S30|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) $(b) nch_na_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) $(b) nch_na W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
369 INMOS4f_native;1{ic}|NMOS4f_n@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S30|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
370 Ngeneric:Facet-Center|art@0||0|0||||AV
371 NOff-Page|conn@0||6|-16.5||||
372 NOff-Page|conn@1||6.5|0||||
373 NOff-Page|conn@2||-16.5|-8||||
374 NOff-Page|conn@3||5.5|-9||||
375 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_na
376 NWire_Pin|pin@0||0|-16.5||||
377 NWire_Pin|pin@1||0|0||||
378 Ngeneric:Invisible-Pin|pin@2||1.5|17|||||ART_message(D5G6;)SNMOS4f_native
379 Ngeneric:Invisible-Pin|pin@3||1.5|10.5|||||ART_message(D5G2;)S4-terminal native NMOS device
380 Ngeneric:Invisible-Pin|pin@4||3.5|6.5|||||ART_message(D5G2;)Sminimum length for native devices is 30 (0.30um)
381 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
382 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
383 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
384 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
385 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
386 Awire|net@6|||0|conn@3|a|3.5|-9|nmos4p@0|b|0|-9
387 Eb||D5G2;|conn@3|y|B
388 Ed||D5G2;|conn@1|y|B
389 Eg||D5G2;|conn@2|a|I
390 Es||D5G2;|conn@0|y|B
391 X
392
393 # Cell NMOSf;1{ic}
394 CNMOSf;1{ic}||artwork|1021415734000|1241200531652|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
395 Ngeneric:Facet-Center|art@0||0|0||||AV
396 Ngeneric:Invisible-Pin|pin@0||0|-2||||
397 NPin|pin@1||-1.5|0|1|1|RR|
398 NPin|pin@2||-3|0|||RR|
399 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
400 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
401 NPin|pin@5||0|-2||||
402 NPin|pin@6||-1.5|1|1|1||
403 NPin|pin@7||-1.5|-1|1|1||
404 NPin|pin@8||0|-1||||
405 NPin|pin@9||-0.75|-1|1|1||
406 NPin|pin@10||-0.75|1|1|1||
407 NPin|pin@11||0|1||||
408 NPin|pin@12||0|2||||
409 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
410 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I74
411 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I74
412 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
413 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
414 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
415 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
416 Ed||D5G1;|pin@4||B
417 Eg||D5G1;|pin@3||I
418 Es||D5G1;|pin@0||B
419 X
420
421 # Cell NMOSf;1{sch}
422 CNMOSf;1{sch}||schematic|1021415734000|1243980877666||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) gnd nch_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) gnd nch W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
423 INMOSf;1{ic}|NMOSf@1||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S15
424 Ngeneric:Facet-Center|art@0||0|0||||AV
425 NOff-Page|conn@0||6|-16.5||||
426 NOff-Page|conn@1||6.5|0||||
427 NOff-Page|conn@2||-16.5|-8||||
428 NGround|gnd@0||5|-11||||
429 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX0.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch
430 NWire_Pin|pin@0||0|-16.5||||
431 NWire_Pin|pin@1||0|0||||
432 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)S[NMOSf]
433 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal standard threshold NMOS device
434 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
435 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
436 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
437 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
438 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
439 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
440 Ed||D5G2;|conn@1|y|B
441 Eg||D5G2;|conn@2|a|I
442 Es||D5G2;|conn@0|y|B
443 X
444
445 # Cell NMOSf_high;1{ic}
446 CNMOSf_high;1{ic}||artwork|1021415734000|1245267168676|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
447 Ngeneric:Facet-Center|art@0||0|0||||AV
448 Ngeneric:Invisible-Pin|pin@0||0|-2||||
449 NPin|pin@1||-2|0|1|1|RR|
450 NPin|pin@2||-3|0|||RR|
451 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
452 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
453 NPin|pin@5||0|-2||||
454 NPin|pin@6||-2|1|1|1||
455 NPin|pin@7||-2|-1|1|1||
456 NPin|pin@8||0|-1||||
457 NPin|pin@9||-0.75|-1|1|1||
458 NPin|pin@10||-0.75|1|1|1||
459 NPin|pin@11||0|1||||
460 NPin|pin@12||0|2||||
461 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
462 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-2|0|ART_color()I74
463 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
464 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
465 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
466 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
467 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
468 Ed||D5G1;|pin@4||B
469 Eg||D5G1;|pin@3||I
470 Es||D5G1;|pin@0||B
471 X
472
473 # Cell NMOSf_high;1{sch}
474 CNMOSf_high;1{sch}||schematic|1021415734000|1245267219413||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) gnd nch_hvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_hvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
475 INMOSf_high;1{ic}|NMOSf_hi@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S15
476 Ngeneric:Facet-Center|art@0||0|0||||AV
477 NOff-Page|conn@0||6|-16.5||||
478 NOff-Page|conn@1||6.5|0||||
479 NOff-Page|conn@2||-16.5|-8||||
480 NGround|gnd@0||5|-11||||
481 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX0.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_hvt
482 NWire_Pin|pin@0||0|-16.5||||
483 NWire_Pin|pin@1||0|0||||
484 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOSf_high
485 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal high threshold NMOS device
486 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
487 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
488 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
489 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
490 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
491 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
492 Ed||D5G2;|conn@1|y|B
493 Eg||D5G2;|conn@2|a|I
494 Es||D5G2;|conn@0|y|B
495 X
496
497 # Cell NMOSf_io18;1{ic}
498 CNMOSf_io18;1{ic}||artwork|1021415734000|1244051380936|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S15|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S32|prototype_center()I[0,-8000]
499 Ngeneric:Facet-Center|art@0||0|0||||AV
500 Ngeneric:Invisible-Pin|pin@0||0|-2||||
501 NPin|pin@1||-2|0|1|1|RR|
502 NPin|pin@2||-3.5|0|||RR|
503 Nschematic:Bus_Pin|pin@3||-3.5|0|-2|-2||
504 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
505 NPin|pin@5||0|-2||||
506 NPin|pin@6||-2|1|1|1||
507 NPin|pin@7||-2|-1|1|1||
508 NPin|pin@8||0|-1||||
509 NPin|pin@9||-0.75|-1|1|1||
510 NPin|pin@10||-0.75|1|1|1||
511 NPin|pin@11||0|1||||
512 NPin|pin@12||0|2||||
513 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
514 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
515 AThicker|net@1|||FS1800|pin@2||-3.5|0|pin@1||-2|0|ART_color()I74
516 AThicker|net@2|||FS900|pin@6||-2|1|pin@7||-2|-1|ART_color()I74
517 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
518 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
519 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
520 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
521 Ed||D5G1;|pin@4||B
522 Eg||D5G1;|pin@3||I
523 Es||D5G1;|pin@0||B
524 X
525
526 # Cell NMOSf_io18;1{sch}
527 CNMOSf_io18;1{sch}||schematic|1021415734000|1245272160722||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S15|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S32|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) gnd nch_18_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_18 W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
528 INMOSf_io18;1{ic}|NMOSf_io@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S15|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S32
529 Ngeneric:Facet-Center|art@0||0|0||||AV
530 NOff-Page|conn@0||6|-16.5||||
531 NOff-Page|conn@1||6.5|0||||
532 NOff-Page|conn@2||-16.5|-8||||
533 NGround|gnd@0||5|-11||||
534 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX0.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_18
535 NWire_Pin|pin@0||0|-16.5||||
536 NWire_Pin|pin@1||0|0||||
537 Ngeneric:Invisible-Pin|pin@2||1.5|18|||||ART_message(D5G6;)SNMOSf_io18
538 Ngeneric:Invisible-Pin|pin@3||1.5|11.5|||||ART_message(D5G2;)S3-terminal NMOS device for 1.8V I/O pads
539 Ngeneric:Invisible-Pin|pin@4||3|8|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 15 (0.15um)
540 Ngeneric:Invisible-Pin|pin@5||3|6|||||ART_message(D5G2;)Sminimum width is 32 (0.32um)
541 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
542 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
543 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
544 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
545 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
546 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
547 Ed||D5G2;|conn@1|y|B
548 Eg||D5G2;|conn@2|a|I
549 Es||D5G2;|conn@0|y|B
550 X
551
552 # Cell NMOSf_low;1{ic}
553 CNMOSf_low;1{ic}||artwork|1021415734000|1245266962978|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S4|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
554 Ngeneric:Facet-Center|art@0||0|0||||AV
555 Ngeneric:Invisible-Pin|pin@0||0|-2||||
556 NPin|pin@1||-1|0|1|1|RR|
557 NPin|pin@2||-3|0|||RR|
558 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
559 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
560 NPin|pin@5||0|-2||||
561 NPin|pin@6||-1|1|1|1||
562 NPin|pin@7||-1|-1|1|1||
563 NPin|pin@8||0|-1||||
564 NPin|pin@9||-0.75|-1|1|1||
565 NPin|pin@10||-0.75|1|1|1||
566 NPin|pin@11||0|1||||
567 NPin|pin@12||0|2||||
568 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
569 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1|0|ART_color()I74
570 AThicker|net@2|||FS900|pin@6||-1|1|pin@7||-1|-1|ART_color()I74
571 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
572 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
573 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
574 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
575 Ed||D5G1;|pin@4||B
576 Eg||D5G1;|pin@3||I
577 Es||D5G1;|pin@0||B
578 X
579
580 # Cell NMOSf_low;1{sch}
581 CNMOSf_low;1{sch}||schematic|1021415734000|1245266949165||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S4|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) gnd nch_lvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_lvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
582 INMOSf_low;1{ic}|NMOSf_lo@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S15
583 Ngeneric:Facet-Center|art@0||0|0||||AV
584 NOff-Page|conn@0||6|-16.5||||
585 NOff-Page|conn@1||6.5|0||||
586 NOff-Page|conn@2||-16.5|-8||||
587 NGround|gnd@0||5|-11||||
588 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX0.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_lvt
589 NWire_Pin|pin@0||0|-16.5||||
590 NWire_Pin|pin@1||0|0||||
591 Ngeneric:Invisible-Pin|pin@2||1.5|14|||||ART_message(D5G6;)SNMOSf_low
592 Ngeneric:Invisible-Pin|pin@3||1.5|7.5|||||ART_message(D5G2;)S3-terminal low threshold NMOS device
593 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
594 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
595 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
596 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
597 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
598 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
599 Ed||D5G2;|conn@1|y|B
600 Eg||D5G2;|conn@2|a|I
601 Es||D5G2;|conn@0|y|B
602 X
603
604 # Cell NMOSf_native;1{ic}
605 CNMOSf_native;1{ic}||artwork|1021415734000|1241330691667|E|ATTR_Delay(D5G1;HNPTX3;Y-2;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX2;Y1;)S30|ATTR_M1(D5G1;HNOLPX2;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2;Y2;)S15|prototype_center()I[0,-8000]
606 Ngeneric:Facet-Center|art@0||0|0||||AV
607 Ngeneric:Invisible-Pin|pin@0||0|-2||||
608 NPin|pin@1||-0.75|0|1|1|RR|
609 NPin|pin@2||-2.5|0|||RR|
610 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
611 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
612 NPin|pin@5||0|-2||||
613 NPin|pin@8||0|-1||||
614 NPin|pin@9||-0.75|-1|1|1||
615 NPin|pin@10||-0.75|1|1|1||
616 NPin|pin@11||0|1||||
617 NPin|pin@12||0|2||||
618 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I74
619 AThicker|net@1|||FS1800|pin@2||-2.5|0|pin@1||-0.75|0|ART_color()I74
620 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I74
621 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I74
622 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I74
623 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I74
624 Ed||D5G1;|pin@4||B
625 Eg||D5G1;|pin@3||I
626 Es||D5G1;|pin@0||B
627 X
628
629 # Cell NMOSf_native;1{sch}
630 CNMOSf_native;1{sch}||schematic|1021415734000|1245272179253||ATTR_Delay(D5G1;HNPTX-9;Y-15.75;)I15|ATTR_L(D5FLeave alone;G1;HNOLPX-9;Y-11.5;)S30|ATTR_M1(D5G1;HNOLPX-9.25;Y-15;)S1|ATTR_NF(D5G1;HNOLPX-9;Y-13;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-9;Y-10.5;)S15|ATTR_CDL_template(D5G1;NTX0.5;Y-29;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX4;Y-24.5;)SXM$(node_name) $(d) $(g) $(s) gnd nch_na_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX1;Y-31;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX0.5;Y-22.5;)SM$(node_name) $(d) $(g) $(s) gnd nch_na W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX-1;Y-26.5;)Stranif1 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
631 INMOSf_native;1{ic}|NMOSf_na@0||28|0.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S30|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S15
632 Ngeneric:Facet-Center|art@0||0|0||||AV
633 NOff-Page|conn@0||6|-16.5||||
634 NOff-Page|conn@1||6.5|0||||
635 NOff-Page|conn@2||-16.5|-8||||
636 NGround|gnd@0||5|-11||||
637 N4-Port-Transistor|nmos4p@0||-2|-8|||R||ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX-1;Y1;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX0.5;Y-1;)S"P(\"W\")"|SIM_spice_model(D5G1;X1.5;Y-3;)Snch_na
638 NWire_Pin|pin@0||0|-16.5||||
639 NWire_Pin|pin@1||0|0||||
640 Ngeneric:Invisible-Pin|pin@2||1.5|16|||||ART_message(D5G6;)SNMOSf_native
641 Ngeneric:Invisible-Pin|pin@3||1.5|9.5|||||ART_message(D5G2;)S3-terminal native NMOS device
642 Ngeneric:Invisible-Pin|pin@4||3|6|||||ART_message(D5G2;)Sminimum length for native devices is 30 (0.30um)
643 Awire|net@0|||0|conn@1|a|4.5|0|pin@1||0|0
644 Awire|net@1|||0|nmos4p@0|g|-3|-8|conn@2|y|-14.5|-8
645 Awire|net@2|||900|nmos4p@0|s|0|-10|pin@0||0|-16.5
646 Awire|net@3|||1800|pin@0||0|-16.5|conn@0|a|4|-16.5
647 Awire|net@4|||900|pin@1||0|0|nmos4p@0|d|0|-6
648 Awire|net@5|||1800|nmos4p@0|b|0|-9|gnd@0||5|-9
649 Ed||D5G2;|conn@1|y|B
650 Eg||D5G2;|conn@2|a|I
651 Es||D5G2;|conn@0|y|B
652 X
653
654 # Cell PMOS4f;1{ic}
655 CPMOS4f;1{ic}||artwork|1021415734000|1241211040052|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
656 Ngeneric:Facet-Center|art@0||0|0||||AV
657 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I74
658 Ngeneric:Invisible-Pin|pin@0||0|2||||
659 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
660 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
661 NPin|pin@3||0|1||||
662 NPin|pin@4||-0.75|1|1|1||
663 NPin|pin@5||-0.75|-1|1|1||
664 NPin|pin@6||0|-1||||
665 NPin|pin@7||0|-2||||
666 NPin|pin@8||-3|0|||RR|
667 NPin|pin@9||-2.5|0|1|1|RRR|
668 NPin|pin@10||0|2||||
669 NPin|pin@11||-1.5|1|1|1|Y|
670 NPin|pin@12||-1.5|-1|1|1|Y|
671 Nschematic:Bus_Pin|pin@13||0|0.5|-2|-2||
672 NPin|pin@15||-0.5|0.25|1|1|YRR|
673 NPin|pin@16||-0.5|0.75|1|1|YRR|
674 NPin|pin@17||-0.75|0.5|1|1|Y|
675 NPin|pin@18||0|0.5||||
676 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
677 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
678 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I74
679 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
680 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
681 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
682 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I74
683 AThicker|net@8|||FS3150|pin@15||-0.5|0.25|pin@17||-0.75|0.5|ART_color()I74
684 AThicker|net@9|||FS450|pin@16||-0.5|0.75|pin@17||-0.75|0.5|ART_color()I74
685 AThicker|net@10|||FS0|pin@18||0|0.5|pin@17||-0.75|0.5|ART_color()I74
686 Eb||D5G1;|pin@13||B
687 Ed||D8G1;|pin@1||B
688 Eg||D6G1;|pin@2||I
689 Es||D2G1;|pin@0||B
690 X
691
692 # Cell PMOS4f;1{sch}
693 CPMOS4f;1{sch}||schematic|1021415734000|1243981194994||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) $(b) pch_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
694 IPMOS4f;1{ic}|PMOS4f@0||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
695 Ngeneric:Facet-Center|art@0||0|0||||AV
696 NOff-Page|conn@0||8|12.5||||
697 NOff-Page|conn@1||-14|7||||
698 NOff-Page|conn@2||8.5|0||||
699 NOff-Page|conn@3||7.5|8||||
700 NWire_Pin|pin@0||0|12.5||||
701 NWire_Pin|pin@1||0|0||||
702 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOS4f
703 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S4-terminal standard threshold PMOS device
704 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch
705 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
706 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
707 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
708 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
709 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
710 Awire|net@6|||0|conn@3|a|5.5|8|pmos4p@0|b|0|8
711 Eb||D5G2;|conn@3|y|B
712 Ed||D5G2;|conn@2|y|B
713 Eg||D5G2;|conn@1|a|I
714 Es||D5G2;|conn@0|y|B
715 X
716
717 # Cell PMOS4f_high;1{ic}
718 CPMOS4f_high;1{ic}||artwork|1021415734000|1245267416583|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
719 Ngeneric:Facet-Center|art@0||0|0||||AV
720 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I74
721 Ngeneric:Invisible-Pin|pin@0||0|2||||
722 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
723 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
724 NPin|pin@3||0|1||||
725 NPin|pin@4||-0.75|1|1|1||
726 NPin|pin@5||-0.75|-1|1|1||
727 NPin|pin@6||0|-1||||
728 NPin|pin@7||0|-2||||
729 NPin|pin@8||-3.5|0|||RR|
730 NPin|pin@9||-3|0|1|1|RRR|
731 NPin|pin@10||0|2||||
732 NPin|pin@11||-2|1|1|1|Y|
733 NPin|pin@12||-2|-1|1|1|Y|
734 Nschematic:Bus_Pin|pin@13||0|0.5|-2|-2||
735 NPin|pin@15||-0.5|0.25|1|1|YRR|
736 NPin|pin@16||-0.5|0.75|1|1|YRR|
737 NPin|pin@17||-0.75|0.5|1|1|Y|
738 NPin|pin@18||0|0.5||||
739 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
740 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
741 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I74
742 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
743 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
744 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
745 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I74
746 AThicker|net@8|||FS3150|pin@15||-0.5|0.25|pin@17||-0.75|0.5|ART_color()I74
747 AThicker|net@9|||FS450|pin@16||-0.5|0.75|pin@17||-0.75|0.5|ART_color()I74
748 AThicker|net@10|||FS0|pin@18||0|0.5|pin@17||-0.75|0.5|ART_color()I74
749 Eb||D5G1;|pin@13||B
750 Ed||D8G1;|pin@1||B
751 Eg||D6G1;|pin@2||I
752 Es||D2G1;|pin@0||B
753 X
754
755 # Cell PMOS4f_high;1{sch}
756 CPMOS4f_high;1{sch}||schematic|1021415734000|1245267410230||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) $(b) pch_hvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch_hvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
757 IPMOS4f_high;1{ic}|PMOS4f_h@0||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
758 Ngeneric:Facet-Center|art@0||0|0||||AV
759 NOff-Page|conn@0||8|12.5||||
760 NOff-Page|conn@1||-14|7||||
761 NOff-Page|conn@2||8.5|0||||
762 NOff-Page|conn@3||7.5|8||||
763 NWire_Pin|pin@0||0|12.5||||
764 NWire_Pin|pin@1||0|0||||
765 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOS4f_high
766 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S4-terminal high threshold PMOS device
767 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_hvt
768 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
769 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
770 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
771 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
772 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
773 Awire|net@6|||0|conn@3|a|5.5|8|pmos4p@0|b|0|8
774 Eb||D5G2;|conn@3|y|B
775 Ed||D5G2;|conn@2|y|B
776 Eg||D5G2;|conn@1|a|I
777 Es||D5G2;|conn@0|y|B
778 X
779
780 # Cell PMOS4f_io18;1{ic}
781 CPMOS4f_io18;1{ic}||artwork|1021415734000|1244051454159|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S15|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S32|prototype_center()I[-8000,16000]
782 Ngeneric:Facet-Center|art@0||0|0||||AV
783 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I74
784 Ngeneric:Invisible-Pin|pin@0||0|2||||
785 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
786 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
787 NPin|pin@3||0|1||||
788 NPin|pin@4||-0.75|1|1|1||
789 NPin|pin@5||-0.75|-1|1|1||
790 NPin|pin@6||0|-1||||
791 NPin|pin@7||0|-2||||
792 NPin|pin@8||-3.5|0|||RR|
793 NPin|pin@9||-3|0|1|1|RRR|
794 NPin|pin@10||0|2||||
795 NPin|pin@11||-2|1|1|1|Y|
796 NPin|pin@12||-2|-1|1|1|Y|
797 Nschematic:Bus_Pin|pin@13||0|0.5|-2|-2||
798 NPin|pin@15||-0.5|0.25|1|1|YRR|
799 NPin|pin@16||-0.5|0.75|1|1|YRR|
800 NPin|pin@17||-0.75|0.5|1|1|Y|
801 NPin|pin@18||0|0.5||||
802 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
803 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
804 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I74
805 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
806 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
807 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
808 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I74
809 AThicker|net@8|||FS3150|pin@15||-0.5|0.25|pin@17||-0.75|0.5|ART_color()I74
810 AThicker|net@9|||FS450|pin@16||-0.5|0.75|pin@17||-0.75|0.5|ART_color()I74
811 AThicker|net@10|||FS0|pin@18||0|0.5|pin@17||-0.75|0.5|ART_color()I74
812 Eb||D5G1;|pin@13||B
813 Ed||D8G1;|pin@1||B
814 Eg||D6G1;|pin@2||I
815 Es||D2G1;|pin@0||B
816 X
817
818 # Cell PMOS4f_io18;1{sch}
819 CPMOS4f_io18;1{sch}||schematic|1021415734000|1245272145423||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S15|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S32|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) $(b) pch_18_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch_18 W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
820 IPMOS4f_io18;1{ic}|PMOS4f_i@0||32.5|16|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S15|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S32
821 Ngeneric:Facet-Center|art@0||0|0||||AV
822 NOff-Page|conn@0||8|12.5||||
823 NOff-Page|conn@1||-14|7||||
824 NOff-Page|conn@2||8.5|0||||
825 NOff-Page|conn@3||7.5|8||||
826 NWire_Pin|pin@0||0|12.5||||
827 NWire_Pin|pin@1||0|0||||
828 Ngeneric:Invisible-Pin|pin@2||-0.5|28.5|||||ART_message(D5G6;)SPMOS4f_io18
829 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G2;)S4-terminal PMOS device for 1.8V I/O pads
830 Ngeneric:Invisible-Pin|pin@4||0|20|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 15 (0.15um)
831 Ngeneric:Invisible-Pin|pin@5||0|18|||||ART_message(D5G2;)Sminimum width is 32 (0.32um)
832 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_18
833 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
834 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
835 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
836 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
837 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
838 Awire|net@6|||0|conn@3|a|5.5|8|pmos4p@0|b|0|8
839 Eb||D5G2;|conn@3|y|B
840 Ed||D5G2;|conn@2|y|B
841 Eg||D5G2;|conn@1|a|I
842 Es||D5G2;|conn@0|y|B
843 X
844
845 # Cell PMOS4f_low;1{ic}
846 CPMOS4f_low;1{ic}||artwork|1021415734000|1245267557772|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
847 Ngeneric:Facet-Center|art@0||0|0||||AV
848 NThick-Circle|art@1||-1.5|0|1|1|RR||ART_color()I74
849 Ngeneric:Invisible-Pin|pin@0||0|2||||
850 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
851 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
852 NPin|pin@3||0|1||||
853 NPin|pin@4||-0.75|1|1|1||
854 NPin|pin@5||-0.75|-1|1|1||
855 NPin|pin@6||0|-1||||
856 NPin|pin@7||0|-2||||
857 NPin|pin@8||-3|0|||RR|
858 NPin|pin@9||-2|0|1|1|RRR|
859 NPin|pin@10||0|2||||
860 NPin|pin@11||-1|1|1|1|Y|
861 NPin|pin@12||-1|-1|1|1|Y|
862 Nschematic:Bus_Pin|pin@13||0|0.5|-2|-2||
863 NPin|pin@15||-0.5|0.25|1|1|YRR|
864 NPin|pin@16||-0.5|0.75|1|1|YRR|
865 NPin|pin@17||-0.75|0.5|1|1|Y|
866 NPin|pin@18||0|0.5||||
867 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
868 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
869 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2|0|ART_color()I74
870 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
871 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
872 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
873 AThicker|net@6|||FS2700|pin@12||-1|-1|pin@11||-1|1|ART_color()I74
874 AThicker|net@8|||FS3150|pin@15||-0.5|0.25|pin@17||-0.75|0.5|ART_color()I74
875 AThicker|net@9|||FS450|pin@16||-0.5|0.75|pin@17||-0.75|0.5|ART_color()I74
876 AThicker|net@10|||FS0|pin@18||0|0.5|pin@17||-0.75|0.5|ART_color()I74
877 Eb||D5G1;|pin@13||B
878 Ed||D8G1;|pin@1||B
879 Eg||D6G1;|pin@2||I
880 Es||D2G1;|pin@0||B
881 X
882
883 # Cell PMOS4f_low;1{sch}
884 CPMOS4f_low;1{sch}||schematic|1021415734000|1245267548555||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) $(b) pch_lvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) $(b) pch_lvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
885 IPMOS4f_low;1{ic}|PMOS4f_l@0||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
886 Ngeneric:Facet-Center|art@0||0|0||||AV
887 NOff-Page|conn@0||8|12.5||||
888 NOff-Page|conn@1||-14|7||||
889 NOff-Page|conn@2||8.5|0||||
890 NOff-Page|conn@3||7.5|8||||
891 NWire_Pin|pin@0||0|12.5||||
892 NWire_Pin|pin@1||0|0||||
893 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOS4f_low
894 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S4-terminal low threshold PMOS device
895 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_lvt
896 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
897 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
898 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
899 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
900 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
901 Awire|net@6|||0|conn@3|a|5.5|8|pmos4p@0|b|0|8
902 Eb||D5G2;|conn@3|y|B
903 Ed||D5G2;|conn@2|y|B
904 Eg||D5G2;|conn@1|a|I
905 Es||D5G2;|conn@0|y|B
906 X
907
908 # Cell PMOSf;1{ic}
909 CPMOSf;1{ic}||artwork|1021415734000|1241201289354|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
910 Ngeneric:Facet-Center|art@0||0|0||||AV
911 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I74
912 Ngeneric:Invisible-Pin|pin@0||0|2||||
913 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
914 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
915 NPin|pin@3||0|1||||
916 NPin|pin@4||-0.75|1|1|1||
917 NPin|pin@5||-0.75|-1|1|1||
918 NPin|pin@6||0|-1||||
919 NPin|pin@7||0|-2||||
920 NPin|pin@8||-3|0|||RR|
921 NPin|pin@9||-2.5|0|1|1|RRR|
922 NPin|pin@10||0|2||||
923 NPin|pin@11||-1.5|1|1|1|Y|
924 NPin|pin@12||-1.5|-1|1|1|Y|
925 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
926 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
927 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I74
928 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
929 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
930 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
931 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I74
932 Ed||D8G1;|pin@1||B
933 Eg||D6G1;|pin@2||I
934 Es||D2G1;|pin@0||B
935 X
936
937 # Cell PMOSf;1{sch}
938 CPMOSf;1{sch}||schematic|1021415734000|1243981039318||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) vdd pch_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) vdd pch W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
939 IPMOSf;1{ic}|PMOSf@1||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
940 Ngeneric:Facet-Center|art@0||0|0||||AV
941 NOff-Page|conn@0||8|12.5||||
942 NOff-Page|conn@1||-14|7||||
943 NOff-Page|conn@2||8.5|0||||
944 NWire_Pin|pin@0||0|12.5||||
945 NWire_Pin|pin@1||0|0||||
946 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)S[PMOSf]
947 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal standard threshold PMOS device
948 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch
949 NPower|pwr@0||6|8||||
950 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
951 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
952 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
953 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
954 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
955 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
956 Ed||D5G2;|conn@2|y|B
957 Eg||D5G2;|conn@1|a|I
958 Es||D5G2;|conn@0|y|B
959 X
960
961 # Cell PMOSf_high;1{ic}
962 CPMOSf_high;1{ic}||artwork|1021415734000|1245267359976|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
963 Ngeneric:Facet-Center|art@0||0|0||||AV
964 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I74
965 Ngeneric:Invisible-Pin|pin@0||0|2||||
966 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
967 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
968 NPin|pin@3||0|1||||
969 NPin|pin@4||-0.75|1|1|1||
970 NPin|pin@5||-0.75|-1|1|1||
971 NPin|pin@6||0|-1||||
972 NPin|pin@7||0|-2||||
973 NPin|pin@8||-3.5|0|||RR|
974 NPin|pin@9||-3|0|1|1|RRR|
975 NPin|pin@10||0|2||||
976 NPin|pin@11||-2|1|1|1|Y|
977 NPin|pin@12||-2|-1|1|1|Y|
978 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
979 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
980 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I74
981 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
982 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
983 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
984 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I74
985 Ed||D8G1;|pin@1||B
986 Eg||D6G1;|pin@2||I
987 Es||D2G1;|pin@0||B
988 X
989
990 # Cell PMOSf_high;1{sch}
991 CPMOSf_high;1{sch}||schematic|1021415734000|1245267318423||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) vdd pch_hvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) vdd pch_hvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
992 IPMOSf_high;1{ic}|PMOSf_hi@0||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
993 Ngeneric:Facet-Center|art@0||0|0||||AV
994 NOff-Page|conn@0||8|12.5||||
995 NOff-Page|conn@1||-14|7||||
996 NOff-Page|conn@2||8.5|0||||
997 NWire_Pin|pin@0||0|12.5||||
998 NWire_Pin|pin@1||0|0||||
999 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOSf_high
1000 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal high threshold PMOS device
1001 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_hvt
1002 NPower|pwr@0||6|8||||
1003 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
1004 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
1005 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
1006 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
1007 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
1008 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
1009 Ed||D5G2;|conn@2|y|B
1010 Eg||D5G2;|conn@1|a|I
1011 Es||D5G2;|conn@0|y|B
1012 X
1013
1014 # Cell PMOSf_io18;1{ic}
1015 CPMOSf_io18;1{ic}||artwork|1021415734000|1244051446911|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S32|prototype_center()I[-8000,16000]
1016 Ngeneric:Facet-Center|art@0||0|0||||AV
1017 NThick-Circle|art@1||-2.5|0|1|1|RR||ART_color()I74
1018 Ngeneric:Invisible-Pin|pin@0||0|2||||
1019 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
1020 Nschematic:Bus_Pin|pin@2||-3.5|0|-2|-2||
1021 NPin|pin@3||0|1||||
1022 NPin|pin@4||-0.75|1|1|1||
1023 NPin|pin@5||-0.75|-1|1|1||
1024 NPin|pin@6||0|-1||||
1025 NPin|pin@7||0|-2||||
1026 NPin|pin@8||-3.5|0|||RR|
1027 NPin|pin@9||-3|0|1|1|RRR|
1028 NPin|pin@10||0|2||||
1029 NPin|pin@11||-2|1|1|1|Y|
1030 NPin|pin@12||-2|-1|1|1|Y|
1031 Ngeneric:Invisible-Pin|pin@13||-2.25|1.75|||||ART_message(D5G1;)S1.8V
1032 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
1033 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
1034 AThicker|net@2|||FS1800|pin@8||-3.5|0|pin@9||-3|0|ART_color()I74
1035 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
1036 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
1037 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
1038 AThicker|net@6|||FS2700|pin@12||-2|-1|pin@11||-2|1|ART_color()I74
1039 Ed||D8G1;|pin@1||B
1040 Eg||D6G1;|pin@2||I
1041 Es||D2G1;|pin@0||B
1042 X
1043
1044 # Cell PMOSf_io18;1{sch}
1045 CPMOSf_io18;1{sch}||schematic|1021415734000|1245272151193||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S32|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) vdd pch_18_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) vdd pch_18 W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1046 IPMOSf_io18;1{ic}|PMOSf_io@0||34|21|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S32
1047 Ngeneric:Facet-Center|art@0||0|0||||AV
1048 NOff-Page|conn@0||8|12.5||||
1049 NOff-Page|conn@1||-14|7||||
1050 NOff-Page|conn@2||8.5|0||||
1051 NWire_Pin|pin@0||0|12.5||||
1052 NWire_Pin|pin@1||0|0||||
1053 Ngeneric:Invisible-Pin|pin@2||-0.5|29.5|||||ART_message(D5G6;)SPMOSf_io18
1054 Ngeneric:Invisible-Pin|pin@3||-0.5|24.5|||||ART_message(D5G2;)S3-terminal PMOS device for 1.8V I/O pads
1055 Ngeneric:Invisible-Pin|pin@4||0.5|20|||||ART_message(D5G2;)Sminimum length for 1.8V thick-oxide devices is 15 (0.15um)
1056 Ngeneric:Invisible-Pin|pin@5||-1|18|||||ART_message(D5G2;)Sminimum width is 32 (0.32um)
1057 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-3;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_18
1058 NPower|pwr@0||6|8||||
1059 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
1060 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
1061 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
1062 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
1063 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
1064 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
1065 Ed||D5G2;|conn@2|y|B
1066 Eg||D5G2;|conn@1|a|I
1067 Es||D5G2;|conn@0|y|B
1068 X
1069
1070 # Cell PMOSf_low;1{ic}
1071 CPMOSf_low;1{ic}||artwork|1021415734000|1245267503892|E|ATTR_Delay(D5G1;HNPTX2.5;Y-2;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX2.5;Y1;)S4|ATTR_M1(D5G1;HNOLPX2.5;Y-1;)S1|ATTR_NF(D5G1;HNOLPX2.5;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX2.5;Y2;)S19.5|prototype_center()I[-8000,16000]
1072 Ngeneric:Facet-Center|art@0||0|0||||AV
1073 NThick-Circle|art@1||-1.5|0|1|1|RR||ART_color()I74
1074 Ngeneric:Invisible-Pin|pin@0||0|2||||
1075 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
1076 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
1077 NPin|pin@3||0|1||||
1078 NPin|pin@4||-0.75|1|1|1||
1079 NPin|pin@5||-0.75|-1|1|1||
1080 NPin|pin@6||0|-1||||
1081 NPin|pin@7||0|-2||||
1082 NPin|pin@8||-3|0|||RR|
1083 NPin|pin@9||-2|0|1|1|RRR|
1084 NPin|pin@10||0|2||||
1085 NPin|pin@11||-1|1|1|1|Y|
1086 NPin|pin@12||-1|-1|1|1|Y|
1087 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I74
1088 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I74
1089 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2|0|ART_color()I74
1090 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I74
1091 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I74
1092 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I74
1093 AThicker|net@6|||FS2700|pin@12||-1|-1|pin@11||-1|1|ART_color()I74
1094 Ed||D8G1;|pin@1||B
1095 Eg||D6G1;|pin@2||I
1096 Es||D2G1;|pin@0||B
1097 X
1098
1099 # Cell PMOSf_low;1{sch}
1100 CPMOSf_low;1{sch}||schematic|1021415734000|1245267494503||ATTR_Delay(D5G1;HNPTX-7;Y0.75;)S10|ATTR_L(D5FLeave alone;G1;HNOLPX-7;Y3;)S4|ATTR_M1(D5G1;HNOLPX-7;Y-1;)S1|ATTR_NF(D5G1;HNOLPX-7;Y2;)S1|ATTR_W(D5FLeave alone;G1;HNOLPX-7;Y4;)S19.5|ATTR_CDL_template(D5G1;NTX1.5;Y-15;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template(D5G1;NTX2.5;Y-10.5;)SXM$(node_name) $(d) $(g) $(s) vdd pch_lvt_mac w='$(W)*10n' l='$(L)*10n' nf='$(NF)' m='$(M1)'|ATTR_SPICE_template_calibre(D5G1;NTX2;Y-17;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*0.01u' L='$(L)*0.01u' M='$(M1)'|ATTR_SPICE_template_smartspice(D5G1;NTX1;Y-8;)SM$(node_name) $(d) $(g) $(s) vdd pch_lvt W='$(W)*10n' L='$(L)*10n' NF='$(NF)' M='$(M1)'|ATTR_verilog_template(D5G1;NTX2.5;Y-12.5;)Stranif0 #($(Delay)) $(node_name) ($(d), $(s), $(g));|prototype_center()I[0,0]
1101 IPMOSf_low;1{ic}|PMOSf_lo@0||30.5|19|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y0.5;)S4|ATTR_M1(D5G1;NOLPX4;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX4;Y-0.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y1.5;)S19.5
1102 Ngeneric:Facet-Center|art@0||0|0||||AV
1103 NOff-Page|conn@0||8|12.5||||
1104 NOff-Page|conn@1||-14|7||||
1105 NOff-Page|conn@2||8.5|0||||
1106 NWire_Pin|pin@0||0|12.5||||
1107 NWire_Pin|pin@1||0|0||||
1108 Ngeneric:Invisible-Pin|pin@2||-0.5|23.5|||||ART_message(D5G6;)SPMOSf_low
1109 Ngeneric:Invisible-Pin|pin@3||-0.5|18.5|||||ART_message(D5G2;)S3-terminal low threshold PMOS device
1110 N4-Port-Transistor|pmos4p@0||-2|7|||YR|2|ATTR_M(D5G1;NOLX-2.5;Y-4;)S@M1|ATTR_length(D5FLeave alone;G1;OLX1.5;)S"P(\"L\")"|ATTR_width(D5FLeave alone;G1.5;OLX-0.5;Y-2;)S"P(\"W\")"|SIM_spice_model(D5G1;X2;Y-3;)Spch_lvt
1111 NPower|pwr@0||6|8||||
1112 Awire|net@0|||0|conn@2|a|6.5|0|pin@1||0|0
1113 Awire|net@1|||0|pmos4p@0|g|-3|7|conn@1|y|-12|7
1114 Awire|net@2|||0|conn@0|a|6|12.5|pin@0||0|12.5
1115 Awire|net@3|||2700|pmos4p@0|s|0|9|pin@0||0|12.5
1116 Awire|net@4|||2700|pin@1||0|0|pmos4p@0|d|0|5
1117 Awire|net@5|||1800|pmos4p@0|b|0|8|pwr@0||6|8
1118 Ed||D5G2;|conn@2|y|B
1119 Eg||D5G2;|conn@1|a|I
1120 Es||D5G2;|conn@0|y|B
1121 X
1122
1123 # Cell PNP2;1{ic}
1124 CPNP2;1{ic}||artwork|1247267653522|1247268063932|E
1125 Ngeneric:Facet-Center|art@0||0|0||||AV
1126 Nschematic:Bus_Pin|pin@0||-2|0||||
1127 Nschematic:Bus_Pin|pin@2||2|-4||||
1128 Nschematic:Bus_Pin|pin@4||2|4||||
1129 NPin|pin@6||0|2|1|1||
1130 NPin|pin@7||0|-2|1|1||
1131 NPin|pin@8||0|0|1|1||
1132 NPin|pin@9||-2|0|1|1||
1133 NPin|pin@10||0|-1|1|1||
1134 NPin|pin@11||2|-2.5|1|1||
1135 NPin|pin@12||2|-2.5|1|1||
1136 NPin|pin@13||2|-4|1|1||
1137 NPin|pin@14||0|1|1|1|Y|
1138 NPin|pin@15||2|2.5|1|1|Y|
1139 NPin|pin@16||2|4|1|1||
1140 NPin|pin@17||2|2.5|1|1||
1141 NPin|pin@18||0|1|1|1|Y|
1142 NPin|pin@19||0.5|1|1|1|Y|
1143 NPin|pin@20||0|1|1|1|Y|
1144 NPin|pin@21||0.2|1.45|1|1|Y|
1145 Ngeneric:Invisible-Pin|pin@22||2.5|0|||||ART_message(D5G1.5;)SPNP2
1146 AThicker|net@3|||FS900|pin@6||0|2|pin@7||0|-2|ART_color()I-3407871
1147 AThicker|net@4|||FS0|pin@8||0|0|pin@9||-2|0|ART_color()I-3407871
1148 AThicker|net@5|||FS1431|pin@10||0|-1|pin@11||2|-2.5|ART_color()I-3407871
1149 AThicker|net@6|||FS900|pin@12||2|-2.5|pin@13||2|-4|ART_color()I-3407871
1150 AThicker|net@7|||FS2169|pin@14||0|1|pin@15||2|2.5|ART_color()I-3407871
1151 AThicker|net@8|||FS900|pin@16||2|4|pin@17||2|2.5|ART_color()I-3407871
1152 AThicker|net@9|||FS1800|pin@18||0|1|pin@19||0.5|1|ART_color()I-3407871
1153 AThicker|net@10|||FS2460|pin@20||0|1|pin@21||0.2|1.45|ART_color()I-3407871
1154 Ebase||D5G2;|pin@0||I
1155 Ecollector||D5G2;|pin@2||B
1156 Eemitter||D5G2;|pin@4||B
1157 X
1158
1159 # Cell PNP2;1{sch}
1160 CPNP2;1{sch}||schematic|1247267536794|1247268071289||ATTR_NCC(D5G1;NTX-28;Y-10.5;)SblackBox electric does not understand bipolar transistors|ATTR_SPICE_template(D5G1;NTX-28;Y-12.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp2 area=4p|ATTR_SPICE_template_calibre(D5G1;NTX-28;Y-14.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp2
1161 IPNP2;1{ic}|PNP2@0||-3|15|||D5G4;
1162 Ngeneric:Facet-Center|art@0||0|0||||AV
1163 NOff-Page|conn@0||-26|15|||RRR|
1164 NOff-Page|conn@1||-26|-3|||R|
1165 NOff-Page|conn@2||-35|6||||
1166 Ngeneric:Invisible-Pin|pin@0||-26.5|29|||||ART_message(D5G5;)SPNP2
1167 Ngeneric:Invisible-Pin|pin@1||-26|23|||||ART_message(D5G2;)Spnp bipolar transistor with 2x2 emitter
1168 NTransistor|pnp@0||-28|6|||YR|4|ATTR_area(D5G1;Y-2.5;)S4e-12
1169 Awire|net@0|||1800|conn@2|y|-33|6|pnp@0|g|-29|6
1170 Awire|net@1|||2700|conn@1|y|-26|-1|pnp@0|d|-26|4
1171 Awire|net@2|||900|conn@0|y|-26|13|pnp@0|s|-26|8
1172 Ebase||D5G2;|conn@2|a|I
1173 Ecollector||D5G2;|conn@1|a|B
1174 Eemitter||D5G2;|conn@0|a|B
1175 X
1176
1177 # Cell PNP5;1{ic}
1178 CPNP5;1{ic}||artwork|1247267653522|1247268045385|E
1179 Ngeneric:Facet-Center|art@0||0|0||||AV
1180 Nschematic:Bus_Pin|pin@0||-2|0||||
1181 Nschematic:Bus_Pin|pin@2||2|-4||||
1182 Nschematic:Bus_Pin|pin@4||2|4||||
1183 NPin|pin@6||0|2|1|1||
1184 NPin|pin@7||0|-2|1|1||
1185 NPin|pin@8||0|0|1|1||
1186 NPin|pin@9||-2|0|1|1||
1187 NPin|pin@10||0|-1|1|1||
1188 NPin|pin@11||2|-2.5|1|1||
1189 NPin|pin@12||2|-2.5|1|1||
1190 NPin|pin@13||2|-4|1|1||
1191 NPin|pin@14||0|1|1|1|Y|
1192 NPin|pin@15||2|2.5|1|1|Y|
1193 NPin|pin@16||2|4|1|1||
1194 NPin|pin@17||2|2.5|1|1||
1195 NPin|pin@18||0|1|1|1|Y|
1196 NPin|pin@19||0.5|1|1|1|Y|
1197 NPin|pin@20||0|1|1|1|Y|
1198 NPin|pin@21||0.2|1.45|1|1|Y|
1199 Ngeneric:Invisible-Pin|pin@22||2.5|0|||||ART_message(D5G1.5;)SPNP5
1200 AThicker|net@3|||FS900|pin@6||0|2|pin@7||0|-2|ART_color()I-3407871
1201 AThicker|net@4|||FS0|pin@8||0|0|pin@9||-2|0|ART_color()I-3407871
1202 AThicker|net@5|||FS1431|pin@10||0|-1|pin@11||2|-2.5|ART_color()I-3407871
1203 AThicker|net@6|||FS900|pin@12||2|-2.5|pin@13||2|-4|ART_color()I-3407871
1204 AThicker|net@7|||FS2169|pin@14||0|1|pin@15||2|2.5|ART_color()I-3407871
1205 AThicker|net@8|||FS900|pin@16||2|4|pin@17||2|2.5|ART_color()I-3407871
1206 AThicker|net@9|||FS1800|pin@18||0|1|pin@19||0.5|1|ART_color()I-3407871
1207 AThicker|net@10|||FS2460|pin@20||0|1|pin@21||0.2|1.45|ART_color()I-3407871
1208 Ebase||D5G2;|pin@0||I
1209 Ecollector||D5G2;|pin@2||B
1210 Eemitter||D5G2;|pin@4||B
1211 X
1212
1213 # Cell PNP5;1{sch}
1214 CPNP5;1{sch}||schematic|1247267536794|1247267955820||ATTR_NCC(D5G1;NTX-28;Y-10.5;)SblackBox electric does not understand bipolar transistors|ATTR_SPICE_template(D5G1;NTX-28;Y-12.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp5 area=25p|ATTR_SPICE_template_calibre(D5G1;NTX-28;Y-14.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp5
1215 IPNP5;1{ic}|PNP5@0||-3|15|||D5G4;
1216 Ngeneric:Facet-Center|art@0||0|0||||AV
1217 NOff-Page|conn@0||-26|15|||RRR|
1218 NOff-Page|conn@1||-26|-3|||R|
1219 NOff-Page|conn@2||-35|6||||
1220 Ngeneric:Invisible-Pin|pin@0||-26.5|29|||||ART_message(D5G5;)SPNP5
1221 Ngeneric:Invisible-Pin|pin@1||-26|23|||||ART_message(D5G2;)Spnp bipolar transistor with 5x5 emitter
1222 NTransistor|pnp@0||-28|6|||YR|4|ATTR_area(D5G1;Y-2.5;)S25e-12
1223 Awire|net@0|||1800|conn@2|y|-33|6|pnp@0|g|-29|6
1224 Awire|net@1|||2700|conn@1|y|-26|-1|pnp@0|d|-26|4
1225 Awire|net@2|||900|conn@0|y|-26|13|pnp@0|s|-26|8
1226 Eemitter_1@803456730|base|D5G2;|conn@2|a|I
1227 Eemitter_1|collector|D5G2;|conn@1|a|B
1228 Eemitter||D5G2;|conn@0|a|B
1229 X
1230
1231 # Cell PNP10;1{ic}
1232 CPNP10;1{ic}||artwork|1247267653522|1247268123489|E
1233 Ngeneric:Facet-Center|art@0||0|0||||AV
1234 Nschematic:Bus_Pin|pin@0||-2|0||||
1235 Nschematic:Bus_Pin|pin@2||2|-4||||
1236 Nschematic:Bus_Pin|pin@4||2|4||||
1237 NPin|pin@6||0|2|1|1||
1238 NPin|pin@7||0|-2|1|1||
1239 NPin|pin@8||0|0|1|1||
1240 NPin|pin@9||-2|0|1|1||
1241 NPin|pin@10||0|-1|1|1||
1242 NPin|pin@11||2|-2.5|1|1||
1243 NPin|pin@12||2|-2.5|1|1||
1244 NPin|pin@13||2|-4|1|1||
1245 NPin|pin@14||0|1|1|1|Y|
1246 NPin|pin@15||2|2.5|1|1|Y|
1247 NPin|pin@16||2|4|1|1||
1248 NPin|pin@17||2|2.5|1|1||
1249 NPin|pin@18||0|1|1|1|Y|
1250 NPin|pin@19||0.5|1|1|1|Y|
1251 NPin|pin@20||0|1|1|1|Y|
1252 NPin|pin@21||0.2|1.45|1|1|Y|
1253 Ngeneric:Invisible-Pin|pin@22||2.5|0|||||ART_message(D5G1.5;)SPNP10
1254 AThicker|net@3|||FS900|pin@6||0|2|pin@7||0|-2|ART_color()I-3407871
1255 AThicker|net@4|||FS0|pin@8||0|0|pin@9||-2|0|ART_color()I-3407871
1256 AThicker|net@5|||FS1431|pin@10||0|-1|pin@11||2|-2.5|ART_color()I-3407871
1257 AThicker|net@6|||FS900|pin@12||2|-2.5|pin@13||2|-4|ART_color()I-3407871
1258 AThicker|net@7|||FS2169|pin@14||0|1|pin@15||2|2.5|ART_color()I-3407871
1259 AThicker|net@8|||FS900|pin@16||2|4|pin@17||2|2.5|ART_color()I-3407871
1260 AThicker|net@9|||FS1800|pin@18||0|1|pin@19||0.5|1|ART_color()I-3407871
1261 AThicker|net@10|||FS2460|pin@20||0|1|pin@21||0.2|1.45|ART_color()I-3407871
1262 Ebase||D5G2;|pin@0||I
1263 Ecollector||D5G2;|pin@2||B
1264 Eemitter||D5G2;|pin@4||B
1265 X
1266
1267 # Cell PNP10;1{sch}
1268 CPNP10;1{sch}||schematic|1247267536794|1247268137920||ATTR_NCC(D5G1;NTX-28;Y-10.5;)SblackBox electric does not understand bipolar transistors|ATTR_SPICE_template(D5G1;NTX-28;Y-12.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp10 area=100p|ATTR_SPICE_template_calibre(D5G1;NTX-28;Y-14.5;)SQ$(node_name) $(collector) $(base) $(emitter) pnp10
1269 IPNP10;1{ic}|PNP10@0||-3|15|||D5G4;
1270 Ngeneric:Facet-Center|art@0||0|0||||AV
1271 NOff-Page|conn@0||-26|15|||RRR|
1272 NOff-Page|conn@1||-26|-3|||R|
1273 NOff-Page|conn@2||-35|6||||
1274 Ngeneric:Invisible-Pin|pin@0||-26.5|29|||||ART_message(D5G5;)SPNP10
1275 Ngeneric:Invisible-Pin|pin@1||-26|23|||||ART_message(D5G2;)Spnp bipolar transistor with 10x10 emitter
1276 NTransistor|pnp@0||-28|6|||YR|4|ATTR_area(D5G1;Y-2.5;)S100e-12
1277 Awire|net@0|||1800|conn@2|y|-33|6|pnp@0|g|-29|6
1278 Awire|net@1|||2700|conn@1|y|-26|-1|pnp@0|d|-26|4
1279 Awire|net@2|||900|conn@0|y|-26|13|pnp@0|s|-26|8
1280 Ebase||D5G2;|conn@2|a|I
1281 Ecollector||D5G2;|conn@1|a|B
1282 Eemitter||D5G2;|conn@0|a|B
1283 X
1284
1285 # Cell R128;1{ic}
1286 CR128;1{ic}||artwork|1047945855000|1245913852790|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S40|prototype_center()I[0,0]
1287 Ngeneric:Facet-Center|art@0||0|0||||AV
1288 NPin|pin@0||3|0|1|1|Y|
1289 NPin|pin@1||2|0|1|1|Y|
1290 NPin|pin@2||1.5|-1|1|1|Y|
1291 NPin|pin@3||1|1|1|1|Y|
1292 NPin|pin@4||0.5|-1|1|1|Y|
1293 NPin|pin@5||0|1|1|1|Y|
1294 NPin|pin@6||-0.5|-1|1|1|Y|
1295 NPin|pin@7||-1|1|1|1|Y|
1296 NPin|pin@8||-1.5|-1|1|1|Y|
1297 NPin|pin@9||-2|0|1|1|Y|
1298 NPin|pin@10||-3|0|1|1|Y|
1299 Nschematic:Bus_Pin|pin@11||3|0||||
1300 Nschematic:Bus_Pin|pin@12||-3|0||||
1301 NPin|pin@13||-2.5|-0.75|1|1||
1302 NPin|pin@14||2.5|-0.75|1|1||
1303 NPin|pin@15||0|-1.5|1|1|YRRR|
1304 NPin|pin@16||0|-0.75|1|1|YRRR|
1305 NPin|pin@18||1|-1.5|1|1|YRR|
1306 NPin|pin@19||-1|-1.5|1|1|YRR|
1307 NPin|pin@20||0.5|-2|1|1|YRR|
1308 NPin|pin@21||-0.5|-2|1|1|YRR|
1309 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S128
1310 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
1311 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
1312 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
1313 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
1314 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
1315 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
1316 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
1317 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
1318 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
1319 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
1320 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
1321 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
1322 AThicker|net@12|||FS0|pin@18||1|-1.5|pin@19||-1|-1.5|ART_color()I74
1323 AThicker|net@13|||FS0|pin@20||0.5|-2|pin@21||-0.5|-2|ART_color()I74
1324 Ein||D5G2;|pin@12||I
1325 Eout||D5G2;|pin@11||O
1326 X
1327
1328 # Cell R128;1{sch}
1329 CR128;1{sch}||schematic|1047945706000|1245967487005||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S40|ATTR_CDL_template(D5G1;NTX-2.5;Y-14;)SXR$(node_name) $(in) $(out) /rnpolywo l='$(L)*10n' w='$(W)*10n'|ATTR_NCC(D5G1;NTX-1.5;Y-18.5;)SresistorType  N-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX0.5;Y-23;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_calibre(D5G1;NTX0.5;Y-21;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-16.25;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_smartspice(D5G1;NTY-12;)SXR$(node_name) $(in) $(out) rnpolywo l='$(L)*10n' w='$(W)*10n'|prototype_center()I[0,0]
1330 Ngeneric:Facet-Center|art@0||0|0||||AV
1331 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
1332 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
1333 NOff-Page|conn@0||10|5||||
1334 NOff-Page|conn@1||-11.5|5||||
1335 IR128;1{ic}|gateResi@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S40
1336 NGround|gnd@0||0|-8.5||||
1337 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sn-type unsilicided polysilicon resistor for TSMC90nm process
1338 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)SR128 (rnpolywo)
1339 NWire_Pin|pin@2||-5.5|5||||
1340 NWire_Pin|pin@3||-5.5|-4.5||||
1341 NWire_Pin|pin@4||4.75|5||||
1342 NWire_Pin|pin@5||4.75|-4.5||||
1343 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=0.4um, w=0.4um",target resistance is approx 128 ohm/sq]
1344 NWire_Pin|pin@7||0|-4.5||||
1345 NResistor|res@0||-0.5|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY1.5;)S(@L*128/@W)
1346 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
1347 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
1348 Awire|net@2|||0|res@0|a|-2.5|5|pin@2||-5.5|5
1349 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
1350 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
1351 Awire|net@6|||1800|res@0|b|1.5|5|pin@4||4.75|5
1352 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
1353 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
1354 Awire|net@9|||0|pin@7||0|-4.5|pin@3||-5.5|-4.5
1355 Awire|net@10|||0|pin@5||4.75|-4.5|pin@7||0|-4.5
1356 Awire|net@11|||2700|gnd@0||0|-6.5|pin@7||0|-4.5
1357 Ein||D5G2;|conn@1|y|I
1358 Eout||D5G2;|conn@0|y|O
1359 X
1360
1361 # Cell R810;1{ic}
1362 CR810;1{ic}||artwork|1047945855000|1245913994391|E|ATTR_L(D5FLeave alone;G1;HNOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX2.25;Y-2.25;)S40|prototype_center()I[0,0]
1363 Ngeneric:Facet-Center|art@0||0|0||||AV
1364 NPin|pin@0||3|0|1|1|Y|
1365 NPin|pin@1||2|0|1|1|Y|
1366 NPin|pin@2||1.5|-1|1|1|Y|
1367 NPin|pin@3||1|1|1|1|Y|
1368 NPin|pin@4||0.5|-1|1|1|Y|
1369 NPin|pin@5||0|1|1|1|Y|
1370 NPin|pin@6||-0.5|-1|1|1|Y|
1371 NPin|pin@7||-1|1|1|1|Y|
1372 NPin|pin@8||-1.5|-1|1|1|Y|
1373 NPin|pin@9||-2|0|1|1|Y|
1374 NPin|pin@10||-3|0|1|1|Y|
1375 Nschematic:Bus_Pin|pin@11||3|0||||
1376 Nschematic:Bus_Pin|pin@12||-3|0||||
1377 NPin|pin@13||-2.5|-0.75|1|1||
1378 NPin|pin@14||2.5|-0.75|1|1||
1379 NPin|pin@15||0|-1.5|1|1|YRRR|
1380 NPin|pin@16||0|-0.75|1|1|YRRR|
1381 NPin|pin@18||1|-1.5|1|1|YRR|
1382 NPin|pin@19||-1|-1.5|1|1|YRR|
1383 NPin|pin@20||0.5|-2|1|1|YRR|
1384 NPin|pin@21||-0.5|-2|1|1|YRR|
1385 Ngeneric:Invisible-Pin|pin@22||0.5|1|||||ART_message(D5G1;)S810
1386 AThicker|net@0|||FS1800|pin@1||2|0|pin@0||3|0|ART_color()I74
1387 AThicker|net@1|||FS2434|pin@2||1.5|-1|pin@1||2|0|ART_color()I74
1388 AThicker|net@2|||FS1040|pin@3||1|1|pin@2||1.5|-1|ART_color()I74
1389 AThicker|net@3|||FS2560|pin@4||0.5|-1|pin@3||1|1|ART_color()I74
1390 AThicker|net@4|||FS1040|pin@5||0|1|pin@4||0.5|-1|ART_color()I74
1391 AThicker|net@5|||FS2560|pin@6||-0.5|-1|pin@5||0|1|ART_color()I74
1392 AThicker|net@6|||FS1040|pin@7||-1|1|pin@6||-0.5|-1|ART_color()I74
1393 AThicker|net@7|||FS2560|pin@8||-1.5|-1|pin@7||-1|1|ART_color()I74
1394 AThicker|net@8|||FS1166|pin@9||-2|0|pin@8||-1.5|-1|ART_color()I74
1395 AThicker|net@9|||FS1800|pin@10||-3|0|pin@9||-2|0|ART_color()I74
1396 AThicker|net@10|||FS1800|pin@13||-2.5|-0.75|pin@14||2.5|-0.75|ART_color()I74
1397 AThicker|net@11|||FS2700|pin@15||0|-1.5|pin@16||0|-0.75|ART_color()I74
1398 AThicker|net@12|||FS0|pin@18||1|-1.5|pin@19||-1|-1.5|ART_color()I74
1399 AThicker|net@13|||FS0|pin@20||0.5|-2|pin@21||-0.5|-2|ART_color()I74
1400 Ein||D5G2;|pin@12||I
1401 Eout||D5G2;|pin@11||O
1402 X
1403
1404 # Cell R810;1{sch}
1405 CR810;1{sch}||schematic|1047945706000|1245967559591||ATTR_L(D5FLeave alone;G1;HNOLPX-22.5;Y-0.75;)S40|ATTR_W(D5FLeave alone;G1;HNOLPX-22.25;Y-1.75;)S40|ATTR_CDL_template(D5G1;NTX-2.5;Y-16.5;)SXR$(node_name) $(in) $(out) /rppolywo l='$(L)*10n' w='$(W)*10n'|ATTR_NCC(D5G1;NTX-1.5;Y-21;)SresistorType  P-Poly-RPO-Resistor|ATTR_SPICE_template_assura(D5G1;NTX-1.5;Y-25;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_calibre(D5G1;NTX-1.5;Y-23;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_hspice(D5G1;NTX-1.5;Y-18.75;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*10n' w='$(W)*10n'|ATTR_SPICE_template_smartspice(D5G1;NTX-1;Y-14;)SXR$(node_name) $(in) $(out) rppolywo l='$(L)*10n' w='$(W)*10n'|prototype_center()I[0,0]
1406 Ngeneric:Facet-Center|art@0||0|0||||AV
1407 NCapacitor|cap@0||-5.5|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
1408 NCapacitor|cap@1||4.75|0|||||SCHEM_capacitance(D5FLeave alone;G1.5;OLUCX1.75;Y-1.25;)S(0.265*@W*@L + 8.882*@W + 4.43*@L + 74.42)*1e-18/2
1409 NOff-Page|conn@0||10|5||||
1410 NOff-Page|conn@1||-11.5|5||||
1411 IR810;1{ic}|gateResi@0||25.5|7.5|||D0G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-2.25;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-2.25;)S40
1412 NGround|gnd@0||0|-9||||
1413 Ngeneric:Invisible-Pin|pin@0||1|20.5|||||ART_message(D5G2;)Sp-type unsilicided polysilicon resistor for TSMC90nm process
1414 Ngeneric:Invisible-Pin|pin@1||2.5|26.5|||||ART_message(D5G5;)SR810 (rppolywo)
1415 NWire_Pin|pin@2||-5.5|5||||
1416 NWire_Pin|pin@3||-5.5|-4.5||||
1417 NWire_Pin|pin@4||4.75|5||||
1418 NWire_Pin|pin@5||4.75|-4.5||||
1419 Ngeneric:Invisible-Pin|pin@6||1.5|15|||||ART_message(D5G2;)S["minumum recommended dimensions are l=0.4um, w=0.4um",target resistance is approx 810 ohm/sq]
1420 NWire_Pin|pin@7||0|-4.5||||
1421 NResistor|pres@0||0|5||||1|ATTR_length(D5FLeave alone;G1;NOLY-1;)S@L|ATTR_width(D5FLeave alone;G1;NOLY-2;)S@W|SCHEM_resistance(D5FLeave alone;G2;OLY1.5;)S(@L*810/@W)
1422 Awire|net@0|||0|pin@2||-5.5|5|conn@1|y|-9.5|5
1423 Awire|net@1|||1800|pin@4||4.75|5|conn@0|a|8|5
1424 Awire|net@3|||2700|cap@0|a|-5.5|2|pin@2||-5.5|5
1425 Awire|net@4|||900|cap@0|b|-5.5|-2|pin@3||-5.5|-4.5
1426 Awire|net@7|||2700|cap@1|a|4.75|2|pin@4||4.75|5
1427 Awire|net@8|||900|cap@1|b|4.75|-2|pin@5||4.75|-4.5
1428 Awire|net@9|||0|pin@7||0|-4.5|pin@3||-5.5|-4.5
1429 Awire|net@10|||0|pin@5||4.75|-4.5|pin@7||0|-4.5
1430 Awire|net@11|||2700|gnd@0||0|-7|pin@7||0|-4.5
1431 Awire|net@12|||1800|pres@0|b|2|5|pin@4||4.75|5
1432 Awire|net@13|||0|pres@0|a|-2|5|pin@2||-5.5|5
1433 Ein||D5G2;|conn@1|y|I
1434 Eout||D5G2;|conn@0|y|O
1435 X
1436
1437 # Cell aGallery;1{sch}
1438 CaGallery;1{sch}||schematic|1241110136477|1247268145887|
1439 INMOS4f;1{ic}|NMOS4f@0||-23.5|-3.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
1440 INMOS4f_dnw;1{ic}|NMOS4f_d@0||-23.5|-11.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
1441 INMOS4f_io18;1{ic}|NMOS4f_i@0||-34|-3.5|||D0G4;|ATTR_Delay(P)I100|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S15|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S15
1442 INMOS4f_native;1{ic}|NMOS4f_n@0||-12|-3.5|||D5G4;|ATTR_Delay(P)I15|ATTR_L(D5FLeave alone;G1;NOLPX3.5;Y1;)S30|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX3.5;Y2;)S15
1443 INMOSf;1{ic}|NMOSf@1||-23.5|3.5|||D5G4;|ATTR_Delay(P)I15|ATTR_L(D5FLeave alone;G1;NOLPX3.5;Y1;)S4|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX3.5;Y2;)S15
1444 INMOSf_io18;1{ic}|NMOSf_io@0||-34|3.5|||D5G4;|ATTR_Delay(P)I15|ATTR_L(D5FLeave alone;G1;NOLPX3.5;Y1;)S15|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX3.5;Y2;)S15
1445 INMOSf_native;1{ic}|NMOSf_na@0||-12|3.5|||D5G4;|ATTR_Delay(P)I15|ATTR_L(D5FLeave alone;G1;NOLPX3.5;Y1;)S30|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D5FLeave alone;G1;NOLPX3.5;Y2;)S15
1446 IPMOS4f;1{ic}|PMOS4f@0||19.5|-3.5|||D5G4;|ATTR_Delay(P)S10|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S19.5
1447 IPMOS4f_io18;1{ic}|PMOS4f_i@0||9.5|-3.5|||D5G4;|ATTR_Delay(P)S10|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S15|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S19.5
1448 IPMOSf;1{ic}|PMOSf@1||19.5|3.5|||D5G4;|ATTR_Delay(P)S10|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S4|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S19.5
1449 IPMOSf_io18;1{ic}|PMOSf_io@0||9.5|3.5|||D5G4;|ATTR_Delay(P)S10|ATTR_L(D5FLeave alone;G1;NOLPX4;Y1;)S15|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D5FLeave alone;G1;NOLPX4;Y2;)S19.5
1450 IPNP2;1{ic}|PNP2@0||55.5|1|||D5G4;
1451 IPNP5;1{ic}|PNP5@0||70|1|||D5G4;
1452 IPNP10;1{ic}|PNP10@0||83.5|1|||D5G4;
1453 IR128;1{ic}|R110@0||-29|-29|||D5G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-1.75;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-1.75;)S"40\\"
1454 IR810;1{ic}|R440@0||-12|-29|||D5G4;|ATTR_L(D5FLeave alone;G1;NOLPX-2;Y-1.75;)S40|ATTR_W(D5FLeave alone;G1;NOLPX2.25;Y-1.75;)S40
1455 Ngeneric:Facet-Center|art@0||0|0||||AV
1456 Iwire40;1{ic}|wire40@0||26|-29|||D5G4;|ATTR_L(D5FLeave alone;G1;OLPUD)S100|ATTR_LEWIRE(P)I1|ATTR_layer(D5FLeave alone;G1;NOLPY-1;)S2|ATTR_width(D5FLeave alone;G1;NOLPY-2;)S7
1457 Iwire;1{ic}|wire@0||11|-29|||D5G4;|ATTR_C(D5G1;NOLPUCY-2.5;)S0.0000223p|ATTR_L(D5G1;OLPUD)S100|ATTR_R(D5G1;NOLPURY-1.5;)S0.024
1458 X
1459
1460 # Cell wire;1{ic}
1461 Cwire;1{ic}||artwork|1083964052000|1204183998562|E|ATTR_C(D5G1;HNOLPUCY-2.5;)S0.0000223p|ATTR_L(D5G1;HOLPUD)S100|ATTR_R(D5G1;HNOLPURY-1.5;)S0.024|prototype_center()I[0,0]
1462 Ngeneric:Facet-Center|art@0||0|0||||AV
1463 NThick-Circle|art@1||-2|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
1464 NThick-Circle|art@2||2|0|1.5|1.5|||ART_color()I74
1465 NPin|pin@0||-2.75|0|1|1||
1466 NPin|pin@1||-4|0||||
1467 NPin|pin@2||2|0|1|1||
1468 NPin|pin@3||4|0||||
1469 NPin|pin@4||-2|0.75|1|1||
1470 NPin|pin@5||2|0.75|1|1||
1471 NPin|pin@6||2|-0.75|1|1||
1472 NPin|pin@7||-2|-0.75|1|1||
1473 Nschematic:Bus_Pin|pin@8||4|0|-2|-2||
1474 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
1475 AThicker|net@0|||IJS0|pin@0||-2.75|0|pin@1||-4|0|ART_color()I74
1476 AThicker|net@1|||IJS1800|pin@2||2|0|pin@3||4|0|ART_color()I74
1477 AThicker|net@2|||IJS0|pin@5||2|0.75|pin@4||-2|0.75|ART_color()I74
1478 AThicker|net@3|||IJS0|pin@6||2|-0.75|pin@7||-2|-0.75|ART_color()I74
1479 Ea||D5G2;|pin@9||U
1480 Eb||D5G2;|pin@8||U
1481 X
1482
1483 # Cell wire;1{sch}
1484 Cwire;1{sch}||schematic|1083961993000|1173982560561||ATTR_C(D5G1;HNOLPUCX-19;Y-9;)S0.0000223p|ATTR_L(D5G1;HNOLPUDX-19;Y-7;)S100|ATTR_R(D5G1;HNOLPURX-19;Y-8;)S0.024|prototype_center()I[0,0]
1485 Ngeneric:Facet-Center|art@0||0|0||||AV
1486 NCapacitor|cap@0||-10|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
1487 NCapacitor|cap@1||10|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
1488 NCapacitor|cap@2||0|0|||||SCHEM_capacitance(D5G1;OLUC)S@C*@L/3
1489 NOff-Page|conn@0||21|4|||RR|
1490 NOff-Page|conn@1||-21|4||||
1491 NGround|gnd@0||0|-8||||
1492 Ngeneric:Invisible-Pin|pin@0||15|7|||||ART_message(D5G1;)S[R2 ]
1493 Ngeneric:Invisible-Pin|pin@1||-15|7|||||ART_message(D5G1;)S[R1 = @R*@L/6]
1494 Ngeneric:Invisible-Pin|pin@2||0|7|||||ART_message(D5G1;)S[R12= @R*@L/3]
1495 Ngeneric:Invisible-Pin|pin@3||16.5|-2|||||ART_message(D5G1;)S[C = @C*@L/3]
1496 Ngeneric:Invisible-Pin|pin@4||0|14|||||ART_message(D5G2;)S[this is a wire 'L' lambda long,with resistance 'R' ohms/lambda,and capacitance 'C' F/lambda]
1497 Ngeneric:Invisible-Pin|pin@5||-1|22|||||ART_message(D5G6;)S[wire]
1498 NWire_Pin|pin@6||0|-4||||
1499 NWire_Pin|pin@7||10|-4||||
1500 NWire_Pin|pin@8||-10|-4||||
1501 NWire_Pin|pin@9||10|4||||
1502 NWire_Pin|pin@10||0|4||||
1503 NWire_Pin|pin@11||-10|4||||
1504 NResistor|res@0||-15|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/6
1505 NResistor|res@1||-5|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/3
1506 NResistor|res@2||15|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/6
1507 NResistor|res@3||5|4|||||SCHEM_resistance(D5G1;OLURY1.5;)S@R*@L/3
1508 Iwire;1{ic}|wire@0||15|24|||D0G4;|ATTR_C(D5G1;NOLPUCY-2.5;)S2.23E-16|ATTR_L(D5G1;OLPUD)S100|ATTR_R(D5G1;NOLPURY-1.5;)S0.24
1509 Awire|net@0|||IJS1800|res@2|b|17|4|conn@0|y|19|4
1510 Awire|net@1|||IJS0|res@0|a|-17|4|conn@1|y|-19|4
1511 Awire|net@2|||IJS900|pin@6||0|-4|gnd@0||0|-6
1512 Awire|net@3|||IJS2700|pin@6||0|-4|cap@2|b|0|-2
1513 Awire|net@4|||IJS0|pin@7||10|-4|pin@6||0|-4
1514 Awire|net@5|||IJS0|pin@6||0|-4|pin@8||-10|-4
1515 Awire|net@6|||IJS900|cap@1|b|10|-2|pin@7||10|-4
1516 Awire|net@7|||IJS2700|pin@8||-10|-4|cap@0|b|-10|-2
1517 Awire|net@8|||IJS900|pin@9||10|4|cap@1|a|10|2
1518 Awire|net@9|||IJS0|res@2|a|13|4|pin@9||10|4
1519 Awire|net@10|||IJS0|pin@9||10|4|res@3|b|7|4
1520 Awire|net@11|||IJS900|pin@10||0|4|cap@2|a|0|2
1521 Awire|net@12|||IJS0|res@3|a|3|4|pin@10||0|4
1522 Awire|net@13|||IJS0|pin@10||0|4|res@1|b|-3|4
1523 Awire|net@14|||IJS900|pin@11||-10|4|cap@0|a|-10|2
1524 Awire|net@15|||IJS0|res@1|a|-7|4|pin@11||-10|4
1525 Awire|net@16|||IJS0|pin@11||-10|4|res@0|b|-13|4
1526 Ea||D4G2;|conn@1|a|U
1527 Eb||D6G2;X-5;|conn@0|y|U
1528 X
1529
1530 # Cell wire40;1{ic}
1531 Cwire40;1{ic}||artwork|1083966364000|1244504921853|E|ATTR_L(D5FLeave alone;G1;HOLPUD)S100|ATTR_LEWIRE(D5G1;HPTY-3;)I1|ATTR_layer(D5FLeave alone;G1;HNOLPY-1;)S2|ATTR_width(D5FLeave alone;G1;HNOLPY-2;)S7|prototype_center()I[0,0]
1532 Ngeneric:Facet-Center|art@0||0|0||||AV
1533 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I74|ART_degrees()F[0.0,3.1415927]
1534 NThick-Circle|art@2||1.75|0|1.5|1.5|RRR||ART_color()I74|ART_degrees()F[0.0,3.1415927]
1535 NPin|pin@0||-1.75|0.75|1|1||
1536 NPin|pin@1||1.75|0.75|1|1||
1537 NPin|pin@2||1.75|-0.75|1|1||
1538 NPin|pin@3||-1.75|-0.75|1|1||
1539 Nschematic:Bus_Pin|pin@4||2.5|0|-1|-1||
1540 Nschematic:Bus_Pin|pin@5||-2.5|0|-1|-1||
1541 AThicker|net@0|||FS0|pin@1||1.75|0.75|pin@0||-1.75|0.75|ART_color()I74
1542 AThicker|net@1|||FS0|pin@2||1.75|-0.75|pin@3||-1.75|-0.75|ART_color()I74
1543 Ea||D5G2;|pin@5||B
1544 Eb||D5G2;|pin@4||B
1545 X
1546
1547 # Cell wire40;1{sch}
1548 Cwire40;1{sch}||schematic|1083965121000|1244505276447||ATTR_L(D5G1;HNOLPUDX-20.5;Y-6.5;)S100|ATTR_LEWIRE(D5G1;HNPTX-20.5;Y-9.5;)I1|ATTR_layer(D5FLeave alone;G1;HNOLPX-20.5;Y-7.5;)S2|ATTR_width(D5FLeave alone;G1;HNOLPX-20.5;Y-8.5;)S7|prototype_center()I[0,0]
1549 Ngeneric:Facet-Center|art@0||0|0||||AV
1550 NOff-Page|conn@0||-23|-1||||
1551 NOff-Page|conn@1||-5.5|-1|||YRR|
1552 Ngeneric:Invisible-Pin|pin@0||-4|6|||||ART_message(BD5G2;)Swire40
1553 Ngeneric:Invisible-Pin|pin@1||7|-8|||||ART_message(D5G1;)SR = (@layer==0?15:@layer==1?0.2:@layer<8?0.15:0.02)/@width
1554 Ngeneric:Invisible-Pin|pin@2||7|-6|||||ART_message(D5G1;)SC = (@layer==0?0.002:@layer<8?0.002:0.003)*1e-15
1555 Ngeneric:Invisible-Pin|pin@3||-9|2|||||ART_message(D6G1;)S["wire in layer 'layer', 'L' lambda long,","'width' lambda wide, for the 40nm tech"]
1556 Ngeneric:Invisible-Pin|pin@4||-12|-14|||||ART_message(D5G1;)Scapacitance (fF/lambda)
1557 Ngeneric:Invisible-Pin|pin@5||3.5|-14|||||ART_message(D5G1;)Sresistance (ohm/square)
1558 Ngeneric:Invisible-Pin|pin@6||3.5|-17.5|||||ART_message(D5G1;)SM1 - 0.2
1559 Ngeneric:Invisible-Pin|pin@7||3.5|-19|||||ART_message(D5G1;)SMx : 0.15
1560 Ngeneric:Invisible-Pin|pin@8||3.5|-20.5|||||ART_message(D5G1;)SMz : 0.02
1561 Ngeneric:Invisible-Pin|pin@9||3.5|-16|||||ART_message(D5G1;)Spoly - 15
1562 Ngeneric:Invisible-Pin|pin@10||18|-14|||||ART_message(D5G1;)Swidth (um/lambda)
1563 Ngeneric:Invisible-Pin|pin@11||18|-17.5|||||ART_message(D5G1;)SM1 - 0.07/7L
1564 Ngeneric:Invisible-Pin|pin@12||18|-19|||||ART_message(D5G1;)SMx : 0.07/7L
1565 Ngeneric:Invisible-Pin|pin@13||18|-20.5|||||ART_message(D5G1;)SMz : 0.4/40L
1566 Ngeneric:Invisible-Pin|pin@14||18|-16|||||ART_message(D5G1;)Spoly - 0.04/4L
1567 Ngeneric:Invisible-Pin|pin@15||-12|-17.5|||||ART_message(D5G1;)SM1 - 0.0021 or 0.21fF/um
1568 Ngeneric:Invisible-Pin|pin@17||-12|-20.5|||||ART_message(D5G1;)SMz : 0.003 or 0.3fF/um
1569 Ngeneric:Invisible-Pin|pin@18||-12|-16|||||ART_message(D5G1;)Spoly - 0.002 or 0.2fF/um
1570 Ngeneric:Invisible-Pin|pin@19||-12|-19|||||ART_message(D5G1;)SMx - 0.002 or 0.2fF/um
1571 Iwire40;1{ic}|wire90@1||14|7.88|||D0G4;|ATTR_L(D5FLeave alone;G1;OLPUD)S100|ATTR_LEWIRE(P)I1|ATTR_layer(D5FLeave alone;G1;NOLPY-1;)S2|ATTR_width(D5FLeave alone;G1;NOLPY-2;)S7
1572 Iwire;1{ic}|wire@0||-15|-1|||D0G4;|ATTR_C(D5G1;NOLPUCY-2.5;)S(@layer==0?0.002:@layer<8?0.002:0.003)*1e-15|ATTR_L(D5FLeave alone;G1;OLPUD)S@L|ATTR_R(D5G1;NOLPURY-1.5;)S(@layer==0?15:@layer==1?0.2:@layer<8?0.151:0.02)/@width
1573 Awire|net@0|||0|wire@0|a|-19|-1|conn@0|y|-21|-1
1574 Awire|net@1|||1800|wire@0|b|-11|-1|conn@1|y|-7.5|-1
1575 Ea||D4G2;|conn@0|a|B
1576 Eb||D4G2;|conn@1|a|B
1577 X