merge omegaCounter 40nm/90nm branches into chips/omegaCounter/
[fleet.git] / chips / omegaCounter / 40nm / electric / purpleFive.jelib
1 # header information:
2 HpurpleFive|8.10b|USER_electrical_units()I70464
3
4 # Views:
5 Vdocumentation|doc
6 Vicon|ic
7 Vschematic|sch
8
9 # External Libraries:
10
11 Lorange40nm|orange40nm
12
13 LredFive|redFive
14
15 # Tools:
16 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
17 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
18
19 # Technologies:
20 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43
21 Tmocmos|SelectedFoundryFormocmos()STSMC
22
23 # Cell LEsettings;1{ic}
24 CLEsettings;1{ic}||artwork|1023920563000|1204140525662|E|ATTR_LESETTINGS(D6G1;HNPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;HNPX-1.5;Y-1.5;)D0.7|ATTR_epsilon(D6G1;HNPX-4;Y1.5;)S0.01|ATTR_gate_cap(D6G1;HNPX-4;Y-0.5;)F0.4|ATTR_keeper_ratio(D5G1;HNPY-2.5;)F0.1|ATTR_max_iter(D6G1;HNPX-4;Y0.5;)I40|ATTR_su(D6G1;HNPX-4;Y3.5;)S4.5|ATTR_wire_ratio(D6G1;HNPX-4;Y2.5;)S0.22|prototype_center()I[0,0]
25 Ngeneric:Facet-Center|art@0||0|0||||AV
26 NOpened-Thicker-Polygon|art@1||1.5|-0.5|9|9|||ART_color()I78|trace()V[-4.5/-3.5,-4.5/4.5,4.5/4.5,4.5/-4.5,-4.5/-4.5]
27 Ngeneric:Invisible-Pin|pin@0||1.5|4.5|||||ART_message(D5G1;)S[LEsettings]
28 X
29
30 # Cell LEsettings;1{sch}
31 CLEsettings;1{sch}||schematic|1023920036000|1213471981644||ATTR_LESETTINGS(D6G1;HNPX-21;Y23;)I1|ATTR_alpha(D5G1;HNPX-18.5;Y25;)D0.7|ATTR_epsilon(D6G1;HNPX-21;Y28;)S0.01|ATTR_gate_cap(D6G1;HNPX-21;Y26;)F0.4|ATTR_keeper_ratio(D5G1;HNPX-17;Y24;)F0.1|ATTR_max_iter(D6G1;HNPX-21;Y27;)I40|ATTR_su(D6G1;HNPX-21;Y30;)S4.5|ATTR_wire_ratio(D6G1;HNPX-21;Y29;)S0.22|ATTR_SPICE_template(D5G1;NTX-7;Y9;)S**LEsettings: None needed for LEsettings|prototype_center()I[12000,48000]
32 ILEsettings;1{ic}|LEsettin@2||-4|2|||D5G4;|ATTR_LESETTINGS(D6G1;NPX-4;Y-3.5;)I1|ATTR_alpha(D5G1;NPX-1.5;Y-1.5;)F0.7|ATTR_epsilon(D6G1;NPX-4;Y1.5;)F0.0010|ATTR_gate_cap(D6G1;NPX-4;Y-0.5;)F0.19|ATTR_keeper_ratio(D5G1;NPY-2.5;)F0.1|ATTR_max_iter(D6G1;NPX-4;Y0.5;)I30|ATTR_su(D6G1;NPX-4;Y3.5;)S3.7|ATTR_wire_ratio(D6G1;NPX-4;Y2.5;)F0.16
33 Ngeneric:Facet-Center|art@0||0|0||||AV
34 Ngeneric:Invisible-Pin|pin@0||-9.5|12|||||ART_message(D6G1;)S[this is the diffusion effort of PMOS gates (Cdiffp/Cgate)]
35 Ngeneric:Invisible-Pin|pin@1||-9.5|13|||||ART_message(D6G1;)S[this is the diffusion effort of NMOS gates (Cdiffn/Cgate)]
36 Ngeneric:Invisible-Pin|pin@2||-9.5|14|||||ART_message(D6G1;)S[gate cap. is used to convert cap loads to gate loads (fF/lambda)]
37 Ngeneric:Invisible-Pin|pin@3||-9.5|15|||||ART_message(D6G1;)S[this sets the maximum number of iterations]
38 Ngeneric:Invisible-Pin|pin@4||-9.5|16|||||ART_message(D6G1;)S[epsilon is the convergence criterion]
39 Ngeneric:Invisible-Pin|pin@5||-9.5|17|||||ART_message(D6G1;)S[wire ratio is the default wire ratio: Cwire/Cgate]
40 Ngeneric:Invisible-Pin|pin@6||-9.5|18|||||ART_message(D6G1;)S[su is the global step-up (fan-out)]
41 Ngeneric:Invisible-Pin|pin@7||-24|21|||||ART_message(D6G2;)S[This Facet is used to set Logical Effort Settings]
42 X
43
44 # Cell aChangeRecord;1{doc}
45 CaChangeRecord;1{doc}||mocmos|1021415734000|1228430895838||FACET_message()S[This is a change record for the purpleOne library.,"",9 March 02,Ivan added alternate icons for four types of NAND gates.,Ivan fixed output port location on one of the NAND gates.,Ivan set the scale of the pass gate be normalized to minimum transistor.,Ivan checked that all internal expressions are in Java.,Making a new icon copies the form of the icon in the schematic but,    DOES NOT MAKE ITS PARAMETER BE Java type.,Ivan updated the dates on the libraries to 9 March 2002,"",14 Apr 2002,"JKG: Minor fixes on text, etc","",14 May 2002,"JKG: Added nmos, nmos4, pmos, pmos4 primitives to allow Monte Carlo simulations","JKG: Added pmos0, invKV, made invK allow longer than minimum lengths","",4 Dec 2008,IES:  changed name to purpleFive and added extra icons to permit more,understandable circuit diagrams.  See purpleFive:aGallery{sch}.,"","","",""]
46 X
47
48 # Cell branchfactor;1{ic}
49 Cbranchfactor;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_BF(D5G1.5;HNPY3;)I1|ATTR_Delay(D5G1;HNPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;HNPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;HNPX4.75;Y1.5;)I-1|ATTR_M(D5G1;HNPX2;Y-1.25;)I1|ATTR_S(D5G1;HOJPT)SLE.getdrive()|ATTR_su(D5G1;HNPX2;Y-5.25;)I1|prototype_center()I[6000,0]
50 Ngeneric:Facet-Center|art@0||0|0||||AV
51 NOpened-Thicker-Polygon|art@1||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0,0.25/0,-0.25/0,-0.25/0.5,0.25/0.5]
52 NThick-Circle|art@2||-0.5|-0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
53 NOpened-Thicker-Polygon|art@3||-0.75|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
54 NThick-Circle|art@4||-0.5|0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
55 NOpened-Thicker-Polygon|art@5||0|0|3|4|||ART_color()I78|trace()V[-1.5/-2,-1.5/2,1.5/2,1.5/-2,-1.5/-2]
56 NPin|pin@0||2.5|0||||
57 NPin|pin@1||1.5|0||||
58 Nschematic:Bus_Pin|pin@2||2.5|0|-2|-2||
59 NPin|pin@3||-2.5|0||||
60 NPin|pin@4||-1.5|0|1|1||
61 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
62 AThicker|net@0|||FS0|pin@0||2.5|0|pin@1||1.5|0|ART_color()I78
63 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
64 Ein||D5G1;|pin@5||I
65 Eout||D5G1;|pin@2||O
66 X
67
68 # Cell branchfactor;1{sch}
69 Cbranchfactor;1{sch}||schematic|1021415734000|1028570639000||ATTR_BF(D5G1;HNPX-12;Y-8.25;)I1|ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I0|ATTR_LEGATE(D5G1;HNPX-12;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPX-12;Y-6.5;)I-1|ATTR_M(D5G1;HNPX-12;Y-6.5;)I1|ATTR_S(D5G1;HNOJPX-12;Y-4.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-12;Y-9.5;)I1|prototype_center()I[0,0]
70 Ngeneric:Facet-Center|art@0||0|0||||AV
71 Ibranchfactor;1{ic}|branchfa@0||18|8.5|||D0G4;|ATTR_BF(D5G1.5;NPY3;)I1|ATTR_Delay(D5G1;NPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;NPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;NPX4.75;Y1.5;)I-1|ATTR_M(D5G1;NPX2;Y-1.25;)I1|ATTR_S(OJP)S1|ATTR_su(D5G1;NPX2;Y-5.25;)S""
72 NOff-Page|conn@0||-11|0||||
73 NOff-Page|conn@1||8|0||||
74 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[branchfactor]
75 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[Implements a branch factor of BF]
76 Awire|net@0|||0|conn@1|a|6|0|conn@0|y|-9|0
77 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NOJY-2;)S@BF
78 Eout||D5G2;|conn@1|y|O
79 X
80
81 # Cell inv;1{doc}
82 Cinv;1{doc}||mocmos|1012171260000|1012171294000||FACET_message()S[This is the one parameter inverter.,Drawn by Ivan Sutherland 27 January 2002,""]
83 X
84
85 # Cell inv;1{ic}
86 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
87 Ngeneric:Facet-Center|art@0||0|0||||AV
88 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
89 NPin|pin@0||1.5|0|1|1||
90 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
91 NPin|pin@2||-1.5|0|1|1||
92 NPin|pin@3||-2.5|0||||
93 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
94 NPin|pin@5||-1.5|2|1|1||
95 NPin|pin@6||-1.5|-2|1|1||
96 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I78
97 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I78
98 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
99 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
100 Ein||D5G1;|pin@1||I
101 Eout||D5G1;|pin@4||O
102 X
103
104 # Cell inv;2{sch}
105 Cinv;2{sch}||schematic|1021415734000|1159375611590||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
106 Ngeneric:Facet-Center|art@0||0|0||||AV
107 NOff-Page|conn@0||11|0||||
108 NOff-Page|conn@1||-14|0||||
109 IredFive:inv;1{ic}|inv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
110 Iinv;1{ic}|inv@1||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
111 Ngeneric:Invisible-Pin|pin@0||-2|14.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
112 Ngeneric:Invisible-Pin|pin@1||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
113 Ngeneric:Invisible-Pin|pin@2||-2|16.5|||||ART_message(D5G2;)S[one-parameter inverter]
114 Ngeneric:Invisible-Pin|pin@3||-1.5|20|||||ART_message(D5G6;)S[inv]
115 Awire|net@0|||1800|conn@1|y|-12|0|inv@0|in|-2|0
116 Awire|net@1|||1800|inv@0|out|3|0|conn@0|a|9|0
117 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.0
118 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
119 X
120
121 # Cell inv2i;1{ic}
122 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
123 Ngeneric:Facet-Center|art@0||0|0||||AV
124 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
125 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
126 NPin|pin@0||-2.5|-1||||
127 NPin|pin@1||-1.5|-1|1|1||
128 NPin|pin@2||1.5|0|1|1||
129 NPin|pin@3||-1.5|1|1|1||
130 NPin|pin@4||-2.5|1||||
131 NPin|pin@5||-1.5|2|1|1||
132 NPin|pin@6||-1.5|-2|1|1||
133 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
134 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
135 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
136 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
137 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
138 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
139 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
140 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
141 Ein[n]||D5G1;|pin@9||I
142 Ein[p]||D5G1;|pin@8||I
143 Eout||D5G1;|pin@7||O
144 X
145
146 # Cell inv2i;1{sch}
147 Cinv2i;1{sch}||schematic|1021415734000|1159375631875||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
148 Ngeneric:Facet-Center|art@0||0|0||||AV
149 NOff-Page|conn@0||12|0||||
150 NOff-Page|conn@1||-10.5|1|||Y|
151 NOff-Page|conn@2||-10.5|-1|||Y|
152 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
153 Iinv2i;1{ic}|inv2i@1||13.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
154 Ngeneric:Invisible-Pin|pin@0||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
155 Ngeneric:Invisible-Pin|pin@1||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
156 Ngeneric:Invisible-Pin|pin@2||-4|25|||||ART_message(D5G6;)S[inv2i]
157 Ngeneric:Invisible-Pin|pin@3||-4|20|||||ART_message(D5G2;)S[two-input inverter]
158 Awire|net@0|||0|inv2i@0|in[n]|-2.5|-1|conn@2|y|-8.5|-1
159 Awire|net@1|||0|inv2i@0|in[p]|-2.5|1|conn@1|y|-8.5|1
160 Awire|net@2|||0|conn@0|a|10|0|inv2i@0|out|2.5|0
161 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
162 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
163 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
164 X
165
166 # Cell inv2iHT;1{ic}
167 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
168 Ngeneric:Facet-Center|art@0||0|0||||AV
169 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
170 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
171 NThick-Circle|art@3||-1|1|1|1|||ART_color()I78
172 NPin|pin@0||-2.5|-1||||
173 NPin|pin@1||-1.5|-1|1|1||
174 NPin|pin@2||1.5|0|1|1||
175 NPin|pin@3||-1.5|1|1|1||
176 NPin|pin@4||-2.5|1||||
177 NPin|pin@5||-1.5|2|1|1||
178 NPin|pin@6||-1.5|-2|1|1||
179 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
180 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
181 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
182 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
183 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
184 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
185 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
186 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
187 Ein[n]||D5G1;|pin@9||I
188 Ein[p]||D5G1;|pin@8||I
189 Eout||D5G1;|pin@7||O
190 X
191
192 # Cell inv2iHT;1{sch}
193 Cinv2iHT;1{sch}||schematic|1021415734000|1159375639594||ATTR_Delay(D5G1;HNPX-11;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11;Y-11.5;)I-1|prototype_center()I[0,0]
194 Ngeneric:Facet-Center|art@0||0|0||||AV
195 NOff-Page|conn@0||12|0||||
196 NOff-Page|conn@1||-10|1|||Y|
197 NOff-Page|conn@2||-10|-1|||Y|
198 IredFive:inv2iHT;1{ic}|inv2iHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
199 Iinv2iHT;1{ic}|inv2iHT@1||23|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
200 Ngeneric:Invisible-Pin|pin@0||13|-11|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
201 Ngeneric:Invisible-Pin|pin@1||-3.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
202 Ngeneric:Invisible-Pin|pin@2||-3.5|20.5|||||ART_message(D5G6;)S[inv2iHT]
203 Ngeneric:Invisible-Pin|pin@3||-3.5|15.5|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
204 Awire|net@0|||0|inv2iHT@0|in[p]|-2.5|1|conn@1|y|-8|1
205 Awire|net@1|||0|inv2iHT@0|in[n]|-2.5|-1|conn@2|y|-8|-1
206 Awire|net@2|||0|conn@0|a|10|0|inv2iHT@0|out|2.5|0
207 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.33
208 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
209 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.67
210 X
211
212 # Cell inv2iK;1{ic}
213 Cinv2iK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
214 Ngeneric:Facet-Center|art@0||0|0||||AV
215 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
216 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
217 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[K]
218 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
219 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
220 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
221 NPin|pin@4||-1.5|-2|1|1||
222 NPin|pin@5||-1.5|2|1|1||
223 NPin|pin@6||-2.5|1||||
224 NPin|pin@7||-1.5|1|1|1||
225 NPin|pin@8||1.5|0|1|1||
226 NPin|pin@9||-1.5|-1|1|1||
227 NPin|pin@10||-2.5|-1||||
228 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
229 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
230 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
231 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
232 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
233 Ein[n]||D5G1;|pin@1||I
234 Ein[p]||D5G1;|pin@2||I
235 Eout||D5G1;|pin@3||O
236 X
237
238 # Cell inv2iK;1{sch}
239 Cinv2iK;1{sch}||schematic|1021415734000|1159376928498||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
240 Ngeneric:Facet-Center|art@0||0|0||||AV
241 NOff-Page|conn@0||-10.5|-1|||Y|
242 NOff-Page|conn@1||-10.5|1|||Y|
243 NOff-Page|conn@2||24|0||||
244 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
245 Iinv2iK;1{ic}|inv2iK@0||24|17|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
246 IredFive:invK;1{ic}|invK@0||8|6|R||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
247 IredFive:invK;1{ic}|invK@1||14.5|6|YR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
248 NWire_Pin|pin@0||8|10.5||||
249 NWire_Pin|pin@1||14.5|10.5||||
250 NWire_Pin|pin@2||14.5|0||||
251 NWire_Pin|pin@3||8|0||||
252 Ngeneric:Invisible-Pin|pin@4||11|-5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
253 Ngeneric:Invisible-Pin|pin@5||-4|20|||||ART_message(D5G2;)S[two-input inverter with keeper]
254 Ngeneric:Invisible-Pin|pin@6||-4|25|||||ART_message(D5G6;)S[inv2iK]
255 Ngeneric:Invisible-Pin|pin@7||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
256 Ngeneric:Invisible-Pin|pin@8||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
257 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
258 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
259 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||8|0
260 Awire|net@3|||2700|pin@3||8|0|invK@0|in|8|3.5
261 Awire|net@4|||2700|invK@0|out|8|8.5|pin@0||8|10.5
262 Awire|net@5|||900|pin@1||14.5|10.5|invK@1|in|14.5|8.5
263 Awire|net@6|||2700|pin@2||14.5|0|invK@1|out|14.5|3.5
264 Awire|net@7|||1800|pin@0||8|10.5|pin@1||14.5|10.5
265 Awire|net@8|||1800|pin@3||8|0|pin@2||14.5|0
266 Awire|net@9|||1800|pin@2||14.5|0|conn@2|a|22|0
267 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
268 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
269 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
270 X
271
272 # Cell inv2iKn;1{ic}
273 Cinv2iKn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
274 Ngeneric:Facet-Center|art@0||0|0||||AV
275 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
276 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
277 NPin|pin@0||-2.5|-1||||
278 NPin|pin@1||-1.5|-1|1|1||
279 NPin|pin@2||1.5|0|1|1||
280 NPin|pin@3||-1.5|1|1|1||
281 NPin|pin@4||-2.5|1||||
282 NPin|pin@5||-1.5|2|1|1||
283 NPin|pin@6||-1.5|-2|1|1||
284 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
285 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
286 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
287 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kn]
288 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
289 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
290 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
291 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
292 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
293 Ein[n]||D5G1;|pin@9||I
294 Ein[p]||D5G1;|pin@8||I
295 Eout||D5G1;|pin@7||O
296 X
297
298 # Cell inv2iKn;1{sch}
299 Cinv2iKn;1{sch}||schematic|1021415734000|1248729232899||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
300 IredFive:PMOS;1{ic}|PMOS@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
301 Ngeneric:Facet-Center|art@0||0|0||||AV
302 NOff-Page|conn@0||15|0||||
303 NOff-Page|conn@1||-10.5|1|||Y|
304 NOff-Page|conn@2||-10.5|-1|||Y|
305 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
306 Iinv2iKn;1{ic}|inv2iKn@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
307 Ngeneric:Invisible-Pin|pin@0||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
308 NWire_Pin|pin@1||-4.5|-1||||
309 NWire_Pin|pin@2||-4.5|-5.5||||
310 NWire_Pin|pin@3||4.5|0||||
311 Ngeneric:Invisible-Pin|pin@4||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
312 Ngeneric:Invisible-Pin|pin@5||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
313 Ngeneric:Invisible-Pin|pin@6||0|20|||||ART_message(D5G6;)S[inv2iKn]
314 Ngeneric:Invisible-Pin|pin@7||0|15|||||ART_message(D5G2;)S[two-input inverter with n-side keeper]
315 Ngeneric:Invisible-Pin|pin@8||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
316 NPower|pwr@0||4.5|-10.5||||
317 Awire|net@0|||1800|pin@1||-4.5|-1|inv2i@0|in[n]|-2.5|-1
318 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
319 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||4.5|0
320 Awire|net@3|||900|pin@3||4.5|0|PMOS@0|s|4.5|-3.5
321 Awire|net@4|||1800|pin@2||-4.5|-5.5|PMOS@0|g|1.5|-5.5
322 Awire|net@5|||900|PMOS@0|d|4.5|-7.5|pwr@0||4.5|-10.5
323 Awire|net@6|||0|conn@0|a|13|0|pin@3||4.5|0
324 Awire|net@7|||900|pin@1||-4.5|-1|pin@2||-4.5|-5.5
325 Awire|net@8|||1800|conn@2|y|-8.5|-1|pin@1||-4.5|-1
326 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
327 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
328 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
329 X
330
331 # Cell inv2iKnD;1{ic}
332 Cinv2iKnD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
333 Ngeneric:Facet-Center|art@0||0|0||||AV
334 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
335 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
336 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[KnD]
337 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
338 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
339 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
340 NPin|pin@4||-1.5|-2|1|1||
341 NPin|pin@5||-1.5|2|1|1||
342 NPin|pin@6||-2.5|1||||
343 NPin|pin@7||-1.5|1|1|1||
344 NPin|pin@8||1.5|0|1|1||
345 NPin|pin@9||-1.5|-1|1|1||
346 NPin|pin@10||-2.5|-1||||
347 Ngeneric:Invisible-Pin|pin@11||0|2||||
348 NPin|pin@12||0|1|||R|
349 NPin|pin@13||0|2|1|1|R|
350 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
351 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
352 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
353 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
354 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
355 AThicker|net@5|||FS900|pin@13||0|2|pin@12||0|1|ART_color()I78
356 Ectl||D5G2;|pin@11||I
357 Ein[n]||D5G1;|pin@1||I
358 Ein[p]||D5G1;|pin@2||I
359 Eout||D5G1;|pin@3||O
360 X
361
362 # Cell inv2iKnD;1{sch}
363 Cinv2iKnD;1{sch}||schematic|1021415734000|1248729232899||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
364 IredFive:PMOS;1{ic}|PMOS@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
365 Ngeneric:Facet-Center|art@0||0|0||||AV
366 NOff-Page|conn@0||-10.5|-1|||Y|
367 NOff-Page|conn@1||-10.5|1|||Y|
368 NOff-Page|conn@2||15|0||||
369 NOff-Page|conn@3||-4|6||||
370 IredFive:inv2iCTLn;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
371 Iinv2iKnD;1{ic}|inv2iKnD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
372 Ngeneric:Invisible-Pin|pin@0||1|9|||||ART_message(D5G2;)S["Set input in N, reset input is P"]
373 Ngeneric:Invisible-Pin|pin@1||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
374 Ngeneric:Invisible-Pin|pin@2||0|15|||||ART_message(D5G2;)S[degradable two-input inverter with n-side keeper]
375 Ngeneric:Invisible-Pin|pin@3||0|20|||||ART_message(D5G6;)S[inv2iKnD]
376 Ngeneric:Invisible-Pin|pin@4||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
377 Ngeneric:Invisible-Pin|pin@5||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
378 NWire_Pin|pin@6||4.5|0||||
379 NWire_Pin|pin@7||-4.5|-5.5||||
380 NWire_Pin|pin@8||-4.5|-1||||
381 Ngeneric:Invisible-Pin|pin@9||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
382 NWire_Pin|pin@10||0|6||||
383 NPower|pwr@0||4.5|-10.5||||
384 Awire|net@0|||900|pin@6||4.5|0|PMOS@0|s|4.5|-3.5
385 Awire|net@1|||1800|pin@7||-4.5|-5.5|PMOS@0|g|1.5|-5.5
386 Awire|net@2|||900|PMOS@0|d|4.5|-7.5|pwr@0||4.5|-10.5
387 Awire|net@3|||1800|conn@1|y|-8.5|1|inv2iCTL@0|inP|-2.5|1
388 Awire|net@4|||2700|inv2iCTL@0|ctl|0|-2|pin@10||0|6
389 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@6||4.5|0
390 Awire|net@6|||1800|pin@8||-4.5|-1|inv2iCTL@0|inN|-2.5|-1
391 Awire|net@7|||1800|conn@0|y|-8.5|-1|pin@8||-4.5|-1
392 Awire|net@8|||900|pin@8||-4.5|-1|pin@7||-4.5|-5.5
393 Awire|net@9|||0|conn@2|a|13|0|pin@6||4.5|0
394 Awire|net@10|||0|pin@10||0|6|conn@3|y|-2|6
395 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F0.67
396 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.67
397 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
398 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.33
399 X
400
401 # Cell inv2iKp;1{ic}
402 Cinv2iKp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
403 Ngeneric:Facet-Center|art@0||0|0||||AV
404 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
405 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
406 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[Kp]
407 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
408 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
409 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
410 NPin|pin@4||-1.5|-2|1|1||
411 NPin|pin@5||-1.5|2|1|1||
412 NPin|pin@6||-2.5|1||||
413 NPin|pin@7||-1.5|1|1|1||
414 NPin|pin@8||1.5|0|1|1||
415 NPin|pin@9||-1.5|-1|1|1||
416 NPin|pin@10||-2.5|-1||||
417 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
418 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
419 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
420 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
421 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
422 Ein[n]||D5G1;|pin@1||I
423 Ein[p]||D5G1;|pin@2||I
424 Eout||D5G1;|pin@3||O
425 X
426
427 # Cell inv2iKp;1{sch}
428 Cinv2iKp;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
429 IredFive:NMOS;1{ic}|NMOS@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
430 Ngeneric:Facet-Center|art@0||0|0||||AV
431 NOff-Page|conn@0||-10.5|-1|||Y|
432 NOff-Page|conn@1||-10.5|1|||Y|
433 NOff-Page|conn@2||15|0||||
434 NGround|gnd@0||4.5|11||-1|Y|
435 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
436 Iinv2iKp;1{ic}|inv2iKp@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
437 Ngeneric:Invisible-Pin|pin@0||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
438 NWire_Pin|pin@1||-4.5|1||||
439 Ngeneric:Invisible-Pin|pin@2||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
440 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[two-input inverter with p-side keeper]
441 Ngeneric:Invisible-Pin|pin@4||0|24|||||ART_message(D5G6;)S[inv2iKp]
442 Ngeneric:Invisible-Pin|pin@5||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
443 Ngeneric:Invisible-Pin|pin@6||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
444 NWire_Pin|pin@7||4.5|0||||
445 NWire_Pin|pin@8||-4.5|5.5||||
446 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
447 Awire|net@1|||1800|pin@1||-4.5|1|inv2i@0|in[p]|-2.5|1
448 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@7||4.5|0
449 Awire|net@3|||2700|pin@7||4.5|0|NMOS@0|s|4.5|3.5
450 Awire|net@4|||2700|NMOS@0|d|4.5|7.5|gnd@0||4.5|9.5
451 Awire|net@5|||1800|pin@8||-4.5|5.5|NMOS@0|g|1.5|5.5
452 Awire|net@6|||2700|pin@1||-4.5|1|pin@8||-4.5|5.5
453 Awire|net@7|||1800|conn@1|y|-8.5|1|pin@1||-4.5|1
454 Awire|net@8|||0|conn@2|a|13|0|pin@7||4.5|0
455 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
456 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
457 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I1
458 X
459
460 # Cell inv2iKpD;1{ic}
461 Cinv2iKpD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
462 Ngeneric:Facet-Center|art@0||0|0||||AV
463 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
464 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
465 NThick-Circle|art@3||0|1.5|1|1|||ART_color()I78
466 NPin|pin@0||-2.5|-1||||
467 NPin|pin@1||-1.5|-1|1|1||
468 NPin|pin@2||1.5|0|1|1||
469 NPin|pin@3||-1.5|1|1|1||
470 NPin|pin@4||-2.5|1||||
471 NPin|pin@5||-1.5|2|1|1||
472 NPin|pin@6||-1.5|-2|1|1||
473 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
474 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
475 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
476 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kp]
477 Ngeneric:Invisible-Pin|pin@11||0|2||||
478 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
479 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
480 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
481 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
482 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
483 Ectl||D5G2;|pin@11||I
484 Ein[n]||D5G1;|pin@9||I
485 Ein[p]||D5G1;|pin@8||I
486 Eout||D5G1;|pin@7||O
487 X
488
489 # Cell inv2iKpD;1{sch}
490 Cinv2iKpD;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
491 IredFive:NMOS;1{ic}|NMOS@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
492 Ngeneric:Facet-Center|art@0||0|0||||AV
493 NOff-Page|conn@0||15|0||||
494 NOff-Page|conn@1||-10.5|1|||Y|
495 NOff-Page|conn@2||-10.5|-1|||Y|
496 NOff-Page|conn@3||-4|-6||||
497 NGround|gnd@0||4.5|11||-1|Y|
498 IredFive:inv2iCTLp;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
499 Iinv2iKpD;1{ic}|inv2iKpD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
500 Ngeneric:Invisible-Pin|pin@0||1|14|||||ART_message(D5G2;)S["set input is P, reset input is N"]
501 NWire_Pin|pin@1||-4.5|5.5||||
502 NWire_Pin|pin@2||4.5|0||||
503 Ngeneric:Invisible-Pin|pin@3||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
504 Ngeneric:Invisible-Pin|pin@4||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
505 Ngeneric:Invisible-Pin|pin@5||0|24|||||ART_message(D5G6;)S[inv2iKpD]
506 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[degradable two-input inverter with p-side keeper]
507 Ngeneric:Invisible-Pin|pin@7||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
508 NWire_Pin|pin@8||-4.5|1||||
509 Ngeneric:Invisible-Pin|pin@9||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
510 NWire_Pin|pin@10||0|-6||||
511 Awire|net@0|||2700|pin@2||4.5|0|NMOS@0|s|4.5|3.5
512 Awire|net@1|||2700|NMOS@0|d|4.5|7.5|gnd@0||4.5|9.5
513 Awire|net@2|||1800|pin@1||-4.5|5.5|NMOS@0|g|1.5|5.5
514 Awire|net@3|||1800|pin@8||-4.5|1|inv2iCTL@0|inP|-2.5|1
515 Awire|net@4|||900|inv2iCTL@0|ctl|0|-2|pin@10||0|-6
516 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@2||4.5|0
517 Awire|net@6|||1800|conn@2|y|-8.5|-1|inv2iCTL@0|inN|-2.5|-1
518 Awire|net@7|||0|conn@0|a|13|0|pin@2||4.5|0
519 Awire|net@8|||1800|conn@1|y|-8.5|1|pin@8||-4.5|1
520 Awire|net@9|||2700|pin@8||-4.5|1|pin@1||-4.5|5.5
521 Awire|net@10|||0|pin@10||0|-6|conn@3|y|-2|-6
522 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX1;Y-2;)F1.33
523 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
524 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
525 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)D1.67
526 X
527
528 # Cell inv2iLT;2{ic}
529 Cinv2iLT;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
530 Ngeneric:Facet-Center|art@0||0|0||||AV
531 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
532 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
533 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
534 NPin|pin@0||-2.5|-1||||
535 NPin|pin@1||-1.5|-1|1|1||
536 NPin|pin@2||1.5|0|1|1||
537 NPin|pin@3||-1.5|1|1|1||
538 NPin|pin@4||-2.5|1||||
539 NPin|pin@5||-1.5|2|1|1||
540 NPin|pin@6||-1.5|-2|1|1||
541 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
542 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
543 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
544 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
545 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
546 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
547 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
548 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
549 Ein[n]||D5G1;|pin@7||I
550 Ein[p]||D5G1;|pin@8||I
551 Eout||D5G1;|pin@9||O
552 X
553
554 # Cell inv2iLT;2{sch}
555 Cinv2iLT;2{sch}||schematic|1021415734000|1159375635930||ATTR_Delay(D5G1;HNPX-11.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11.5;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-11.5;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11.5;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11.5;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11.5;Y-10.5;)I-1|prototype_center()I[0,0]
556 Ngeneric:Facet-Center|art@0||0|0||||AV
557 NOff-Page|conn@0||-10|-1|||Y|
558 NOff-Page|conn@1||-10|1|||Y|
559 NOff-Page|conn@2||12.5|0||||
560 IredFive:inv2iLT;1{ic}|inv2iLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
561 Iinv2iLT;2{ic}|inv2iLT@1||21|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
562 Ngeneric:Invisible-Pin|pin@0||16|-12.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
563 Ngeneric:Invisible-Pin|pin@1||0.5|11.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
564 Ngeneric:Invisible-Pin|pin@2||-3.5|16|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
565 Ngeneric:Invisible-Pin|pin@3||0.5|18.5|||||ART_message(D5G6;)S[inv2iLT]
566 Awire|net@0|||0|inv2iLT@0|in[n]|-2.5|-1|conn@0|y|-8|-1
567 Awire|net@1|||0|inv2iLT@0|in[p]|-2.5|1|conn@1|y|-8|1
568 Awire|net@2|||0|conn@2|a|10.5|0|inv2iLT@0|out|2.5|0
569 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F0.67
570 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
571 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
572 X
573
574 # Cell inv2o;1{ic}
575 Cinv2o;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_DelayH(D5G1;HNPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;HNPX2;Y-3.5;)I100|ATTR_X(D5G1.5;HNOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
576 Ngeneric:Facet-Center|art@0||0|0||||AV
577 NOpened-Thicker-Polygon|art@1||-0.5|-1|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
578 NOpened-Thicker-Polygon|art@2||-0.5|1|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
579 NThick-Circle|art@3||1|1|1|1|1200||ART_color()I78|ART_degrees()F[0.0,2.0943952]
580 NThick-Circle|art@4||2|-1|1|1|||ART_color()I78
581 NThick-Circle|art@5||2|1|1|1|||ART_color()I78
582 NPin|pin@0||1.5|-1|1|1||
583 NPin|pin@1||0|0|1|1||
584 NPin|pin@2||-1.5|-3|1|1||
585 NPin|pin@3||1.5|1|1|1||
586 NPin|pin@4||-1.5|3|1|1||
587 NPin|pin@5||0|0|1|1||
588 NPin|pin@6||-2.5|0|1|1||
589 NPin|pin@7||-1.5|0|1|1||
590 Nschematic:Wire_Pin|pin@8||2.5|-1|-0.5|-0.5||
591 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
592 Nschematic:Bus_Pin|pin@10||2.5|1|-2|-2||
593 AThicker|net@0|||FS2700|pin@2||-1.5|-3|pin@4||-1.5|3|ART_color()I78
594 AThicker|net@1|||FS337|pin@0||1.5|-1|pin@2||-1.5|-3|ART_color()I78
595 AThicker|net@2|||FS3263|pin@0||1.5|-1|pin@1||0|0|ART_color()I78
596 AThicker|net@3|||FS337|pin@3||1.5|1|pin@5||0|0|ART_color()I78
597 AThicker|net@4|||FS3263|pin@3||1.5|1|pin@4||-1.5|3|ART_color()I78
598 AThicker|net@5|||FS0|pin@7||-1.5|0|pin@6||-2.5|0|ART_color()I78
599 Ein||D5G1;|pin@9||I
600 Eout[n]||D5G1;|pin@8||O
601 Eout[p]||D5G1;HN|pin@10||O
602 X
603
604 # Cell inv2o;1{sch}
605 Cinv2o;1{sch}||schematic|1021415734000|1197016374252||ATTR_DelayH(D5G1;HNPX-18;Y-5.5;)I100|ATTR_DelayL(D5G1;HNPX-18;Y-6.5;)I100|ATTR_X(D5G1;HNOJPX-18;Y-3.5;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HNPTX-18;Y-4.5;)I-1|prototype_center()I[0,0]
606 Ngeneric:Facet-Center|art@0||0|0||||AV
607 NOff-Page|conn@0||16|-2||||
608 NOff-Page|conn@1||-16|0||||
609 NOff-Page|conn@2||16|2||||
610 Iinv2o;1{ic}|inv2o@0||26.5|19|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1
611 IinvHT;1{ic}|invHT@0||-2.5|2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayH|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su|ATTR_S(D5G1;ILNRRX1.75;Y-8.5;)SLE.getdrive()
612 IinvLT;1{ic}|invLT@0||5|-2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayL|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su
613 Ngeneric:Invisible-Pin|pin@0||6.5|-7.5|||||ART_message(D5G2;)S[simply reports size of HT gate]
614 NWire_Pin|pin@1||-9|-2||||
615 NWire_Pin|pin@2||-9|2||||
616 Ngeneric:Invisible-Pin|pin@3||-3|15|||||ART_message(D5G2;)S[these are width ratios]
617 Ngeneric:Invisible-Pin|pin@4||2.5|12.5|||||ART_message(D5G2;)S[P:N=2:2]
618 Ngeneric:Invisible-Pin|pin@5||-9|12.5|||||ART_message(D5G2;)S[P:N=4:1]
619 NWire_Pin|pin@6||-9|0||||
620 Ngeneric:Invisible-Pin|pin@7||-1|19|||||ART_message(D5G2;)S[with two outputs]
621 Ngeneric:Invisible-Pin|pin@8||-1|21|||||ART_message(D5G2;)S[HI-LO-threshold inverters]
622 Ngeneric:Invisible-Pin|pin@9||0|25.5|||||ART_message(D5G6;)S[inv2o]
623 Awire|net@0|||900|pin@6||-9|0|pin@1||-9|-2
624 Awire|net@1|||1800|pin@1||-9|-2|invLT@0|in|2.5|-2
625 Awire|net@2|||0|conn@0|a|14|-2|invLT@0|out|7.5|-2
626 Awire|net@3|||1800|pin@2||-9|2|invHT@0|in|-5|2
627 Awire|net@4|||900|pin@2||-9|2|pin@6||-9|0
628 Awire|net@5|||0|conn@2|a|14|2|invHT@0|out|0|2
629 Awire|net@6|||0|pin@6||-9|0|conn@1|y|-14|0
630 Ein||D5G2;|conn@1|a|I
631 Eout[n]||D5G2;|conn@0|y|O
632 Eout[p]||D5G2;|conn@2|y|O
633 X
634
635 # Cell invCLK;1{ic}
636 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
637 Ngeneric:Facet-Center|art@0||0|0||||AV
638 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
639 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
640 NOpened-Thicker-Polygon|art@3||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
641 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
642 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
643 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
644 NPin|pin@2||-1.5|-2|1|1||
645 NPin|pin@3||-1.5|2|1|1||
646 NPin|pin@4||-2.5|0||||
647 NPin|pin@5||-1.5|0|1|1||
648 NPin|pin@6||1.5|0|1|1||
649 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I78
650 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I78
651 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I78
652 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I78
653 Ein||D5G1;|pin@1||I
654 Eout||D5G1;|pin@0||O
655 X
656
657 # Cell invCLK;1{sch}
658 CinvCLK;1{sch}||schematic|1021415734000|1159375628155||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
659 Ngeneric:Facet-Center|art@0||0|0||||AV
660 NOff-Page|conn@0||9.5|0||||
661 NOff-Page|conn@1||-10|0||||
662 IredFive:invCLK;1{ic}|invCLK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
663 IinvCLK;1{ic}|invCLK@1||24|19|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
664 Ngeneric:Invisible-Pin|pin@0||-2|11.5|||||ART_message(D5G2;)S[should give equal R/F Delay]
665 Ngeneric:Invisible-Pin|pin@1||-1|20.5|||||ART_message(D5G6;)S[inv3to1]
666 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[higher-threshold inverter]
667 Ngeneric:Invisible-Pin|pin@3||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is 1.5x N strength]
668 Ngeneric:Invisible-Pin|pin@4||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
669 Awire|net@0|||0|invCLK@0|in|-2.5|0|conn@1|y|-8|0
670 Awire|net@1|||1800|invCLK@0|out|2.5|0|conn@0|a|7.5|0
671 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
672 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.33
673 X
674
675 # Cell invCTLn;1{ic}
676 CinvCTLn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;HNPX2;Y-4;)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;HNPX1.75;Y-3;)I175|ATTR_su(D5G1;HNPX2;Y-5;)I-1|prototype_center()I[6000,0]
677 Ngeneric:Facet-Center|art@0||0|0||||AV
678 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
679 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
680 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
681 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
682 NPin|pin@0||0|-1|1|1|R|
683 NPin|pin@1||0|-2|||R|
684 Ngeneric:Invisible-Pin|pin@2||0|-2||||
685 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
686 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
687 NPin|pin@5||-1.5|-2|1|1||
688 NPin|pin@6||-1.5|2|1|1||
689 NPin|pin@7||-2.5|0||||
690 NPin|pin@8||-1.5|0|1|1||
691 NPin|pin@9||1.5|0|1|1||
692 AThicker|net@0|||FS900|pin@0||0|-1|pin@1||0|-2|ART_color()I78
693 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I78
694 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I78
695 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I78
696 AThicker|net@4|||FS0|pin@8||-1.5|0|pin@7||-2.5|0|ART_color()I78
697 Ectl||D5G1;|pin@2||I
698 Ein||D5G1;|pin@4||I
699 Eout||D5G1;|pin@3||O
700 X
701
702 # Cell invCTLn;1{sch}
703 CinvCTLn;1{sch}||schematic|1021415734000|1159375665094||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-11;)Sstrong1|ATTR_sloDelay(D5G1;HNPX-12.5;Y-6.25;)I175|ATTR_su(D5G1;HNPTX-12;Y-9;)I-1|prototype_center()I[0,0]
704 Ngeneric:Facet-Center|art@0||0|0||||AV
705 NOff-Page|conn@0||0|-7.5|||R|
706 NOff-Page|conn@1||12.5|0||||
707 NOff-Page|conn@2||-8.5|0||||
708 IredFive:invCTLn;1{ic}|invCTLn@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_sloDelay(D5G1;NOJPX4.5;Y-3;)S@sloDelay
709 IinvCTLn;1{ic}|invCTLn@1||27.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;NPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;NPX2;Y-4;)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;NPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3;)I175|ATTR_su(D5G1;NPX2;Y-5;)I-1
710 Ngeneric:Invisible-Pin|pin@0||-1|24|||||ART_message(D5G6;)S[invCTLn]
711 Ngeneric:Invisible-Pin|pin@1||0|19|||||ART_message(D5G2;)S[current starved inverter]
712 Ngeneric:Invisible-Pin|pin@2||0.5|17|||||ART_message(D5G2;)S[only low-going output transition is affected]
713 Ngeneric:Invisible-Pin|pin@3||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
714 Awire|net@0|||900|invCTLn@0|ctl|0|-2|conn@0|y|0|-5.5
715 Awire|net@1|||0|conn@1|a|10.5|0|invCTLn@0|out|2.5|0
716 Awire|net@2|||1800|conn@2|y|-6.5|0|invCTLn@0|in|-2.5|0
717 Ectl||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
718 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
719 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
720 X
721
722 # Cell invCTLp;1{ic}
723 CinvCTLp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
724 Ngeneric:Facet-Center|art@0||0|0||||AV
725 NOpened-Thicker-Polygon|art@1||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
726 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
727 NOpened-Thicker-Polygon|art@3||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
728 NOpened-Thicker-Polygon|art@4||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
729 Ngeneric:Invisible-Pin|pin@0||0.5|1.25|||||ART_message(D5G1;)S[p]
730 NPin|pin@1||1.5|0|1|1||
731 NPin|pin@2||-1.5|0|1|1||
732 NPin|pin@3||-2.5|0||||
733 NPin|pin@4||-1.5|2|1|1||
734 NPin|pin@5||-1.5|-2|1|1||
735 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
736 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
737 Ngeneric:Invisible-Pin|pin@8||0|2||||
738 NPin|pin@9||0|1|||R|
739 NPin|pin@10||0|2|1|1|R|
740 AThicker|net@0|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
741 AThicker|net@1|||FS2700|pin@5||-1.5|-2|pin@4||-1.5|2|ART_color()I78
742 AThicker|net@2|||FS337|pin@1||1.5|0|pin@5||-1.5|-2|ART_color()I78
743 AThicker|net@3|||FS3263|pin@1||1.5|0|pin@4||-1.5|2|ART_color()I78
744 AThicker|net@4|||FS900|pin@10||0|2|pin@9||0|1|ART_color()I78
745 Ectl||D5G1;|pin@8||I
746 Ein||D5G1;|pin@6||I
747 Eout||D5G1;|pin@7||O
748 X
749
750 # Cell invCTLp;1{sch}
751 CinvCTLp;1{sch}||schematic|1021415734000|1159377383524||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
752 Ngeneric:Facet-Center|art@0||0|0||||AV
753 NOff-Page|conn@0||-8.5|0||||
754 NOff-Page|conn@1||8|0||||
755 NOff-Page|conn@2||0|-7.5|||R|
756 IredFive:invCTLp;1{ic}|invCTLp@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
757 IinvCTLp;1{ic}|invCTLp@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
758 Ngeneric:Invisible-Pin|pin@0||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
759 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[only high-going output transition is affected]
760 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[current starved inverter]
761 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invCTLp]
762 Awire|net@0|||900|invCTLp@0|ctl|0|-2|conn@2|y|0|-5.5
763 Awire|net@1|||0|conn@1|a|6|0|invCTLp@0|out|2.5|0
764 Awire|net@2|||1800|conn@0|y|-6.5|0|invCTLp@0|in|-2.5|0
765 Ectl||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
766 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.67
767 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)S1.67
768 X
769
770 # Cell invHT;1{ic}
771 CinvHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
772 Ngeneric:Facet-Center|art@0||0|0||||AV
773 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
774 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
775 NPin|pin@0||1.5|0|1|1||
776 NPin|pin@1||-1.5|0|1|1||
777 NPin|pin@2||-2.5|0||||
778 NPin|pin@3||-1.5|2|1|1||
779 NPin|pin@4||-1.5|-2|1|1||
780 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
781 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
782 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
783 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
784 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
785 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
786 Ein||D5G1;|pin@5||I
787 Eout||D5G1;|pin@6||O
788 X
789
790 # Cell invHT;2{sch}
791 CinvHT;2{sch}||schematic|1021415734000|1159375620196||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
792 Ngeneric:Facet-Center|art@0||0|0||||AV
793 NOff-Page|conn@0||-10|0||||
794 NOff-Page|conn@1||9.5|0||||
795 IredFive:invHT;1{ic}|invHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
796 IinvHT;1{ic}|invHT@1||15|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
797 Ngeneric:Invisible-Pin|pin@0||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
798 Ngeneric:Invisible-Pin|pin@1||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
799 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[HI-threshold inverter]
800 Ngeneric:Invisible-Pin|pin@3||-1|20.5|||||ART_message(D5G6;)S[invHT]
801 Awire|net@0|||0|invHT@0|in|-2.5|0|conn@0|y|-8|0
802 Awire|net@1|||1800|invHT@0|out|2.5|0|conn@1|a|7.5|0
803 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
804 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY1.5;)F1.67
805 X
806
807 # Cell invK;1{ic}
808 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[2000,0]
809 Ngeneric:Facet-Center|art@0||0|0||||AV
810 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
811 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
812 NPin|pin@0||1.5|0|1|1||
813 NPin|pin@1||-1.5|2|1|1||
814 NPin|pin@2||-1.5|-2|1|1||
815 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
816 NPin|pin@4||2.5|0||||
817 NPin|pin@5||1.5|0|1|1||
818 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
819 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I78
820 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I78
821 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I78
822 AThicker|net@3|||FS0|pin@4||2.5|0|pin@5||1.5|0|ART_color()I78
823 Ein||D5G1;|pin@3||I
824 Eout||D5G1;|pin@6||O
825 X
826
827 # Cell invK;1{sch}
828 CinvK;1{sch}||schematic|1021415734000|1159375623973||ATTR_Delay(D5G1;HNPX-14;Y-7.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-14;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-14;Y-5.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14;Y-9.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-10.5;)Sweak1|ATTR_su(D5G1;HNPTX-14;Y-8.5;)I-1|prototype_center()I[0,0]
829 Ngeneric:Facet-Center|art@0||0|0||||AV
830 NOff-Page|conn@0||-11|0||||
831 NOff-Page|conn@1||8|0||||
832 IredFive:invK;1{ic}|invK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
833 IinvK;1{ic}|invK@1||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
834 Ngeneric:Invisible-Pin|pin@0||24.5|-8.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
835 Ngeneric:Invisible-Pin|pin@1||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
836 Ngeneric:Invisible-Pin|pin@2||-1|23.5|||||ART_message(D5G6;)S[invK]
837 Ngeneric:Invisible-Pin|pin@3||-1|18|||||ART_message(D5G2;)S[LO threshold keeper inverter]
838 Awire|net@0|||1800|conn@0|y|-9|0|invK@0|in|-2.5|0
839 Awire|net@1|||0|conn@1|a|6|0|invK@0|out|2.5|0
840 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
841 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
842 X
843
844 # Cell invLT;1{ic}
845 CinvLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
846 Ngeneric:Facet-Center|art@0||0|0||||AV
847 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
848 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
849 NPin|pin@0||1.5|0|1|1||
850 NPin|pin@1||-1.5|0|1|1||
851 NPin|pin@2||-2.5|0||||
852 NPin|pin@3||-1.5|2|1|1||
853 NPin|pin@4||-1.5|-2|1|1||
854 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
855 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
856 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
857 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
858 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
859 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
860 Ein||D5G1;|pin@5||I
861 Eout||D5G1;|pin@6||O
862 X
863
864 # Cell invLT;2{sch}
865 CinvLT;2{sch}||schematic|1021415734000|1159375615839||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
866 Ngeneric:Facet-Center|art@0||0|0||||AV
867 NOff-Page|conn@0||-8.5|0||||
868 NOff-Page|conn@1||8|0||||
869 IredFive:invLT;1{ic}|invLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
870 IinvLT;1{ic}|invLT@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
871 Ngeneric:Invisible-Pin|pin@0||18.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
872 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
873 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[LO-threshold inverter]
874 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invLT]
875 Awire|net@0|||1800|conn@0|y|-6.5|0|invLT@0|in|-2.5|0
876 Awire|net@1|||0|conn@1|a|6|0|invLT@0|out|2.5|0
877 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
878 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
879 X
880
881 # Cell inv_passgate;1{ic}
882 Cinv_passgate;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
883 Ngeneric:Facet-Center|art@0||0|0||||AV
884 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
885 NPin|pin@0||-1.5|-2|1|1||
886 NPin|pin@1||-1.5|2|1|1||
887 Nschematic:Bus_Pin|pin@2||5.5|0|-2|-2||
888 NPin|pin@3||-2.5|0||||
889 NPin|pin@4||-1.5|0|1|1||
890 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
891 NPin|pin@6||1.5|0|1|1||
892 Ngeneric:Invisible-Pin|pin@7||4|2||||
893 NPin|pin@8||3.25|0|1|1||
894 NPin|pin@9||2.5|0||||
895 NPin|pin@10||3.25|1|0.5|0.5||
896 NPin|pin@11||4.75|1|0.5|0.5||
897 NPin|pin@12||4.75|0|0.5|0.5||
898 NPin|pin@13||5.5|0|0.5|0.5||
899 NPin|pin@14||4.75|1.25|1|1||
900 NPin|pin@15||3.25|1.25||||
901 NPin|pin@16||4|1.25|0.5|0.5||
902 NPin|pin@17||4|2|0.5|0.5||
903 AThicker|net@0|||FS2700|pin@0||-1.5|-2|pin@1||-1.5|2|ART_color()I78
904 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
905 AThicker|net@2|||FS337|pin@6||1.5|0|pin@0||-1.5|-2|ART_color()I78
906 AThicker|net@3|||FS3263|pin@6||1.5|0|pin@1||-1.5|2|ART_color()I78
907 AThicker|net@4|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
908 AThicker|net@5|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
909 AThicker|net@6|||FS900|pin@10||3.25|1|pin@8||3.25|0|ART_color()I78
910 AThicker|net@7|||FS0|pin@11||4.75|1|pin@10||3.25|1|ART_color()I78
911 AThicker|net@8|||FS2700|pin@12||4.75|0|pin@11||4.75|1|ART_color()I78
912 AThicker|net@9|||FS0|pin@13||5.5|0|pin@12||4.75|0|ART_color()I78
913 AThicker|net@10|||FS0|pin@16||4|1.25|pin@15||3.25|1.25|ART_color()I78
914 AThicker|net@11|||FS0|pin@14||4.75|1.25|pin@16||4|1.25|ART_color()I78
915 AThicker|net@12|||FS900|pin@17||4|2|pin@16||4|1.25|ART_color()I78
916 Een||D5G2;|pin@7||I
917 Ein||D5G1;|pin@5||I
918 Eout||D5G1;|pin@2||O
919 X
920
921 # Cell inv_passgate;1{sch}
922 Cinv_passgate;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
923 IredFive:NMOS;1{ic}|NMOS@1||10|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_X(D5G1.5;NOJPX-0.5;Y2.5;)S@X*2.0
924 Ngeneric:Facet-Center|art@0||0|0||||AV
925 NOff-Page|conn@0||-14|0||||
926 NOff-Page|conn@1||18|0||||
927 NOff-Page|conn@2||4|7||||
928 IredFive:invLT;1{ic}|invLT@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
929 Iinv_passgate;1{ic}|inv_pass@0||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
930 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[inv_passgate]
931 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter inverter]
932 Ngeneric:Invisible-Pin|pin@2||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
933 Ngeneric:Invisible-Pin|pin@3||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
934 NWire_Pin|pin@4||10|7||||
935 Awire|net@0|||0|NMOS@1|s|8|0|invLT@0|out|3|0
936 Awire|net@1|||0|conn@1|a|16|0|NMOS@1|d|12|0
937 Awire|net@2|||2700|NMOS@1|g|10|3|pin@4||10|7
938 Awire|net@3|||1800|conn@0|y|-12|0|invLT@0|in|-2|0
939 Awire|net@4|||0|pin@4||10|7|conn@2|y|6|7
940 Een||D4G2;|conn@2|a|I|ATTR_le(D5G1;NY-1;)F0.67
941 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)F1.33
942 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;N)D1.33
943 X
944
945 # Cell mullerC;1{ic}
946 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
947 Ngeneric:Facet-Center|art@0||0|0||||AV
948 NOpened-Thicker-Polygon|art@1||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
949 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
950 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
951 NPin|pin@0||-1.5|1|1|1||
952 NPin|pin@1||-2.5|1||||
953 NPin|pin@2||-0.5|-2|1|1||
954 NPin|pin@3||-1.5|-2|1|1||
955 NPin|pin@4||-1.5|2|1|1||
956 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
957 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
958 NPin|pin@7||-0.5|2|1|1||
959 NPin|pin@8||-2.5|-1||||
960 NPin|pin@9||-1.5|-1|1|1||
961 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
962 NPin|pin@11||-1.5|-0.75|1|1||
963 NPin|pin@12||-0.25|-2|1|1||
964 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
965 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
966 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
967 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
968 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
969 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
970 Eina||D5G1;|pin@10||I
971 Einb||D5G1;|pin@6||I
972 Eout||D5G1;|pin@5||O
973 X
974
975 # Cell mullerC;1{sch}
976 CmullerC;1{sch}||schematic|1021415734000|1159375649419||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
977 Ngeneric:Facet-Center|art@0||0|0||||AV
978 NOff-Page|conn@0||10|0|||Y|
979 NOff-Page|conn@1||-14.5|2.5||||
980 NOff-Page|conn@2||-14.5|-2.5||||
981 IredFive:mullerC;1{ic}|mullerC@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
982 ImullerC;1{ic}|mullerC@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
983 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[mullerC]
984 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter muller C-element]
985 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
986 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
987 NWire_Pin|pin@4||-7|-2.5||||
988 NWire_Pin|pin@5||-7|-1||||
989 NWire_Pin|pin@6||-7|1||||
990 NWire_Pin|pin@7||-7|2.5||||
991 Awire|net@0|||0|mullerC@0|ina|-2.5|-1|pin@5||-7|-1
992 Awire|net@1|||1800|mullerC@0|out|2.5|0|conn@0|a|8|0
993 Awire|net@2|||1800|pin@6||-7|1|mullerC@0|inb|-2.5|1
994 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
995 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
996 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
997 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
998 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
999 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1000 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-1;Y-2.5;)I2
1001 X
1002
1003 # Cell mullerC_sy;1{ic}
1004 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1005 Ngeneric:Facet-Center|art@0||0|0||||AV
1006 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1007 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1008 NOpened-Thicker-Polygon|art@3||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1009 NPin|pin@0||-0.25|-2|1|1||
1010 NPin|pin@1||-1.5|-0.75|1|1||
1011 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1012 NPin|pin@3||-1.5|-1|1|1||
1013 NPin|pin@4||-2.5|-1||||
1014 NPin|pin@5||-0.5|2|1|1||
1015 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1016 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1017 NPin|pin@8||-1.5|2|1|1||
1018 NPin|pin@9||-1.5|-2|1|1||
1019 NPin|pin@10||-0.5|-2|1|1||
1020 NPin|pin@11||-2.5|1||||
1021 NPin|pin@12||-1.5|1|1|1||
1022 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1023 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1024 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1025 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1026 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1027 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1028 Eina||D5G1;|pin@2||I
1029 Einb||D5G1;|pin@6||I
1030 Eout||D5G1;|pin@7||O
1031 X
1032
1033 # Cell mullerC_sy;1{sch}
1034 CmullerC_sy;1{sch}||schematic|1021415734000|1159375644961||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1035 Ngeneric:Facet-Center|art@0||0|0||||AV
1036 NOff-Page|conn@0||-14.5|-2.5||||
1037 NOff-Page|conn@1||-14.5|2.5||||
1038 NOff-Page|conn@2||10|0|||Y|
1039 IredFive:mullerC_sy;1{ic}|mullerC_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1040 ImullerC_sy;1{ic}|mullerC_@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1041 NWire_Pin|pin@0||-7|2.5||||
1042 NWire_Pin|pin@1||-7|1||||
1043 NWire_Pin|pin@2||-7|-1||||
1044 NWire_Pin|pin@3||-7|-2.5||||
1045 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1046 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1047 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1048 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[mullerC_sy]
1049 Awire|net@0|||0|mullerC_@0|ina|-2.5|-1|pin@2||-7|-1
1050 Awire|net@1|||1800|mullerC_@0|out|2.5|0|conn@2|a|8|0
1051 Awire|net@2|||1800|pin@1||-7|1|mullerC_@0|inb|-2.5|1
1052 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1053 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1054 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1055 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1056 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I2
1057 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1058 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)I2
1059 X
1060
1061 # Cell mux1;1{ic}
1062 Cmux1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3.75;)I100|ATTR_M(D5G1;HNPX3;Y-2.75;)I1|ATTR_S(D5G1.5;HNOJPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;HNPX2.75;Y-6.5;)I-1|prototype_center()I[0,0]
1063 Ngeneric:Facet-Center|art@0||0|0||||AV
1064 NThick-Circle|art@1||0|2|1|1|||ART_color()I78
1065 NThick-Circle|art@2||1.5|0|1|1|||ART_color()I78
1066 Nschematic:Bus_Pin|pin@0||-2|0|-2|-2||
1067 NPin|pin@1||-1|0|1|1||
1068 NPin|pin@2||-2|0|1|1||
1069 NPin|pin@3||0|3|1|1|RRR|
1070 NPin|pin@4||0|2.5|1|1|RRR|
1071 NPin|pin@5||1|1|1|1||
1072 NPin|pin@6||0|-1.5|1|1|RRR|
1073 NPin|pin@7||0|-3|1|1|RRR|
1074 Nschematic:Bus_Pin|pin@8||0|-3|-2|-2||
1075 NPin|pin@9||1|-1|1|1||
1076 Nschematic:Bus_Pin|pin@10||0|3|-2|-2||
1077 Nschematic:Bus_Pin|pin@11||2|0|-2|-2||
1078 NPin|pin@12||-1|2|1|1||
1079 NPin|pin@13||-1|-2|1|1||
1080 AThicker|net@0|||FS0|pin@1||-1|0|pin@2||-2|0|ART_color()I78
1081 AThicker|net@1|||FS2700|pin@4||0|2.5|pin@3||0|3|ART_color()I78
1082 AThicker|net@2|||FS2700|pin@9||1|-1|pin@5||1|1|ART_color()I78
1083 AThicker|net@3|||FS3334|pin@5||1|1|pin@12||-1|2|ART_color()I78
1084 AThicker|net@4|||FS2700|pin@7||0|-3|pin@6||0|-1.5|ART_color()I78
1085 AThicker|net@5|||FS266|pin@9||1|-1|pin@13||-1|-2|ART_color()I78
1086 AThicker|net@6|||FS2700|pin@13||-1|-2|pin@12||-1|2|ART_color()I78
1087 Ec[n]||D5G1;|pin@8||I
1088 Ec[p]||D5G1;|pin@10||I
1089 Ein||D5G1;|pin@0||I
1090 Eout||D5G1;|pin@11||O
1091 X
1092
1093 # Cell mux1;1{sch}
1094 Cmux1;1{sch}||schematic|1021415734000|1159378523994||ATTR_Delay(D5G1;HNPX-15;Y-3.5;)I100|ATTR_M(D5G1;HNPX-15;Y-2.5;)I1|ATTR_S(D5G1;HNOJPX-15;Y-1.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-15;Y-4.5;)I-1|prototype_center()I[0,0]
1095 Ngeneric:Facet-Center|art@0||0|0||||AV
1096 NOff-Page|conn@0||-10.5|1|||Y|
1097 NOff-Page|conn@1||9.5|-3|||YRR|
1098 NOff-Page|conn@2||9.5|5|||YRR|
1099 NOff-Page|conn@3||16.5|1||||
1100 Imux1;1{ic}|mux1@0||10|16.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3.75;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)I1|ATTR_su(D5G1;NPX2.75;Y-6.5;)I-1
1101 Inms2;1{ic}|nms2@0||2|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
1102 NWire_Pin|pin@0||-6|1||||
1103 NWire_Pin|pin@1||-6|9||||
1104 NWire_Pin|pin@2||-6|-7||||
1105 Ngeneric:Invisible-Pin|pin@3||-6.5|16|||||ART_message(D5G2;)S[single multiplexer point]
1106 Ngeneric:Invisible-Pin|pin@4||-6.5|21|||||ART_message(D5G6;)S[mux1]
1107 NWire_Pin|pin@5||2|1||||
1108 Ipms2;1{ic}|pms2@0||2|9|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX2;)S@M
1109 Awire|net@0|||0|pin@0||-6|1|conn@0|y|-8.5|1
1110 Awire|net@1|||900|pin@1||-6|9|pin@0||-6|1
1111 Awire|net@2|||900|pin@0||-6|1|pin@2||-6|-7
1112 Awire|net@3|||0|pms2@0|g|-1|9|pin@1||-6|9
1113 Awire|net@4|||1800|pin@2||-6|-7|nms2@0|g|-1|-7
1114 Awire|net@5|||1800|nms2@0|g2|5|-3|conn@1|y|7.5|-3
1115 Awire|net@6|||1800|pms2@0|g2|5|5|conn@2|y|7.5|5
1116 Awire|net@7|||900|pms2@0|d|2|3|pin@5||2|1
1117 Awire|net@8|||2700|nms2@0|d|2|-1|pin@5||2|1
1118 Awire|net@9|||0|conn@3|a|14.5|1|pin@5||2|1
1119 Ec[n]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.667
1120 Ec[p]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.333
1121 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1122 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY-2;)I2
1123 X
1124
1125 # Cell mux21_tri;1{ic}
1126 Cmux21_tri;1{ic}||artwork|1092163151000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
1127 Ngeneric:Facet-Center|art@0||0|0||||AV
1128 NThick-Circle|art@3||2.5|0|1|1|||ART_color()I78
1129 Nschematic:Bus_Pin|pin@0||-2|2||||
1130 Nschematic:Bus_Pin|pin@2||-2|-2||||
1131 Nschematic:Bus_Pin|pin@4||3|0||||
1132 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1133 Ngeneric:Invisible-Pin|pin@14||0|2|||||ART_message(D5G1;)S0
1134 Ngeneric:Invisible-Pin|pin@15||0|-2|||||ART_message(D5G1;)S1
1135 NPin|pin@28||-1|-4|1|1||
1136 NPin|pin@29||-1|4|1|1||
1137 NPin|pin@30||2|-2.5|1|1||
1138 NPin|pin@31||2|2.5|1|1||
1139 NPin|pin@32||-1|-4|1|1||
1140 NPin|pin@33||2|-2.5|1|1||
1141 NPin|pin@34||2|2.5|1|1||
1142 NPin|pin@35||-1|4|1|1||
1143 NPin|pin@36||-1|2|1|1||
1144 NPin|pin@37||-2|2||||
1145 NPin|pin@38||-1|-2|1|1||
1146 NPin|pin@39||-2|-2||||
1147 NPin|pin@40||0.5|4.5|1|1||
1148 NPin|pin@41||0.5|3.25||||
1149 AThicker|net@11|||FS2700|pin@28||-1|-4|pin@29||-1|4|ART_color()I78
1150 AThicker|net@12|||FS2700|pin@30||2|-2.5|pin@31||2|2.5|ART_color()I78
1151 AThicker|net@13|||FS2066|pin@32||-1|-4|pin@33||2|-2.5|ART_color()I78
1152 AThicker|net@14|||FS3334|pin@34||2|2.5|pin@35||-1|4|ART_color()I78
1153 AThicker|net@15|||FS0|pin@36||-1|2|pin@37||-2|2|ART_color()I78
1154 AThicker|net@16|||FS0|pin@38||-1|-2|pin@39||-2|-2|ART_color()I78
1155 AThicker|net@17|||FS900|pin@40||0.5|4.5|pin@41||0.5|3.25|ART_color()I78
1156 Ein0||D5G2;|pin@0||I
1157 Ein1||D5G2;|pin@2||I
1158 Eout||D5G2;|pin@4||O
1159 Esel||D5G2;|pin@6||I
1160 X
1161
1162 # Cell mux21_tri;1{sch}
1163 Cmux21_tri;1{sch}||schematic|1092161401000|1159376025241||ATTR_Delay(D5G1;HNPX-5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-5;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-5;Y-9;)Sstrong0|ATTR_drive1(D5G1;HNPTX-5;Y-10;)Sstrong1|ATTR_su(D5G1;HNPTX-5;Y-11;)I-1|prototype_center()I[0,0]
1164 Ngeneric:Facet-Center|art@0||0|0||||AV
1165 NOff-Page|conn@0||-12|2||||
1166 NOff-Page|conn@1||-12|-2||||
1167 NOff-Page|conn@2||14|0||||
1168 NOff-Page|conn@3||-12|7||||
1169 IredFive:mux21_tri;1{ic}|mux21_tr@0||0|0|||D5G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-4.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
1170 Imux21_tri;1{ic}|mux21_tr@1||19|16|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1171 NWire_Pin|pin@0||0.5|7||||
1172 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G5;)Smux21_tri
1173 Ngeneric:Invisible-Pin|pin@2||0|11|||||ART_message(D5G2;)Sa slow one-parameter 2:1 mux made of tristates
1174 Awire|net@0|||1800|conn@3|y|-10|7|pin@0||0.5|7
1175 Awire|net@1|||900|pin@0||0.5|7|mux21_tr@0|sel|0.5|4.5
1176 Awire|net@2|||1800|conn@0|y|-10|2|mux21_tr@0|in0|-2|2
1177 Awire|net@3|||1800|conn@1|y|-10|-2|mux21_tr@0|in1|-2|-2
1178 Awire|net@4|||1800|mux21_tr@0|out|3|0|conn@2|a|12|0
1179 Ein0||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1180 Ein1||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I2
1181 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I4
1182 Esel||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F2.5
1183 X
1184
1185 # Cell nand2;1{ic}
1186 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1187 Ngeneric:Facet-Center|art@0||0|0||||AV
1188 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1189 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1190 NPin|pin@0||-0.25|-2|1|1||
1191 NPin|pin@1||-1.5|-0.75|1|1||
1192 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1193 NPin|pin@3||-1.5|-1|1|1||
1194 NPin|pin@4||-2.5|-1||||
1195 NPin|pin@5||-0.5|2|1|1||
1196 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1197 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1198 NPin|pin@8||-1.5|2|1|1||
1199 NPin|pin@9||-1.5|-2|1|1||
1200 NPin|pin@10||-0.5|-2|1|1||
1201 NPin|pin@11||-2.5|1||||
1202 NPin|pin@12||-1.5|1|1|1||
1203 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1204 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1205 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1206 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1207 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1208 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1209 Eina||D5G1;|pin@2||I
1210 Einb||D5G1;|pin@6||I
1211 Eout||D5G1;|pin@7||O
1212 X
1213
1214 # Cell nand2;1{sch}
1215 Cnand2;1{sch}||schematic|1021415734000|1159375675453||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1216 Ngeneric:Facet-Center|art@0||0|0||||AV
1217 NOff-Page|conn@0||-14.5|-2.5||||
1218 NOff-Page|conn@1||-14.5|2.5||||
1219 NOff-Page|conn@2||10|0|||Y|
1220 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1221 Inand2;1{ic}|nand2@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1222 NWire_Pin|pin@0||-7|2.5||||
1223 NWire_Pin|pin@1||-7|1||||
1224 NWire_Pin|pin@2||-7|-1||||
1225 NWire_Pin|pin@3||-7|-2.5||||
1226 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1227 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
1228 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
1229 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[nand2]
1230 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@2||-7|-1
1231 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@2|a|8|0
1232 Awire|net@2|||1800|pin@1||-7|1|nand2@0|inb|-2.5|1
1233 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1234 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1235 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1236 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1237 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1238 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
1239 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)I2
1240 X
1241
1242 # Cell nand2HLT_sy;1{ic}
1243 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1244 Ngeneric:Facet-Center|art@0||0|0||||AV
1245 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1246 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1247 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1248 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1249 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1250 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
1251 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1252 NPin|pin@3||-1.5|1|1|1||
1253 NPin|pin@4||-2.5|1||||
1254 NPin|pin@5||-0.5|-2|1|1||
1255 NPin|pin@6||-1.5|-2|1|1||
1256 NPin|pin@7||-1.5|2|1|1||
1257 NPin|pin@8||-0.5|2|1|1||
1258 NPin|pin@9||-2.5|-1||||
1259 NPin|pin@10||-1.5|-1|1|1||
1260 AThicker|net@0|||FS0|pin@5||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1261 AThicker|net@1|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
1262 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
1263 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@7||-1.5|2|ART_color()I78
1264 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
1265 Eina||D5G1;|pin@2||I
1266 Einb||D5G1;|pin@1||I
1267 Eout||D5G1;|pin@0||O
1268 X
1269
1270 # Cell nand2HLT_sy;1{sch}
1271 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1159375725680||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1272 Ngeneric:Facet-Center|art@0||0|0||||AV
1273 NOff-Page|conn@0||-15|-2.5|||Y|
1274 NOff-Page|conn@1||9|0|||Y|
1275 NOff-Page|conn@2||-14.75|2.5||||
1276 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1277 Inand2HLT_sy;1{ic}|nand2HLT@1||25.75|17.25|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1278 Ngeneric:Invisible-Pin|pin@0||-2|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1279 Ngeneric:Invisible-Pin|pin@1||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1280 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1281 Ngeneric:Invisible-Pin|pin@3||17|-11.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1282 Ngeneric:Invisible-Pin|pin@4||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1283 NWire_Pin|pin@5||-7.5|-2.5||||
1284 NWire_Pin|pin@6||-7.5|-1||||
1285 NWire_Pin|pin@7||-7.5|2.5||||
1286 NWire_Pin|pin@8||-7.5|1||||
1287 Awire|net@0|||0|nand2HLT@0|ina|-2.5|-1|pin@6||-7.5|-1
1288 Awire|net@1|||1800|nand2HLT@0|out|2.5|0|conn@1|a|7|0
1289 Awire|net@2|||0|nand2HLT@0|inb|-2.5|1|pin@8||-7.5|1
1290 Awire|net@3|||0|pin@7||-7.5|2.5|conn@2|y|-12.75|2.5
1291 Awire|net@4|||1800|conn@0|y|-13|-2.5|pin@5||-7.5|-2.5
1292 Awire|net@5|||900|pin@6||-7.5|-1|pin@5||-7.5|-2.5
1293 Awire|net@6|||2700|pin@8||-7.5|1|pin@7||-7.5|2.5
1294 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.166
1295 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.166
1296 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.67
1297 X
1298
1299 # Cell nand2HT;1{ic}
1300 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1301 Ngeneric:Facet-Center|art@0||0|0||||AV
1302 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
1303 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1304 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1305 NPin|pin@0||-1.5|1|1|1||
1306 NPin|pin@1||-2.5|1||||
1307 NPin|pin@2||-0.5|-2|1|1||
1308 NPin|pin@3||-1.5|-2|1|1||
1309 NPin|pin@4||-1.5|2|1|1||
1310 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1311 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1312 NPin|pin@7||-0.5|2|1|1||
1313 NPin|pin@8||-2.5|-1||||
1314 NPin|pin@9||-1.5|-1|1|1||
1315 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1316 NPin|pin@11||-1.5|-0.75|1|1||
1317 NPin|pin@12||-0.25|-2|1|1||
1318 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
1319 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
1320 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
1321 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
1322 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
1323 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
1324 Eina||D5G1;|pin@10||I
1325 Einb||D5G1;|pin@6||I
1326 Eout||D5G1;|pin@5||O
1327 X
1328
1329 # Cell nand2HT;1{sch}
1330 Cnand2HT;1{sch}||schematic|1021415734000|1159375717292||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-21;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
1331 Ngeneric:Facet-Center|art@0||0|0||||AV
1332 NOff-Page|conn@0||13|0|||Y|
1333 NOff-Page|conn@1||-12|3||||
1334 NOff-Page|conn@2||-12|-3||||
1335 IredFive:nand2HT;1{ic}|nand2HT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1336 Inand2HT;1{ic}|nand2HT@1||25.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1337 NWire_Pin|pin@0||-6|3||||
1338 NWire_Pin|pin@1||-6|1||||
1339 NWire_Pin|pin@2||-6|-1||||
1340 NWire_Pin|pin@3||-6|-3||||
1341 Ngeneric:Invisible-Pin|pin@4||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1342 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1343 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1344 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1345 Awire|net@0|||0|nand2HT@0|ina|-2.5|-1|pin@2||-6|-1
1346 Awire|net@1|||1800|nand2HT@0|out|2.5|0|conn@0|a|11|0
1347 Awire|net@2|||1800|pin@1||-6|1|nand2HT@0|inb|-2.5|1
1348 Awire|net@3|||1800|conn@1|y|-10|3|pin@0||-6|3
1349 Awire|net@4|||900|pin@0||-6|3|pin@1||-6|1
1350 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-3
1351 Awire|net@6|||0|pin@3||-6|-3|conn@2|y|-10|-3
1352 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
1353 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1354 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F3.33
1355 X
1356
1357 # Cell nand2HTen;1{ic}
1358 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1359 Ngeneric:Facet-Center|art@0||0|0||||AV
1360 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1361 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1362 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1363 NPin|pin@0||-0.25|-2|1|1||
1364 NPin|pin@1||-1.5|-0.75|1|1||
1365 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1366 NPin|pin@3||-1.5|-1|1|1||
1367 NPin|pin@4||-2.5|-1||||
1368 NPin|pin@5||-0.5|2|1|1||
1369 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1370 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1371 NPin|pin@8||-1.5|2|1|1||
1372 NPin|pin@9||-1.5|-2|1|1||
1373 NPin|pin@10||-0.5|-2|1|1||
1374 NPin|pin@11||-2.5|1||||
1375 NPin|pin@12||-1.5|1|1|1||
1376 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1377 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1378 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1379 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1380 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1381 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1382 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1383 Eina||D5G1;|pin@2||I
1384 Einb||D5G1;|pin@6||I
1385 Eout||D5G1;|pin@7||O
1386 X
1387
1388 # Cell nand2HTen;1{sch}
1389 Cnand2HTen;1{sch}||schematic|1021415734000|1159375721547||ATTR_Delay(D5G1;HNPX-16.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1390 Ngeneric:Facet-Center|art@0||0|0||||AV
1391 NOff-Page|conn@0||-14.5|-2.5||||
1392 NOff-Page|conn@1||-14.5|2.5||||
1393 NOff-Page|conn@2||15|0||||
1394 IredFive:nand2HTen;1{ic}|nand2HTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1395 Inand2HTen;1{ic}|nand2HTe@1||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1396 NWire_Pin|pin@0||-6|2.5||||
1397 NWire_Pin|pin@1||-6|1||||
1398 NWire_Pin|pin@2||-6|-1||||
1399 NWire_Pin|pin@3||-6|-2.5||||
1400 Ngeneric:Invisible-Pin|pin@4||28.5|-11|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1401 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2 (4/10 for enable)]
1402 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
1403 Ngeneric:Invisible-Pin|pin@7||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1404 Awire|net@0|||0|nand2HTe@0|ina|-2.5|-1|pin@2||-6|-1
1405 Awire|net@1|||1800|nand2HTe@0|out|2.5|0|conn@2|a|13|0
1406 Awire|net@2|||1800|pin@1||-6|1|nand2HTe@0|inb|-2.5|1
1407 Awire|net@3|||1800|conn@1|y|-12.5|2.5|pin@0||-6|2.5
1408 Awire|net@4|||900|pin@0||-6|2.5|pin@1||-6|1
1409 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-2.5
1410 Awire|net@6|||0|pin@3||-6|-2.5|conn@0|y|-12.5|-2.5
1411 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.8
1412 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1413 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I2
1414 X
1415
1416 # Cell nand2LT;1{ic}
1417 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1418 Ngeneric:Facet-Center|art@0||0|0||||AV
1419 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1420 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1421 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1422 NPin|pin@0||-0.25|-2|1|1||
1423 NPin|pin@1||-1.5|-0.75|1|1||
1424 NPin|pin@2||-1.5|-1|1|1||
1425 NPin|pin@3||-2.5|-1||||
1426 NPin|pin@4||-0.5|2|1|1||
1427 NPin|pin@5||-1.5|2|1|1||
1428 NPin|pin@6||-1.5|-2|1|1||
1429 NPin|pin@7||-0.5|-2|1|1||
1430 NPin|pin@8||-2.5|1||||
1431 NPin|pin@9||-1.5|1|1|1||
1432 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1433 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
1434 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
1435 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
1436 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1437 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
1438 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1439 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
1440 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1441 Eina||D5G1;|pin@12||I
1442 Einb||D5G1;|pin@11||I
1443 Eout||D5G1;|pin@10||O
1444 X
1445
1446 # Cell nand2LT;1{sch}
1447 Cnand2LT;1{sch}||schematic|1021415734000|1159375693945||ATTR_Delay(D5G1;HNPX-17;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y-10.5;)I-1|prototype_center()I[0,0]
1448 Ngeneric:Facet-Center|art@0||0|0||||AV
1449 NOff-Page|conn@0||-14|-2.5||||
1450 NOff-Page|conn@1||-14|2.5||||
1451 NOff-Page|conn@2||14|0||||
1452 IredFive:nand2LT;1{ic}|nand2LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1453 Inand2LT;1{ic}|nand2LT@1||25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1454 NWire_Pin|pin@0||-6.5|-2.5||||
1455 NWire_Pin|pin@1||-6.5|-1||||
1456 NWire_Pin|pin@2||-6.5|1||||
1457 NWire_Pin|pin@3||-6.5|2.5||||
1458 Ngeneric:Invisible-Pin|pin@4||-1|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1459 Ngeneric:Invisible-Pin|pin@5||22|-13|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1460 Ngeneric:Invisible-Pin|pin@6||-1.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1461 Ngeneric:Invisible-Pin|pin@7||-2|25|||||ART_message(D5G6;)S[nand2LT]
1462 Ngeneric:Invisible-Pin|pin@8||-2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1463 Awire|net@0|||1800|pin@1||-6.5|-1|nand2LT@0|ina|-2.5|-1
1464 Awire|net@1|||1800|nand2LT@0|out|2.5|0|conn@2|a|12|0
1465 Awire|net@2|||0|nand2LT@0|inb|-2.5|1|pin@2||-6.5|1
1466 Awire|net@3|||900|pin@1||-6.5|-1|pin@0||-6.5|-2.5
1467 Awire|net@4|||0|pin@0||-6.5|-2.5|conn@0|y|-12|-2.5
1468 Awire|net@5|||2700|pin@2||-6.5|1|pin@3||-6.5|2.5
1469 Awire|net@6|||0|pin@3||-6.5|2.5|conn@1|y|-12|2.5
1470 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
1471 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I1
1472 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
1473 X
1474
1475 # Cell nand2LT_sy;1{ic}
1476 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1477 Ngeneric:Facet-Center|art@0||0|0||||AV
1478 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1479 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1480 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1481 NPin|pin@0||-1.5|-1|1|1||
1482 NPin|pin@1||-2.5|-1||||
1483 NPin|pin@2||-0.5|2|1|1||
1484 NPin|pin@3||-1.5|2|1|1||
1485 NPin|pin@4||-1.5|-2|1|1||
1486 NPin|pin@5||-0.5|-2|1|1||
1487 NPin|pin@6||-2.5|1||||
1488 NPin|pin@7||-1.5|1|1|1||
1489 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
1490 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
1491 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1492 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
1493 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
1494 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1495 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
1496 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
1497 Eina||D5G1;|pin@8||I
1498 Einb||D5G1;|pin@9||I
1499 Eout||D5G1;|pin@10||O
1500 X
1501
1502 # Cell nand2LT_sy;1{sch}
1503 Cnand2LT_sy;1{sch}||schematic|1021415734000|1159375698504||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1504 Ngeneric:Facet-Center|art@0||0|0||||AV
1505 NOff-Page|conn@0||-17.25|2.5||||
1506 NOff-Page|conn@1||16.5|0|||Y|
1507 NOff-Page|conn@2||-17.5|-2.5|||Y|
1508 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1509 Inand2LT_sy;1{ic}|nand2LT_@1||33.25|20.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1510 NWire_Pin|pin@12||-7.5|1||||
1511 NWire_Pin|pin@13||-7.5|2.5||||
1512 NWire_Pin|pin@14||-7.5|-1||||
1513 NWire_Pin|pin@15||-7.5|-2.5||||
1514 Ngeneric:Invisible-Pin|pin@26||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1515 Ngeneric:Invisible-Pin|pin@27||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1516 Ngeneric:Invisible-Pin|pin@28||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1517 Ngeneric:Invisible-Pin|pin@29||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1518 Ngeneric:Invisible-Pin|pin@30||-2|25|||||ART_message(D5G6;)S[nand2LT_sy]
1519 Awire|net@0|||0|nand2LT_@0|ina|-2.5|-1|pin@14||-7.5|-1
1520 Awire|net@2|||0|nand2LT_@0|inb|-2.5|1|pin@12||-7.5|1
1521 Awire|net@27|||2700|pin@12||-7.5|1|pin@13||-7.5|2.5
1522 Awire|net@28|||900|pin@14||-7.5|-1|pin@15||-7.5|-2.5
1523 Awire|net@38|||0|conn@1|a|14.5|0|nand2LT_@0|out|2.5|0
1524 Awire|net@43|||0|pin@13||-7.5|2.5|conn@0|y|-15.25|2.5
1525 Awire|net@44|||1800|conn@2|y|-15.5|-2.5|pin@15||-7.5|-2.5
1526 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)I1
1527 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
1528 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.33
1529 X
1530
1531 # Cell nand2LTen;1{ic}
1532 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1533 Ngeneric:Facet-Center|art@0||0|0||||AV
1534 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1535 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1536 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1537 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1538 NPin|pin@1||-0.25|-2|1|1||
1539 NPin|pin@2||-1.5|-0.75|1|1||
1540 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
1541 NPin|pin@4||-1.5|-1|1|1||
1542 NPin|pin@5||-2.5|-1||||
1543 NPin|pin@6||-0.5|2|1|1||
1544 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1545 NPin|pin@8||-1.5|2|1|1||
1546 NPin|pin@9||-1.5|-2|1|1||
1547 NPin|pin@10||-0.5|-2|1|1||
1548 NPin|pin@11||-2.5|1||||
1549 NPin|pin@12||-1.5|1|1|1||
1550 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1551 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I78
1552 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I78
1553 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@8||-1.5|2|ART_color()I78
1554 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1555 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1556 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1557 Eina||D5G1;|pin@3||I
1558 Einb||D5G1;|pin@7||I
1559 Eout||D5G1;|pin@0||O
1560 X
1561
1562 # Cell nand2LTen;1{sch}
1563 Cnand2LTen;1{sch}||schematic|1021415734000|1159375713300||ATTR_Delay(D5G1;HNPX-16.5;Y-9;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-10;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-11;)I-1|prototype_center()I[0,0]
1564 Ngeneric:Facet-Center|art@0||0|0||||AV
1565 NOff-Page|conn@0||-14|-3||||
1566 NOff-Page|conn@1||-14|3||||
1567 NOff-Page|conn@2||12.5|0||||
1568 IredFive:nand2LTen;1{ic}|nand2LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1569 Inand2LTen;1{ic}|nand2LTe@1||30.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1570 NWire_Pin|pin@0||-6.5|3||||
1571 NWire_Pin|pin@1||-6.5|1||||
1572 NWire_Pin|pin@2||-6.5|-1||||
1573 NWire_Pin|pin@3||-6.5|-3||||
1574 Ngeneric:Invisible-Pin|pin@4||31|-10|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
1575 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1576 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
1577 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[nand2LTen]
1578 Awire|net@0|||0|nand2LTe@0|ina|-2.5|-1|pin@2||-6.5|-1
1579 Awire|net@1|||1800|nand2LTe@0|out|2.5|0|conn@2|a|10.5|0
1580 Awire|net@2|||1800|pin@1||-6.5|1|nand2LTe@0|inb|-2.5|1
1581 Awire|net@3|||1800|conn@1|y|-12|3|pin@0||-6.5|3
1582 Awire|net@4|||900|pin@0||-6.5|3|pin@1||-6.5|1
1583 Awire|net@5|||900|pin@2||-6.5|-1|pin@3||-6.5|-3
1584 Awire|net@6|||0|pin@3||-6.5|-3|conn@0|y|-12|-3
1585 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.7
1586 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I1
1587 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-1;Y2;)F1.033
1588 X
1589
1590 # Cell nand2PH;1{ic}
1591 Cnand2PH;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1592 Ngeneric:Facet-Center|art@0||0|0||||AV
1593 NThick-Circle|art@1||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
1594 NThick-Circle|art@2||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1595 NThick-Circle|art@3||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1596 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
1597 NThick-Circle|art@5||-2|1|1|1|||ART_color()I78
1598 NOpened-Thicker-Polygon|art@6||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1599 NOpened-Thicker-Polygon|art@7||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
1600 NPin|pin@0||1.5|0|1|1|R|
1601 NPin|pin@1||1|0|||R|
1602 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1603 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
1604 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
1605 NPin|pin@5||-0.5|2.5||||
1606 NPin|pin@6||-0.5|1.62|1|1||
1607 Ngeneric:Invisible-Pin|pin@7||-0.5|2.5||||
1608 AThicker|net@0|||FS0|pin@0||1.5|0|pin@1||1|0|ART_color()I78
1609 AThicker|net@1|||FS2700|pin@6||-0.5|1.62|pin@5||-0.5|2.5|ART_color()I78
1610 Eina||D5G1;|pin@2||I
1611 Einb||D5G1;|pin@3||I
1612 Eout||D5G1;|pin@4||O
1613 EresetN||D5G2;|pin@7||I
1614 X
1615
1616 # Cell nand2PH;1{sch}
1617 Cnand2PH;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
1618 IredFive:NMOS;1{ic}|NMOS@1||1|-10|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1619 IredFive:NMOS;1{ic}|NMOS@2||6|-3|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
1620 IredFive:NMOS;1{ic}|NMOS@3||-5|-3|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
1621 IredFive:PMOS;1{ic}|PMOS@2||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1622 IredFive:PMOS;1{ic}|PMOS@3||-5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1623 Ngeneric:Facet-Center|art@0||0|0||||AV
1624 NOff-Page|conn@0||14.5|6|||YRR|
1625 NOff-Page|conn@1||19.5|1|||Y|
1626 NOff-Page|conn@2||-13.5|6||||
1627 NOff-Page|conn@3||-15|-10||||
1628 NGround|gnd@0||1|-16||||
1629 NGround|gnd@1||-5|-7||||
1630 NGround|gnd@2||6|-7||||
1631 Inand2PH;1{ic}|nand2PH@0||26.75|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1632 Ngeneric:Invisible-Pin|pin@0||-2|16|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses)]
1633 Ngeneric:Invisible-Pin|pin@1||-2|23|||||ART_message(D5G6;)S[nand2PH]
1634 Ngeneric:Invisible-Pin|pin@2||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1635 NWire_Pin|pin@3||6|1||||
1636 NWire_Pin|pin@4||-5|1||||
1637 NWire_Pin|pin@5||1|1||||
1638 NWire_Pin|pin@6||11|-3||||
1639 NWire_Pin|pin@7||11|6||||
1640 NWire_Pin|pin@8||-10|-3||||
1641 NWire_Pin|pin@9||-10|6||||
1642 NPower|pwr@0||-5|11||||
1643 NPower|pwr@1||6|11||||
1644 Awire|net@0|||2700|NMOS@2|s|6|-5|gnd@2||6|-5
1645 Awire|net@1|||900|pin@3||6|1|NMOS@2|d|6|-1
1646 Awire|net@2|||0|pin@6||11|-3|NMOS@2|g|9|-3
1647 Awire|net@3|||2700|NMOS@3|s|-5|-5|gnd@1||-5|-5
1648 Awire|net@4|||900|pin@4||-5|1|NMOS@3|d|-5|-1
1649 Awire|net@5|||1800|pin@8||-10|-3|NMOS@3|g|-8|-3
1650 Awire|net@6|||900|NMOS@1|s|1|-12|gnd@0||1|-14
1651 Awire|net@7|||900|pin@5||1|1|NMOS@1|d|1|-8
1652 Awire|net@8|||0|NMOS@1|g|-2|-10|conn@3|y|-13|-10
1653 Awire|net@9|||2700|PMOS@2|s|6|8|pwr@1||6|11
1654 Awire|net@10|||1800|PMOS@2|g|9|6|pin@7||11|6
1655 Awire|net@11|||2700|pin@3||6|1|PMOS@2|d|6|4
1656 Awire|net@12|||2700|PMOS@3|s|-5|8|pwr@0||-5|11
1657 Awire|net@13|||0|PMOS@3|g|-8|6|pin@9||-10|6
1658 Awire|net@14|||900|PMOS@3|d|-5|4|pin@4||-5|1
1659 Awire|net@15|||1800|pin@3||6|1|conn@1|a|17.5|1
1660 Awire|net@16|||1800|pin@5||1|1|pin@3||6|1
1661 Awire|net@17|||1800|pin@4||-5|1|pin@5||1|1
1662 Awire|net@18|||1800|pin@7||11|6|conn@0|y|12.5|6
1663 Awire|net@19|||900|pin@7||11|6|pin@6||11|-3
1664 Awire|net@20|||0|pin@9||-10|6|conn@2|y|-11.5|6
1665 Awire|net@21|||900|pin@9||-10|6|pin@8||-10|-3
1666 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
1667 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.667
1668 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-1.5;)F1.67
1669 EresetN||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
1670 X
1671
1672 # Cell nand2PHfk;1{ic}
1673 Cnand2PHfk;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1674 Ngeneric:Facet-Center|art@0||0|0||||AV
1675 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
1676 NOpened-Thicker-Polygon|art@2||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1677 NThick-Circle|art@3||-2|1|1|1|||ART_color()I78
1678 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
1679 NThick-Circle|art@5||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1680 NThick-Circle|art@6||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1681 NThick-Circle|art@7||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
1682 Ngeneric:Invisible-Pin|pin@0||1|0.5|||||ART_message(D5G1.5;)S[keep]
1683 Ngeneric:Invisible-Pin|pin@1||-0.5|2.5||||
1684 NPin|pin@2||-0.5|1.62|1|1||
1685 NPin|pin@3||-0.5|2.5||||
1686 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
1687 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
1688 Nschematic:Bus_Pin|pin@6||-2.5|-1|-2|-2||
1689 NPin|pin@7||1|0|||R|
1690 NPin|pin@8||1.5|0|1|1|R|
1691 AThicker|net@0|||FS2700|pin@2||-0.5|1.62|pin@3||-0.5|2.5|ART_color()I78
1692 AThicker|net@1|||FS0|pin@8||1.5|0|pin@7||1|0|ART_color()I78
1693 Eina||D5G1;|pin@6||I
1694 Einb||D5G1;|pin@5||I
1695 Eout||D5G1;|pin@4||O
1696 EresetN||D5G2;|pin@1||I
1697 X
1698
1699 # Cell nand2PHfk;1{sch}
1700 Cnand2PHfk;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
1701 IredFive:NMOS;1{ic}|NMOS@1||1|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1702 IredFive:PMOS;1{ic}|PMOS@2||-5|6|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1703 IredFive:PMOS;1{ic}|PMOS@3||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1704 Ngeneric:Facet-Center|art@0||0|0||||AV
1705 NOff-Page|conn@0||-15|-10||||
1706 NOff-Page|conn@1||-13.5|6||||
1707 NOff-Page|conn@2||25|1|||Y|
1708 NOff-Page|conn@3||14.5|6|||YRR|
1709 NGround|gnd@0||1|-16||||
1710 IredFive:inv;1{ic}|inv@0||15.5|-4|RRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1711 IredFive:invK;1{ic}|invK@0||10|-4|YRRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/10.|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1712 Inand2PHfk;1{ic}|nand2PHf@0||27|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1713 Ngeneric:Invisible-Pin|pin@0||24.5|-6|||||SIM_spice_card(D6G1;)S[.ic v(out) 0]
1714 NWire_Pin|pin@1||10|1||||
1715 NWire_Pin|pin@2||15.5|-9||||
1716 NWire_Pin|pin@3||10|-9||||
1717 NWire_Pin|pin@4||15.5|1||||
1718 NWire_Pin|pin@5||1|1||||
1719 NWire_Pin|pin@6||-5|1||||
1720 NWire_Pin|pin@7||6|1||||
1721 Ngeneric:Invisible-Pin|pin@8||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1722 Ngeneric:Invisible-Pin|pin@9||0|26|||||ART_message(D5G6;)S[nand2PHfk]
1723 Ngeneric:Invisible-Pin|pin@10||0|19|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses),this version has a full keeper on the output]
1724 NPower|pwr@0||6|11||||
1725 NPower|pwr@1||-5|11||||
1726 Awire|net@0|||2700|PMOS@2|s|-5|8|pwr@1||-5|11
1727 Awire|net@1|||1800|conn@1|y|-11.5|6|PMOS@2|g|-8|6
1728 Awire|net@2|||900|PMOS@2|d|-5|4|pin@6||-5|1
1729 Awire|net@3|||2700|PMOS@3|s|6|8|pwr@0||6|11
1730 Awire|net@4|||0|conn@3|y|12.5|6|PMOS@3|g|9|6
1731 Awire|net@5|||2700|pin@7||6|1|PMOS@3|d|6|4
1732 Awire|net@6|||900|NMOS@1|s|1|-12|gnd@0||1|-14
1733 Awire|net@7|||900|pin@5||1|1|NMOS@1|d|1|-8
1734 Awire|net@8|||0|NMOS@1|g|-2|-10|conn@0|y|-13|-10
1735 Awire|net@9|||900|pin@4||15.5|1|inv@0|in|15.5|-1.5
1736 Awire|net@10|||900|inv@0|out|15.5|-6.5|pin@2||15.5|-9
1737 Awire|net@11|||2700|pin@3||10|-9|invK@0|in|10|-6.5
1738 Awire|net@12|||900|pin@1||10|1|invK@0|out|10|-1.5
1739 Awire|net@13|||1800|pin@7||6|1|pin@1||10|1
1740 Awire|net@14|||1800|pin@1||10|1|pin@4||15.5|1
1741 Awire|net@15|||0|pin@2||15.5|-9|pin@3||10|-9
1742 Awire|net@16|||1800|pin@4||15.5|1|conn@2|a|23|1
1743 Awire|net@17|||1800|pin@6||-5|1|pin@5||1|1
1744 Awire|net@18|||1800|pin@5||1|1|pin@7||6|1
1745 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
1746 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F0.667
1747 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.67
1748 EresetN||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
1749 X
1750
1751 # Cell nand2Schmitt;1{ic}
1752 Cnand2Schmitt;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HNPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;HNPX2.5;Y-2;)I1|ATTR_S(D5G1.5;HNOJPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;HNPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;HNPX-30.75;Y-20;)I-1|prototype_center()I[6000,0]
1753 Ngeneric:Facet-Center|art@0||0|0||||AV
1754 NThick-Circle|art@1||0|-0.25|0.5|1|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1755 NThick-Circle|art@2||0|0.25|0.5|1|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1756 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1757 NThick-Circle|art@4||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1758 NPin|pin@0||0|-0.5|1|1||
1759 NPin|pin@1||-0.5|-0.5||||
1760 NPin|pin@2||0|0.5||||
1761 NPin|pin@3||0.25|0.5|1|1||
1762 NPin|pin@4||2.5|-1.5|1|1||
1763 NPin|pin@5||0.75|-1.5||||
1764 Ngeneric:Invisible-Pin|pin@6||2.5|-1.5||||
1765 NPin|pin@7||-1.5|1|1|1||
1766 NPin|pin@8||-2.5|1||||
1767 NPin|pin@9||-0.5|-2|1|1||
1768 NPin|pin@10||-1.5|-2|1|1||
1769 NPin|pin@11||-1.5|2|1|1||
1770 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
1771 Nschematic:Bus_Pin|pin@13||-2.5|1|-2|-2||
1772 NPin|pin@14||-0.5|2|1|1||
1773 NPin|pin@15||-2.5|-1||||
1774 NPin|pin@16||-1.5|-1|1|1||
1775 Nschematic:Bus_Pin|pin@17||-2.5|-1|-2|-2||
1776 NPin|pin@18||-1.5|-0.75|1|1||
1777 NPin|pin@19||-0.25|-2|1|1||
1778 AThicker|net@0|||FS0|pin@0||0|-0.5|pin@1||-0.5|-0.5|ART_color()I78
1779 AThicker|net@1|||FS0|pin@3||0.25|0.5|pin@2||0|0.5|ART_color()I78
1780 AThicker|net@2|||FS0|pin@4||2.5|-1.5|pin@5||0.75|-1.5|ART_color()I78
1781 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@8||-2.5|1|ART_color()I78
1782 AThicker|net@4|||FS0|pin@9||-0.5|-2|pin@10||-1.5|-2|ART_color()I78
1783 AThicker|net@5|||FS2700|pin@10||-1.5|-2|pin@11||-1.5|2|ART_color()I78
1784 AThicker|net@6|||FS0|pin@14||-0.5|2|pin@11||-1.5|2|ART_color()I78
1785 AThicker|net@7|||FS0|pin@16||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
1786 AThicker|net@8|||FS3150|pin@19||-0.25|-2|pin@18||-1.5|-0.75|ART_color()I78
1787 EinC||D5G1;|pin@6||I
1788 Eina||D5G1;|pin@17||I
1789 Einb||D5G1;|pin@13||I
1790 Eout||D5G1;|pin@12||O
1791 X
1792
1793 # Cell nand2Schmitt;1{sch}
1794 Cnand2Schmitt;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-27.5;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPX-16.5;Y-5;)I1|ATTR_M(D5G1;HNPX-27.5;Y-10.5;)I1|ATTR_S(D5G1;HNOJPX-27.5;Y-14.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-27.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-27.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPX-27.5;Y-9.5;)I-1|ATTR_verilog_template(D5G1;NTX6;Y-16;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1795 IredFive:NMOS;1{ic}|NMOS@0||-4|6|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
1796 IredFive:NMOS;1{ic}|NMOS@1||-9.5|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
1797 Ngeneric:Facet-Center|art@0||0|0||||AV
1798 NOff-Page|conn@0||20|-2.5|||RR|
1799 NOff-Page|conn@1||20|11.5||||
1800 NOff-Page|conn@2||-23.5|9||||
1801 NOff-Page|conn@3||-23.5|3||||
1802 Inand2Schmitt;1{ic}|nand2Sch@0||29|22|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;NPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)I1|ATTR_drive0(D5G1;NPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;NPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;NPX-30.75;Y-20;)I-1
1803 Inms1;1{ic}|nms1@0||9.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1804 Inms1;1{ic}|nms1@1||0.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1805 Inms1;1{ic}|nms1@2||-11.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1806 NWire_Pin|pin@0||-7.5|6||||
1807 NWire_Pin|pin@1||-6|6||||
1808 NWire_Pin|pin@2||-7.5|6||||
1809 NWire_Pin|pin@3||-6|6||||
1810 Ngeneric:Invisible-Pin|pin@4||16.5|-8.5|||||ART_message(D6G2;)S[inC should be tied,to out externally]
1811 NWire_Pin|pin@5||14.5|-2.5||||
1812 NWire_Pin|pin@6||14.5|-6.5||||
1813 NWire_Pin|pin@7||14.5|1.5||||
1814 NWire_Pin|pin@8||-2|11.5||||
1815 NWire_Pin|pin@9||-14.5|3||||
1816 NWire_Pin|pin@10||-14.5|15.5||||
1817 NWire_Pin|pin@11||-4.5|-2.5||||
1818 NWire_Pin|pin@12||-4.5|-6.5||||
1819 NWire_Pin|pin@13||-11.5|-2.5||||
1820 NWire_Pin|pin@14||0.5|-2.5||||
1821 NWire_Pin|pin@15||5|-2.5||||
1822 NWire_Pin|pin@16||9.5|-2.5||||
1823 NWire_Pin|pin@17||5|1.5||||
1824 NWire_Pin|pin@18||5|-6.5||||
1825 Ngeneric:Invisible-Pin|pin@19||-1|30.5|||||ART_message(D5G6;)S[nand2Schmitt]
1826 Ngeneric:Invisible-Pin|pin@20||-1|25.5|||||ART_message(D5G2;)S[schmitt trigger with enable on pull-down (inb)]
1827 Ngeneric:Invisible-Pin|pin@21||-1|23|||||ART_message(D5G2;)S["hysteresis on ina, NOTE connect out to inC externally"]
1828 Ipms1;1{ic}|pms1@0||9.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1829 Ipms1;1{ic}|pms1@1||0.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1830 Ipms1;1{ic}|pms1@2||-2|15.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1831 Awire|net@0|||900|pin@3||-6|6|pin@1||-6|6
1832 Awire|net@1|||0|pin@1||-6|6|pin@0||-7.5|6
1833 Awire|net@2|||2700|pin@0||-7.5|6|pin@2||-7.5|6
1834 Awire|net@3|||0|pin@3||-6|6|NMOS@0|s|-6|6
1835 Awire|net@4|||2700|NMOS@1|d|-7.5|0|pin@2||-7.5|6
1836 Awire|net@5|||1800|pin@8||-2|11.5|conn@1|a|18|11.5
1837 Awire|net@6|||1800|pin@5||14.5|-2.5|conn@0|y|18|-2.5
1838 Awire|net@7|||2700|pin@6||14.5|-6.5|pin@5||14.5|-2.5
1839 Awire|net@8|||2700|pin@5||14.5|-2.5|pin@7||14.5|1.5
1840 Awire|net@9|||1800|nms1@0|g|12.5|-6.5|pin@6||14.5|-6.5
1841 Awire|net@10|||0|pin@7||14.5|1.5|pms1@0|g|12.5|1.5
1842 Awire|net@11|||900|pin@8||-2|11.5|NMOS@0|d|-2|6
1843 Awire|net@12|||2700|pin@8||-2|11.5|pms1@2|d|-2|13.5
1844 Awire|net@13|||2700|pin@9||-14.5|3|pin@10||-14.5|15.5
1845 Awire|net@14|||1800|pin@9||-14.5|3|NMOS@1|g|-9.5|3
1846 Awire|net@15|||0|pin@9||-14.5|3|conn@3|y|-21.5|3
1847 Awire|net@16|||1800|pin@10||-14.5|15.5|pms1@2|g|-5|15.5
1848 Awire|net@17|||1800|conn@2|y|-21.5|9|NMOS@0|g|-4|9
1849 Awire|net@18|||900|pin@11||-4.5|-2.5|pin@12||-4.5|-6.5
1850 Awire|net@19|||1800|pin@13||-11.5|-2.5|pin@11||-4.5|-2.5
1851 Awire|net@20|||1800|pin@11||-4.5|-2.5|pin@14||0.5|-2.5
1852 Awire|net@21|||0|pin@12||-4.5|-6.5|nms1@2|g|-8.5|-6.5
1853 Awire|net@22|||2700|nms1@2|d|-11.5|-4.5|pin@13||-11.5|-2.5
1854 Awire|net@23|||900|NMOS@1|s|-11.5|0|pin@13||-11.5|-2.5
1855 Awire|net@24|||2700|nms1@1|d|0.5|-4.5|pin@14||0.5|-2.5
1856 Awire|net@25|||2700|pin@14||0.5|-2.5|pms1@1|d|0.5|-0.5
1857 Awire|net@26|||1800|pin@15||5|-2.5|pin@16||9.5|-2.5
1858 Awire|net@27|||900|pin@17||5|1.5|pin@15||5|-2.5
1859 Awire|net@28|||900|pin@15||5|-2.5|pin@18||5|-6.5
1860 Awire|net@29|||2700|nms1@0|d|9.5|-4.5|pin@16||9.5|-2.5
1861 Awire|net@30|||2700|pin@16||9.5|-2.5|pms1@0|d|9.5|-0.5
1862 Awire|net@31|||1800|pms1@1|g|3.5|1.5|pin@17||5|1.5
1863 Awire|net@32|||0|pin@18||5|-6.5|nms1@1|g|3.5|-6.5
1864 EinC||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)F1.17
1865 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1866 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.67
1867 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D1.33
1868 X
1869
1870 # Cell nand2V;1{ic}
1871 Cnand2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4.5;)I100|ATTR_M(D5G1;HNPX3;Y1.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.25;Y-13.75;)I-1|prototype_center()I[6000,0]
1872 Ngeneric:Facet-Center|art@0||0|0||||AV
1873 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1874 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1875 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1876 NPin|pin@0||-0.25|-2|1|1||
1877 NPin|pin@1||-1.5|-0.75|1|1||
1878 NPin|pin@2||-1.5|-1|1|1||
1879 NPin|pin@3||-2.5|-1||||
1880 NPin|pin@4||-0.5|2|1|1||
1881 NPin|pin@5||-1.5|2|1|1||
1882 NPin|pin@6||-1.5|-2|1|1||
1883 NPin|pin@7||-0.5|-2|1|1||
1884 NPin|pin@8||-2.5|1||||
1885 NPin|pin@9||-1.5|1|1|1||
1886 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1887 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
1888 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
1889 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
1890 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1891 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
1892 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1893 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
1894 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1895 Eina||D5G1;|pin@10||I
1896 Einb||D5G1;|pin@11||I
1897 Eout||D5G1;|pin@12||O
1898 X
1899
1900 # Cell nand2V;1{sch}
1901 Cnand2V;1{sch}||schematic|1021415734000|1084377409000||ATTR_Delay(D5G1;HNPX-14.5;Y-8.5;)I100|ATTR_M(D5G1;HNPX-14.5;Y-4.5;)I1|ATTR_WN(D5G1;HNPX-14.5;Y-6.5;)I1|ATTR_WP(D5G1;HNPX-14.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPX-14.5;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14.5;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPX-14.5;Y-7.5;)I-1|ATTR_verilog_template(D5G1;NTX2.5;Y-14.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1902 Ngeneric:Facet-Center|art@0||0|0||||AV
1903 NOff-Page|conn@0||14|-1|||RR|
1904 NOff-Page|conn@1||0|11|||R|
1905 NOff-Page|conn@2||-14|-1||||
1906 Inand2V;1{ic}|nand2V@0||17|13|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y1.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;NPX-16.25;Y-13.75;)I-1
1907 Inms2;1{ic}|nms2@0||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
1908 Ngeneric:Invisible-Pin|pin@0||1|18|||||ART_message(D5G2;)S[variable ratio]
1909 Ngeneric:Invisible-Pin|pin@1||13.5|-11.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
1910 NWire_Pin|pin@2||9|-1||||
1911 NWire_Pin|pin@3||-9|-1||||
1912 NWire_Pin|pin@4||-9|-7||||
1913 NWire_Pin|pin@5||-9|4||||
1914 NWire_Pin|pin@6||9|-3||||
1915 NWire_Pin|pin@7||0|0||||
1916 NWire_Pin|pin@8||-5|0||||
1917 NWire_Pin|pin@9||5|0||||
1918 NWire_Pin|pin@10||9|4||||
1919 Ngeneric:Invisible-Pin|pin@11||1|20|||||ART_message(D5G2;)S[two-parameter NAND]
1920 Ngeneric:Invisible-Pin|pin@12||1|24|||||ART_message(D5G6;)S[nand2V]
1921 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1922 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1923 Awire|net@0|||900|pin@2||9|-1|pin@6||9|-3
1924 Awire|net@1|||900|conn@1|a|0|9|pin@7||0|0
1925 Awire|net@2|||1800|pin@2||9|-1|conn@0|y|12|-1
1926 Awire|net@3|||2700|pin@2||9|-1|pin@10||9|4
1927 Awire|net@4|||0|pin@3||-9|-1|conn@2|y|-12|-1
1928 Awire|net@5|||900|pin@5||-9|4|pin@3||-9|-1
1929 Awire|net@6|||900|pin@3||-9|-1|pin@4||-9|-7
1930 Awire|net@7|||1800|nms2@0|g2|3|-3|pin@6||9|-3
1931 Awire|net@8|||1800|pin@4||-9|-7|nms2@0|g|-3|-7
1932 Awire|net@9|||1800|pms1@1|g|8|4|pin@10||9|4
1933 Awire|net@10|||900|pin@7||0|0|nms2@0|d|0|-1
1934 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
1935 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
1936 Awire|net@13|||1800|pin@5||-9|4|pms1@0|g|-8|4
1937 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
1938 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
1939 Eina||D5G2;|conn@2|a|I
1940 Einb||D5G2;|conn@0|a|I
1941 Eout||D5G2;|conn@1|y|O
1942 X
1943
1944 # Cell nand2V_sy;1{ic}
1945 Cnand2V_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-5.5;)I100|ATTR_M(D5G1;HNPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX1;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19.5;Y-10.25;)I-1|prototype_center()I[6000,0]
1946 Ngeneric:Facet-Center|art@0||0|0||||AV
1947 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1948 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1949 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1950 NPin|pin@0||-1.5|-1|1|1||
1951 NPin|pin@1||-2.5|-1||||
1952 NPin|pin@2||-0.5|2|1|1||
1953 NPin|pin@3||-1.5|2|1|1||
1954 NPin|pin@4||-1.5|-2|1|1||
1955 NPin|pin@5||-0.5|-2|1|1||
1956 NPin|pin@6||-2.5|1||||
1957 NPin|pin@7||-1.5|1|1|1||
1958 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
1959 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
1960 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1961 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
1962 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
1963 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1964 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
1965 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
1966 Eina||D5G1;|pin@8||I
1967 Einb||D5G1;|pin@9||I
1968 Eout||D5G1;|pin@10||O
1969 X
1970
1971 # Cell nand2V_sy;1{sch}
1972 Cnand2V_sy;1{sch}||schematic|1021415734000|1084377390000||ATTR_Delay(D5G1;HNPX-17.5;Y-7;)I100|ATTR_M(D5G1;HNPX-17.5;Y-3;)I1|ATTR_WN(D5G1;HNPX-17.5;Y-5;)I1|ATTR_WP(D5G1;HNPX-17.5;Y-4;)I1|ATTR_drive0(D5G1;HNPX-17.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPX-17.5;Y-9;)Sstrong1|ATTR_su(D5G1;HNPX-17.5;Y-6;)I-1|ATTR_verilog_template(D5G1;NTX2;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1973 Ngeneric:Facet-Center|art@0||0|0||||AV
1974 NOff-Page|conn@0||14|-1|||RR|
1975 NOff-Page|conn@1||0|11|||R|
1976 NOff-Page|conn@2||-14|-1||||
1977 Inand2V_sy;1{ic}|nand2V_s@0||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-5.5;)I100|ATTR_M(D5G1;NPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19.5;Y-10.25;)I-1
1978 Inms2_sy;3{ic}|nms2_sy@0||0|-8.25|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(OJP)S@su
1979 Ngeneric:Invisible-Pin|pin@0||-1.5|16|||||ART_message(D5G2;)S[P to N ratio is variable]
1980 Ngeneric:Invisible-Pin|pin@1||14.5|-14.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
1981 NWire_Pin|pin@2||-9|-8.25||||
1982 NWire_Pin|pin@3||9|-4.25||||
1983 NWire_Pin|pin@4||9|-1||||
1984 NWire_Pin|pin@5||-9|-1||||
1985 NWire_Pin|pin@6||-9|4||||
1986 NWire_Pin|pin@7||0|0||||
1987 NWire_Pin|pin@8||-5|0||||
1988 NWire_Pin|pin@9||5|0||||
1989 NWire_Pin|pin@10||9|4||||
1990 Ngeneric:Invisible-Pin|pin@11||-2|18|||||ART_message(D5G2;)S[symetric two-parameter NAND]
1991 Ngeneric:Invisible-Pin|pin@12||-2|23|||||ART_message(D5G6;)S[nand2V_sy]
1992 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1993 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1994 Awire|net@0|||900|pin@4||9|-1|pin@3||9|-4.25
1995 Awire|net@1|||0|pin@3||9|-4.25|nms2_sy@0|g2|3|-4.25
1996 Awire|net@2|||900|pin@7||0|0|nms2_sy@0|d|0|-2.25
1997 Awire|net@3|||1800|pin@2||-9|-8.25|nms2_sy@0|g|-3|-8.25
1998 Awire|net@4|||900|pin@5||-9|-1|pin@2||-9|-8.25
1999 Awire|net@5|||900|conn@1|a|0|9|pin@7||0|0
2000 Awire|net@6|||2700|pin@4||9|-1|pin@10||9|4
2001 Awire|net@7|||0|pin@5||-9|-1|conn@2|y|-12|-1
2002 Awire|net@8|||1800|pin@4||9|-1|conn@0|y|12|-1
2003 Awire|net@9|||900|pin@6||-9|4|pin@5||-9|-1
2004 Awire|net@10|||1800|pms1@1|g|8|4|pin@10||9|4
2005 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
2006 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
2007 Awire|net@13|||1800|pin@6||-9|4|pms1@0|g|-8|4
2008 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
2009 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
2010 Eina||D5G2;|conn@2|a|I
2011 Einb||D5G2;|conn@0|a|I
2012 Eout||D5G2;|conn@1|y|O
2013 X
2014
2015 # Cell nand2Vn;1{ic}
2016 Cnand2Vn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5.5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19;Y-4;)I-1|prototype_center()I[6000,0]
2017 Ngeneric:Facet-Center|art@0||0|0||||AV
2018 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2019 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2020 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2021 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2022 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2023 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2024 NPin|pin@0||-0.5|-1.75|1|1||
2025 NPin|pin@1||-1|-1.25|1|1||
2026 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2027 NPin|pin@3||-2|-1|1|1||
2028 NPin|pin@4||-2.5|-1||||
2029 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
2030 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2031 NPin|pin@7||-2.5|1||||
2032 NPin|pin@8||-2|1|1|1||
2033 NPin|pin@9||1.5|0|1|1||
2034 NPin|pin@10||2.5|0||||
2035 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
2036 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
2037 AThicker|net@2|||FS0|pin@3||-2|-1|pin@4||-2.5|-1|ART_color()I78
2038 AThicker|net@3|||FS0|pin@8||-2|1|pin@7||-2.5|1|ART_color()I78
2039 AThicker|net@4|||FS0|pin@10||2.5|0|pin@9||1.5|0|ART_color()I78
2040 Eina||D5G1;|pin@2||I
2041 Einb||D5G1;|pin@5||I
2042 Eout||D5G1;|pin@6||O
2043 X
2044
2045 # Cell nand2Vn;1{sch}
2046 Cnand2Vn;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-14;Y1.5;)I100|ATTR_M(D5G1;HNPX-14;Y5.5;)I1|ATTR_WN(D5G1;HNPX-14;Y3.5;)I1|ATTR_WP(D5G1;HNPX-14;Y4.5;)I1|ATTR_drive0(D5G1;HNPX-14;Y0.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14;Y-0.5;)Sstrong1|ATTR_su(D5G1;HNPX-14;Y2.5;)I-1|prototype_center()I[0,0]
2047 Ngeneric:Facet-Center|art@0||0|0||||AV
2048 NOff-Page|conn@0||-6|-9|||YRRR|
2049 NOff-Page|conn@1||8|0||||
2050 NOff-Page|conn@2||-6|8|||YR|
2051 Inand2V;1{ic}|nand2V@0||1|0|||D0G4;|ATTR_Delay(D5G1;NOJPX1.5;Y-4.5;)S@Delay|ATTR_M(D5G1;NOJPX3;Y1.5;)S@M|ATTR_WN(D5G1.5;NOJPX0.5;Y-3.5;)S@WN|ATTR_WP(D5G1.5;NOJPX0.5;Y3;)S@WP|ATTR_drive0(D5G1;NOJPX2;Y-5.5;)S@drive0|ATTR_drive1(D5G1;NOJPX2;Y-6.5;)S@drive1|ATTR_su(D5G1;NOJPX-16.25;Y-13.75;)S@su
2052 Inand2Vn;1{ic}|nand2Vn@0||14.5|11|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5.5;)I100|ATTR_M(D5G1;NPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19;Y-4;)I-1
2053 NWire_Pin|pin@0||-6|-1||||
2054 NWire_Pin|pin@1||-6|1||||
2055 Ngeneric:Invisible-Pin|pin@2||1|24|||||ART_message(D5G6;)S[nand2Vn]
2056 Ngeneric:Invisible-Pin|pin@3||1|20|||||ART_message(D5G2;)S[duplicate icon for nand2V]
2057 NWire_Pin|pin@4||9|-5||||
2058 NWire_Pin|pin@5||9|-5||||
2059 Awire|net@0|||0|conn@1|a|6|0|nand2V@0|out|3.5|0
2060 Awire|net@1|||1800|pin@0||-6|-1|nand2V@0|ina|-1.5|-1
2061 Awire|net@2|||1800|pin@1||-6|1|nand2V@0|inb|-1.5|1
2062 Awire|net@3|||900|pin@0||-6|-1|conn@0|y|-6|-7
2063 Awire|net@4|||2700|pin@1||-6|1|conn@2|y|-6|6
2064 Awire|net@5|||2700|pin@5||9|-5|pin@4||9|-5
2065 Eina||D5G2;|conn@0|a|I
2066 Einb||D5G2;|conn@2|a|I
2067 Eout||D5G2;|conn@1|y|O
2068 X
2069
2070 # Cell nand2Vn_sy;1{ic}
2071 Cnand2Vn_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1;Y-5;)I100|ATTR_M(D5G1;HNPX1;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-21.75;Y-2.5;)I-1|prototype_center()I[6000,0]
2072 Ngeneric:Facet-Center|art@0||0|0||||AV
2073 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2074 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2075 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2076 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2077 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2078 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2079 NPin|pin@0||-1|-1.25|1|1||
2080 NPin|pin@1||2.5|0||||
2081 NPin|pin@2||1.5|0|1|1||
2082 NPin|pin@3||-2|1|1|1||
2083 NPin|pin@4||-2.5|1||||
2084 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2085 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2086 NPin|pin@7||-2.5|-1||||
2087 NPin|pin@8||-2|-1|1|1||
2088 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
2089 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2090 AThicker|net@1|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I78
2091 AThicker|net@2|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I78
2092 AThicker|net@3|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I78
2093 Eina||D5G1;|pin@9||I
2094 Einb||D5G1;|pin@6||I
2095 Eout||D5G1;|pin@5||O
2096 X
2097
2098 # Cell nand2Vn_sy;1{sch}
2099 Cnand2Vn_sy;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-16.5;Y3.5;)I100|ATTR_M(D5G1;HNPX-16.5;Y7.5;)I1|ATTR_WN(D5G1;HNPX-16.5;Y5.5;)I1|ATTR_WP(D5G1;HNPX-16.5;Y6.5;)I1|ATTR_drive0(D5G1;HNPX-16.5;Y2.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-16.5;Y1.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.5;Y4.5;)I-1|prototype_center()I[0,0]
2100 Ngeneric:Facet-Center|art@0||0|0||||AV
2101 NOff-Page|conn@0||-6|-7|||R|
2102 NOff-Page|conn@1||9|0||||
2103 NOff-Page|conn@2||-6|7|||RRR|
2104 Inand2V_sy;1{ic}|nand2V_s@0||1|0|||D0G4;|ATTR_Delay(D5G1;OJP)S@Delay|ATTR_M(D5G1;OJP)S@M|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;OJP)S@drive0|ATTR_drive1(D5G1;OJP)S@drive1|ATTR_su(D5G1;NOJPX-19.5;Y-10.25;)S@su
2105 Inand2Vn_sy;1{ic}|nand2Vn_@0||15|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1;Y-5;)I100|ATTR_M(D5G1;NPX1;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-21.75;Y-2.5;)I-1
2106 NWire_Pin|pin@0||-6|1||||
2107 NWire_Pin|pin@1||-6|-1||||
2108 Ngeneric:Invisible-Pin|pin@2||-2|23|||||ART_message(D5G6;)S[nand2Vn_sy]
2109 Ngeneric:Invisible-Pin|pin@3||-2|18|||||ART_message(D5G2;)S[duplicate icon for nand2V_sy]
2110 Awire|net@0|||0|conn@1|a|7|0|nand2V_s@0|out|3.5|0
2111 Awire|net@1|||1800|pin@1||-6|-1|nand2V_s@0|ina|-1.5|-1
2112 Awire|net@2|||1800|pin@0||-6|1|nand2V_s@0|inb|-1.5|1
2113 Awire|net@3|||2700|pin@0||-6|1|conn@2|y|-6|5
2114 Awire|net@4|||900|pin@1||-6|-1|conn@0|y|-6|-5
2115 Eina||D5G2;|conn@0|a|I
2116 Einb||D5G2;|conn@2|a|I
2117 Eout||D5G2;|conn@1|y|O
2118 X
2119
2120 # Cell nand2_sy;1{ic}
2121 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2122 Ngeneric:Facet-Center|art@0||0|0||||AV
2123 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2124 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2125 NPin|pin@0||-1.5|-1|1|1||
2126 NPin|pin@1||-2.5|-1||||
2127 NPin|pin@2||-0.5|2|1|1||
2128 NPin|pin@3||-1.5|2|1|1||
2129 NPin|pin@4||-1.5|-2|1|1||
2130 NPin|pin@5||-0.5|-2|1|1||
2131 NPin|pin@6||-2.5|1||||
2132 NPin|pin@7||-1.5|1|1|1||
2133 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2134 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
2135 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2136 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
2137 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
2138 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
2139 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
2140 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2141 Eina||D5G1;|pin@10||I
2142 Einb||D5G1;|pin@9||I
2143 Eout||D5G1;|pin@8||O
2144 X
2145
2146 # Cell nand2_sy;1{sch}
2147 Cnand2_sy;1{sch}||schematic|1021415734000|1159375680290||ATTR_Delay(D5G1;HNPX-16.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-7.5;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
2148 Ngeneric:Facet-Center|art@0||0|0||||AV
2149 NOff-Page|conn@0||-14.5|2.5||||
2150 NOff-Page|conn@1||11.5|0|||Y|
2151 NOff-Page|conn@2||-14.5|-2.5||||
2152 IredFive:nand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2153 Inand2_sy;1{ic}|nand2_sy@1||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2154 NWire_Pin|pin@0||-7.5|-1||||
2155 NWire_Pin|pin@1||-7.5|-2.5||||
2156 NWire_Pin|pin@2||-7.5|1||||
2157 NWire_Pin|pin@3||-7.5|2.5||||
2158 Ngeneric:Invisible-Pin|pin@4||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2159 Ngeneric:Invisible-Pin|pin@5||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2160 Ngeneric:Invisible-Pin|pin@6||-2|23|||||ART_message(D5G6;)S[nand2_sy]
2161 Ngeneric:Invisible-Pin|pin@7||-2|18|||||ART_message(D5G2;)S[symetric one-parameter NAND]
2162 Awire|net@0|||0|nand2_sy@0|ina|-2.5|-1|pin@0||-7.5|-1
2163 Awire|net@1|||1800|nand2_sy@0|out|2.5|0|conn@1|a|9.5|0
2164 Awire|net@2|||0|nand2_sy@0|inb|-2.5|1|pin@2||-7.5|1
2165 Awire|net@3|||900|pin@0||-7.5|-1|pin@1||-7.5|-2.5
2166 Awire|net@4|||0|pin@1||-7.5|-2.5|conn@2|y|-12.5|-2.5
2167 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
2168 Awire|net@6|||0|pin@3||-7.5|2.5|conn@0|y|-12.5|2.5
2169 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F1.33
2170 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2171 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y-2.5;)I2
2172 X
2173
2174 # Cell nand2en;1{ic}
2175 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2176 Ngeneric:Facet-Center|art@0||0|0||||AV
2177 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2178 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2179 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2180 NPin|pin@1||-1.5|1|1|1||
2181 NPin|pin@2||-2.5|1||||
2182 NPin|pin@3||-0.5|-2|1|1||
2183 NPin|pin@4||-1.5|-2|1|1||
2184 NPin|pin@5||-1.5|2|1|1||
2185 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2186 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2187 NPin|pin@8||-0.5|2|1|1||
2188 NPin|pin@9||-2.5|-1||||
2189 NPin|pin@10||-1.5|-1|1|1||
2190 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2191 NPin|pin@12||-1.5|-0.75|1|1||
2192 NPin|pin@13||-0.25|-2|1|1||
2193 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I78
2194 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2195 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
2196 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I78
2197 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
2198 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I78
2199 Eina||D5G1;|pin@11||I
2200 Einb||D5G1;|pin@7||I
2201 Eout||D5G1;|pin@6||O
2202 X
2203
2204 # Cell nand2en;1{sch}
2205 Cnand2en;1{sch}||schematic|1021415734000|1159375684491||ATTR_Delay(D5G1;HNPX-16.5;Y-10;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
2206 Ngeneric:Facet-Center|art@0||0|0||||AV
2207 NOff-Page|conn@0||13|0|||Y|
2208 NOff-Page|conn@1||-14|2.5||||
2209 NOff-Page|conn@2||-13.5|-3||||
2210 IredFive:nand2en;1{ic}|nand2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2211 Inand2en;1{ic}|nand2en@1||26.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2212 NWire_Pin|pin@0||-6|-1|||Y|
2213 NWire_Pin|pin@1||-6|-3|||Y|
2214 NWire_Pin|pin@2||-6|2.5|||Y|
2215 NWire_Pin|pin@3||-6|1|||Y|
2216 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2217 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where inb is DC signal (enable)]
2218 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2219 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
2220 Awire|net@0|||1800|pin@0||-6|-1|nand2en@0|ina|-2.5|-1
2221 Awire|net@1|||1800|nand2en@0|out|2.5|0|conn@0|a|11|0
2222 Awire|net@2|||1800|pin@3||-6|1|nand2en@0|inb|-2.5|1
2223 Awire|net@3|||900|pin@0||-6|-1|pin@1||-6|-3
2224 Awire|net@4|||0|pin@1||-6|-3|conn@2|y|-11.5|-3
2225 Awire|net@5|||1800|conn@1|y|-12|2.5|pin@2||-6|2.5
2226 Awire|net@6|||900|pin@2||-6|2.5|pin@3||-6|1
2227 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F0.733
2228 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2229 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)F1.4
2230 X
2231
2232 # Cell nand2k;1{ic}
2233 Cnand2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2234 Ngeneric:Facet-Center|art@0||0|0||||AV
2235 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
2236 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2237 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2238 NPin|pin@0||-1.5|1|1|1||
2239 NPin|pin@1||-2.5|1||||
2240 NPin|pin@2||-0.5|-2|1|1||
2241 NPin|pin@3||-1.5|-2|1|1||
2242 NPin|pin@4||-1.5|2|1|1||
2243 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2244 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2245 NPin|pin@7||-0.5|2|1|1||
2246 NPin|pin@8||-2.5|-1||||
2247 NPin|pin@9||-1.5|-1|1|1||
2248 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2249 NPin|pin@11||-1.5|-0.75|1|1||
2250 NPin|pin@12||-0.25|-2|1|1||
2251 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
2252 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
2253 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
2254 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
2255 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
2256 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
2257 Eina||D5G1;|pin@10||I
2258 Einb||D5G1;|pin@6||I
2259 Eout||D5G1;|pin@5||O
2260 X
2261
2262 # Cell nand2k;1{sch}
2263 Cnand2k;1{sch}||schematic|1021415734000|1159379778053||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEKEEPER(D5G1;HNPX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sweak0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sweak1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
2264 Ngeneric:Facet-Center|art@0||0|0||||AV
2265 NOff-Page|conn@0||10|0|||Y|
2266 NOff-Page|conn@1||-14.5|2.5||||
2267 NOff-Page|conn@2||-14.5|-2.5||||
2268 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2269 Inand2k;1{ic}|nand2k@0||18.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2270 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[nand2k]
2271 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
2272 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2273 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2274 NWire_Pin|pin@4||-7|-2.5||||
2275 NWire_Pin|pin@5||-7|-1||||
2276 NWire_Pin|pin@6||-7|1||||
2277 NWire_Pin|pin@7||-7|2.5||||
2278 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@5||-7|-1
2279 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@0|a|8|0
2280 Awire|net@2|||1800|pin@6||-7|1|nand2@0|inb|-2.5|1
2281 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
2282 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
2283 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
2284 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
2285 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
2286 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2287 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NOJY2;)S2
2288 X
2289
2290 # Cell nand2n;1{ic}
2291 Cnand2n;1{ic}||artwork|1021415734000|1231281526605|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2292 Ngeneric:Facet-Center|art@0||0|0||||AV
2293 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2294 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2295 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2296 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2297 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2298 NPin|pin@0||-0.5|-1.75|1|1||
2299 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
2300 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
2301 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2302 NPin|pin@4||-1|-1.25|1|1||
2303 NPin|pin@5||-2|1|1|1||
2304 NPin|pin@6||-2.5|1||||
2305 NPin|pin@7||1.5|0|1|1||
2306 NPin|pin@8||2.5|0||||
2307 NPin|pin@9||-2.5|-1||||
2308 NPin|pin@10||-2|-1|1|1||
2309 AThicker|net@0|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78
2310 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78
2311 AThicker|net@2|||FS0|pin@5||-2|1|pin@6||-2.5|1|ART_color()I78
2312 AThicker|net@3|||FS0|pin@8||2.5|0|pin@7||1.5|0|ART_color()I78
2313 AThicker|net@4|||FS0|pin@10||-2|-1|pin@9||-2.5|-1|ART_color()I78
2314 Eina||D5G1;|pin@3||I
2315 Einb||D5G1;|pin@2||I
2316 Eout||D5G1;|pin@1||O
2317 X
2318
2319 # Cell nand2n;1{sch}
2320 Cnand2n;1{sch}||schematic|1021415734000|1231281554613||ATTR_Delay(D5G1;HNPX-19;Y-0.5;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-19;Y-1.5;)I-1|ATTR_X(D5G1;HNOJPX-19;Y0.75;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-19;Y-2.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-19;Y-3.5;)Sstrong1|ATTR_su(D5G1;HNPTX-19;Y-4.5;)I-1|prototype_center()I[0,0]
2321 Ngeneric:Facet-Center|art@0||0|0||||AV
2322 NOff-Page|conn@0||-7|-9|||R|
2323 NOff-Page|conn@1||10|0||||
2324 NOff-Page|conn@2||-7|8|||RRR|
2325 IredFive:nand2;1{ic}|nand2|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2326 Inand2n;1{ic}|nand2n@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2327 NWire_Pin|pin@0||-7|-1||||
2328 NWire_Pin|pin@1||-7|1||||
2329 Ngeneric:Invisible-Pin|pin@2||-10|21|||||ART_message(D5G6;)S[nand2n]
2330 Ngeneric:Invisible-Pin|pin@3||-11|16|||||ART_message(D5G2;)S[duplicate icon for nand2]
2331 Awire|net@0|||0|nand2|ina|-1|-1|pin@0||-7|-1
2332 Awire|net@1|||0|conn@1|a|8|0|nand2|out|4|0
2333 Awire|net@2|||0|nand2|inb|-1|1|pin@1||-7|1
2334 Awire|net@3|||900|pin@0||-7|-1|conn@0|y|-7|-7
2335 Awire|net@4|||2700|pin@1||-7|1|conn@2|y|-7|6
2336 Eina||D5G2;|conn@0|a|I
2337 Einb||D5G2;|conn@2|a|I
2338 Eout||D5G2;|conn@1|y|O
2339 X
2340
2341 # Cell nand2n_sy;1{ic}
2342 Cnand2n_sy;1{ic}||artwork|1021415734000|1231281686791|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2343 Ngeneric:Facet-Center|art@0||0|0||||AV
2344 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2345 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2346 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2347 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2348 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2349 NPin|pin@0||-1|-1.25|1|1||
2350 NPin|pin@1||-2|-1|1|1||
2351 NPin|pin@2||-2.5|-1||||
2352 NPin|pin@3||2.5|0||||
2353 NPin|pin@4||1.5|0|1|1||
2354 NPin|pin@5||-2.5|1||||
2355 NPin|pin@6||-2|1|1|1||
2356 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
2357 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
2358 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2359 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2360 AThicker|net@1|||FS0|pin@1||-2|-1|pin@2||-2.5|-1|ART_color()I78
2361 AThicker|net@2|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I78
2362 AThicker|net@3|||FS0|pin@6||-2|1|pin@5||-2.5|1|ART_color()I78
2363 Eina||D5G1;|pin@7||I
2364 Einb||D5G1;|pin@8||I
2365 Eout||D5G1;|pin@9||O
2366 X
2367
2368 # Cell nand2n_sy;1{sch}
2369 Cnand2n_sy;1{sch}||schematic|1021415734000|1231281686791||ATTR_Delay(D5G1;HNPX-20;Y4;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-20;Y3;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y2;)Sstrong1|ATTR_su(D5G1;HNPTX-20;Y1;)I-1|prototype_center()I[0,0]
2370 Ngeneric:Facet-Center|art@0||0|0||||AV
2371 NOff-Page|conn@0||-6|8|||RRR|
2372 NOff-Page|conn@1||10|0||||
2373 NOff-Page|conn@2||-6|-10|||R|
2374 IredFive:nand2_sy;1{ic}|nand2_sy|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2375 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2376 NWire_Pin|pin@0||-6|1||||
2377 NWire_Pin|pin@1||-6|-1||||
2378 Ngeneric:Invisible-Pin|pin@2||-11|16|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2379 Ngeneric:Invisible-Pin|pin@3||-10|21|||||ART_message(D5G6;)S[nand2n_sy]
2380 Awire|net@0|||0|nand2_sy|inb|-1|1|pin@0||-6|1
2381 Awire|net@1|||2700|pin@0||-6|1|conn@0|y|-6|6
2382 Awire|net@2|||0|nand2_sy|ina|-1|-1|pin@1||-6|-1
2383 Awire|net@3|||900|pin@1||-6|-1|conn@2|y|-6|-8
2384 Awire|net@4|||0|conn@1|a|8|0|nand2_sy|out|4|0
2385 Eina||D5G2;|conn@2|a|I
2386 Einb||D5G2;|conn@0|a|I
2387 Eout||D5G2;|conn@1|y|O
2388 X
2389
2390 # Cell nand3;1{ic}
2391 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2392 Ngeneric:Facet-Center|art@0||0|0||||AV
2393 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2394 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2395 NPin|pin@0||-2.5|2||||
2396 NPin|pin@1||-1.5|2|1|1||
2397 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2398 NPin|pin@3||-1.5|0|1|1||
2399 NPin|pin@4||-2.5|0||||
2400 NPin|pin@5||-0.5|-3|1|1||
2401 NPin|pin@6||-1.5|-3|1|1||
2402 NPin|pin@7||-1.5|3|1|1||
2403 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2404 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2405 NPin|pin@10||-0.5|3|1|1||
2406 NPin|pin@11||-2.5|-2||||
2407 NPin|pin@12||-1.5|-2|1|1||
2408 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2409 NPin|pin@14||-1.5|-1.75|1|1||
2410 NPin|pin@15||-0.25|-3|1|1||
2411 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I78
2412 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I78
2413 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I78
2414 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I78
2415 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I78
2416 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I78
2417 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I78
2418 Eina||D5G1;|pin@13||I
2419 Einb||D5G1;|pin@9||I
2420 Einc||D5G1;|pin@2||I
2421 Eout||D5G1;|pin@8||O
2422 X
2423
2424 # Cell nand3;1{sch}
2425 Cnand3;1{sch}||schematic|1021415734000|1159379591711||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2426 Ngeneric:Facet-Center|art@0||0|0||||AV
2427 NOff-Page|conn@0||-18|2||||
2428 NOff-Page|conn@1||15.5|0|||Y|
2429 NOff-Page|conn@2||-9|0|||Y|
2430 NOff-Page|conn@3||-23.5|-2||||
2431 IredFive:nand3;1{ic}|nand3@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2432 Inand3;1{ic}|nand3@1||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2433 Ngeneric:Invisible-Pin|pin@0||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2434 Ngeneric:Invisible-Pin|pin@1||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2435 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2436 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3]
2437 Awire|net@0|||0|nand3@0|inc|-2.5|2|conn@0|y|-16|2
2438 Awire|net@1|||0|nand3@0|ina|-2.5|-2|conn@3|y|-21.5|-2
2439 Awire|net@2|||1800|nand3@0|out|2.5|0|conn@1|a|13.5|0
2440 Awire|net@3|||0|nand3@0|inb|-2.5|0|conn@2|y|-7|0
2441 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
2442 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2443 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2444 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I3
2445 X
2446
2447 # Cell nand3LT;1{ic}
2448 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2449 Ngeneric:Facet-Center|art@0||0|0||||AV
2450 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2451 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2452 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2453 NPin|pin@0||-0.25|-3|1|1||
2454 NPin|pin@1||-1.5|-1.75|1|1||
2455 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2456 NPin|pin@3||-1.5|-2|1|1||
2457 NPin|pin@4||-2.5|-2||||
2458 NPin|pin@5||-0.5|3|1|1||
2459 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2460 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2461 NPin|pin@8||-1.5|3|1|1||
2462 NPin|pin@9||-1.5|-3|1|1||
2463 NPin|pin@10||-0.5|-3|1|1||
2464 NPin|pin@11||-2.5|0||||
2465 NPin|pin@12||-1.5|0|1|1||
2466 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2467 NPin|pin@14||-1.5|2|1|1||
2468 NPin|pin@15||-2.5|2||||
2469 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
2470 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
2471 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
2472 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2473 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
2474 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
2475 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
2476 Eina||D5G1;|pin@2||I
2477 Einb||D5G1;|pin@6||I
2478 Einc||D5G1;|pin@13||I
2479 Eout||D5G1;|pin@7||O
2480 X
2481
2482 # Cell nand3LT;1{sch}
2483 Cnand3LT;1{sch}||schematic|1021415734000|1159376000942||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2484 Ngeneric:Facet-Center|art@0||0|0||||AV
2485 NOff-Page|conn@0||-22.5|-2||||
2486 NOff-Page|conn@1||-11|0|||Y|
2487 NOff-Page|conn@2||12.5|0|||Y|
2488 NOff-Page|conn@3||-19.5|2||||
2489 IredFive:nand3LT;1{ic}|nand3LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2490 Inand3LT;1{ic}|nand3LT@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2491 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2492 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2493 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2494 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2495 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2496 Awire|net@0|||0|nand3LT@0|inc|-2.5|2|conn@3|y|-17.5|2
2497 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LT@0|ina|-2.5|-2
2498 Awire|net@2|||1800|nand3LT@0|out|2.5|0|conn@2|a|10.5|0
2499 Awire|net@3|||0|nand3LT@0|inb|-2.5|0|conn@1|y|-9|0
2500 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2501 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2502 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2503 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2504 X
2505
2506 # Cell nand3LT_sy3;1{ic}
2507 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2508 Ngeneric:Facet-Center|art@0||0|0||||AV
2509 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2510 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2511 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2512 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2513 NPin|pin@1||-2.5|2||||
2514 NPin|pin@2||-1.5|2|1|1||
2515 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2516 NPin|pin@4||-1.5|0|1|1||
2517 NPin|pin@5||-2.5|0||||
2518 NPin|pin@6||-0.5|-3|1|1||
2519 NPin|pin@7||-1.5|-3|1|1||
2520 NPin|pin@8||-1.5|3|1|1||
2521 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2522 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2523 NPin|pin@11||-0.5|3|1|1||
2524 NPin|pin@12||-2.5|-2||||
2525 NPin|pin@13||-1.5|-2|1|1||
2526 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2527 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2528 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2529 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2530 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2531 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2532 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2533 Eina||D5G1;|pin@14||I
2534 Einb||D5G1;|pin@10||I
2535 Einc||D5G1;|pin@3||I
2536 Eout||D5G1;|pin@9||O
2537 X
2538
2539 # Cell nand3LT_sy3;1{sch}
2540 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1159376011909||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2541 Ngeneric:Facet-Center|art@0||0|0||||AV
2542 NOff-Page|conn@0||-19.5|2||||
2543 NOff-Page|conn@1||12.5|0|||Y|
2544 NOff-Page|conn@2||-11|0|||Y|
2545 NOff-Page|conn@3||-22.5|-2||||
2546 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2547 Inand3LT_sy3;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2548 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
2549 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2550 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2551 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2552 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2553 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2554 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2555 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2556 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2557 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2558 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2559 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2560 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2561 X
2562
2563 # Cell nand3LT_sy6;1{ic}
2564 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2565 Ngeneric:Facet-Center|art@0||0|0||||AV
2566 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2567 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2568 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2569 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2570 NPin|pin@1||-2.5|2||||
2571 NPin|pin@2||-1.5|2|1|1||
2572 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2573 NPin|pin@4||-1.5|0|1|1||
2574 NPin|pin@5||-2.5|0||||
2575 NPin|pin@6||-0.5|-3|1|1||
2576 NPin|pin@7||-1.5|-3|1|1||
2577 NPin|pin@8||-1.5|3|1|1||
2578 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2579 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2580 NPin|pin@11||-0.5|3|1|1||
2581 NPin|pin@12||-2.5|-2||||
2582 NPin|pin@13||-1.5|-2|1|1||
2583 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2584 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
2585 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
2586 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
2587 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
2588 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
2589 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
2590 Eina||D5G1;|pin@14||I
2591 Einb||D5G1;|pin@10||I
2592 Einc||D5G1;|pin@3||I
2593 Eout||D5G1;|pin@9||O
2594 X
2595
2596 # Cell nand3LT_sy6;1{sch}
2597 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1159379295448||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2598 Ngeneric:Facet-Center|art@0||0|0||||AV
2599 NOff-Page|conn@0||-19.5|2||||
2600 NOff-Page|conn@1||12.5|0|||Y|
2601 NOff-Page|conn@2||-11|0|||Y|
2602 NOff-Page|conn@3||-22.5|-2||||
2603 IredFive:nand3LT_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2604 Inand3LT_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2605 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
2606 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2607 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2608 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2609 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2610 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2611 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2612 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2613 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2614 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2615 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2616 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2617 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2618 X
2619
2620 # Cell nand3LTen;1{ic}
2621 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2622 Ngeneric:Facet-Center|art@0||0|0||||AV
2623 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2624 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2625 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2626 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2627 NPin|pin@1||-2.5|2||||
2628 NPin|pin@2||-1.5|2|1|1||
2629 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2630 NPin|pin@4||-1.5|0|1|1||
2631 NPin|pin@5||-2.5|0||||
2632 NPin|pin@6||-0.5|-3|1|1||
2633 NPin|pin@7||-1.5|-3|1|1||
2634 NPin|pin@8||-1.5|3|1|1||
2635 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2636 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2637 NPin|pin@11||-0.5|3|1|1||
2638 NPin|pin@12||-2.5|-2||||
2639 NPin|pin@13||-1.5|-2|1|1||
2640 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2641 NPin|pin@15||-1.5|-1.75|1|1||
2642 NPin|pin@16||-0.25|-3|1|1||
2643 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2644 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2645 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2646 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2647 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2648 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2649 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
2650 Eina||D5G1;|pin@14||I
2651 Einb||D5G1;|pin@10||I
2652 Einc||D5G1;|pin@3||I
2653 Eout||D5G1;|pin@9||O
2654 X
2655
2656 # Cell nand3LTen;1{sch}
2657 Cnand3LTen;1{sch}||schematic|1021415734000|1159376004533||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2658 Ngeneric:Facet-Center|art@0||0|0||||AV
2659 NOff-Page|conn@0||-19.5|2||||
2660 NOff-Page|conn@1||12.5|0|||Y|
2661 NOff-Page|conn@2||-11|0|||Y|
2662 NOff-Page|conn@3||-22.5|-2||||
2663 IredFive:nand3LTen;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2664 Inand3LTen;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2665 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
2666 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable)]
2667 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2668 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2669 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2670 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@0|y|-17.5|2
2671 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
2672 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@1|a|10.5|0
2673 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@2|y|-9|0
2674 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
2675 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2676 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2677 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y-2.5;)I2
2678 X
2679
2680 # Cell nand3LTen_sy;1{ic}
2681 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2682 Ngeneric:Facet-Center|art@0||0|0||||AV
2683 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2684 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2685 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2686 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2687 NPin|pin@1||-0.25|-3|1|1||
2688 NPin|pin@2||-1.5|-1.75|1|1||
2689 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
2690 NPin|pin@4||-1.5|-2|1|1||
2691 NPin|pin@5||-2.5|-2||||
2692 NPin|pin@6||-0.5|3|1|1||
2693 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
2694 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2695 NPin|pin@9||-1.5|3|1|1||
2696 NPin|pin@10||-1.5|-3|1|1||
2697 NPin|pin@11||-0.5|-3|1|1||
2698 NPin|pin@12||-2.5|0||||
2699 NPin|pin@13||-1.5|0|1|1||
2700 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
2701 NPin|pin@15||-1.5|2|1|1||
2702 NPin|pin@16||-2.5|2||||
2703 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2704 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
2705 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
2706 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
2707 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
2708 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
2709 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
2710 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
2711 Eina||D5G1;|pin@3||I
2712 Einb||D5G1;|pin@7||I
2713 Einc||D5G1;|pin@14||I
2714 Eout||D5G1;|pin@8||O
2715 X
2716
2717 # Cell nand3LTen_sy;1{sch}
2718 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1159376008564||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2719 Ngeneric:Facet-Center|art@0||0|0||||AV
2720 NOff-Page|conn@0||-22.5|-2||||
2721 NOff-Page|conn@1||-11|0|||Y|
2722 NOff-Page|conn@2||12.5|0|||Y|
2723 NOff-Page|conn@3||-19.5|2||||
2724 IredFive:nand3LTen_sy;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2725 Inand3LTen_sy;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2726 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2727 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2728 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2729 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric]
2730 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
2731 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@3|y|-17.5|2
2732 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
2733 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@2|a|10.5|0
2734 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@1|y|-9|0
2735 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
2736 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2737 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2738 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
2739 X
2740
2741 # Cell nand3MLT;1{ic}
2742 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2743 Ngeneric:Facet-Center|art@0||0|0||||AV
2744 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I78|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
2745 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2746 NThick-Circle|art@3||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2747 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
2748 NPin|pin@0||-0.25|-3|1|1||
2749 NPin|pin@1||-1.5|-1.75|1|1||
2750 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2751 NPin|pin@3||-1.5|-2|1|1||
2752 NPin|pin@4||-2.5|-2||||
2753 NPin|pin@5||-0.5|3|1|1||
2754 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2755 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2756 NPin|pin@8||-1.5|3|1|1||
2757 NPin|pin@9||-1.5|-3|1|1||
2758 NPin|pin@10||-0.5|-3|1|1||
2759 NPin|pin@11||-2.5|0||||
2760 NPin|pin@12||-1.5|0|1|1||
2761 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2762 NPin|pin@14||-1.5|2|1|1||
2763 NPin|pin@15||-2.5|2||||
2764 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
2765 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
2766 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
2767 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2768 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
2769 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
2770 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
2771 Eina||D5G1;|pin@2||I
2772 Einb||D5G1;|pin@6||I
2773 Einc||D5G1;|pin@13||I
2774 Eout||D5G1;|pin@7||O
2775 X
2776
2777 # Cell nand3MLT;1{sch}
2778 Cnand3MLT;1{sch}||schematic|1021415734000|1159375997206||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-16;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
2779 Ngeneric:Facet-Center|art@0||0|0||||AV
2780 NOff-Page|conn@0||-21|-2|||Y|
2781 NOff-Page|conn@1||-9|0|||Y|
2782 NOff-Page|conn@2||13.5|0|||Y|
2783 NOff-Page|conn@3||-17.5|2||||
2784 IredFive:nand3MLT;1{ic}|nand3MLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2785 Inand3MLT;1{ic}|nand3MLT@1||30|17|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2786 Ngeneric:Invisible-Pin|pin@0||1|11|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
2787 Ngeneric:Invisible-Pin|pin@1||23.5|-10|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2788 Ngeneric:Invisible-Pin|pin@2||-0.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2789 Ngeneric:Invisible-Pin|pin@3||-0.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
2790 Ngeneric:Invisible-Pin|pin@4||-0.5|20.5|||||ART_message(D5G6;)S[nand3LT]
2791 Awire|net@0|||0|nand3MLT@0|inc|-2.5|2|conn@3|y|-15.5|2
2792 Awire|net@1|||0|nand3MLT@0|ina|-2.5|-2|conn@0|y|-19|-2
2793 Awire|net@2|||1800|nand3MLT@0|out|2.5|0|conn@2|a|11.5|0
2794 Awire|net@3|||0|nand3MLT@0|inb|-2.5|0|conn@1|y|-7|0
2795 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2796 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2797 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2798 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
2799 X
2800
2801 # Cell nand3_sy6;1{ic}
2802 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2803 Ngeneric:Facet-Center|art@0||0|0||||AV
2804 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2805 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2806 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2807 NPin|pin@1||-2.5|2||||
2808 NPin|pin@2||-1.5|2|1|1||
2809 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2810 NPin|pin@4||-1.5|0|1|1||
2811 NPin|pin@5||-2.5|0||||
2812 NPin|pin@6||-0.5|-3|1|1||
2813 NPin|pin@7||-1.5|-3|1|1||
2814 NPin|pin@8||-1.5|3|1|1||
2815 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2816 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2817 NPin|pin@11||-0.5|3|1|1||
2818 NPin|pin@12||-2.5|-2||||
2819 NPin|pin@13||-1.5|-2|1|1||
2820 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2821 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
2822 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
2823 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
2824 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
2825 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
2826 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
2827 Eina||D5G1;|pin@14||I
2828 Einb||D5G1;|pin@10||I
2829 Einc||D5G1;|pin@3||I
2830 Eout||D5G1;|pin@9||O
2831 X
2832
2833 # Cell nand3_sy6;1{sch}
2834 Cnand3_sy6;1{sch}||schematic|1021415734000|1159379441239||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2835 Ngeneric:Facet-Center|art@0||0|0||||AV
2836 NOff-Page|conn@0||-19.5|2||||
2837 NOff-Page|conn@1||12.5|0|||Y|
2838 NOff-Page|conn@2||-11|0|||Y|
2839 NOff-Page|conn@3||-22.5|-2||||
2840 IredFive:nand3_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2841 Inand3_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2842 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
2843 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2844 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
2845 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2846 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
2847 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2848 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2849 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2850 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2851 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)S1.67
2852 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)S1.67
2853 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)S1.67
2854 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)S3
2855 X
2856
2857 # Cell nand3en;1{ic}
2858 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2859 Ngeneric:Facet-Center|art@0||0|0||||AV
2860 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2861 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2862 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2863 NPin|pin@1||-0.25|-3|1|1||
2864 NPin|pin@2||-1.5|-1.75|1|1||
2865 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
2866 NPin|pin@4||-1.5|-2|1|1||
2867 NPin|pin@5||-2.5|-2||||
2868 NPin|pin@6||-0.5|3|1|1||
2869 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
2870 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2871 NPin|pin@9||-1.5|3|1|1||
2872 NPin|pin@10||-1.5|-3|1|1||
2873 NPin|pin@11||-0.5|-3|1|1||
2874 NPin|pin@12||-2.5|0||||
2875 NPin|pin@13||-1.5|0|1|1||
2876 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
2877 NPin|pin@15||-1.5|2|1|1||
2878 NPin|pin@16||-2.5|2||||
2879 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
2880 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
2881 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
2882 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
2883 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
2884 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
2885 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
2886 Eina||D5G1;|pin@3||I
2887 Einb||D5G1;|pin@7||I
2888 Einc||D5G1;|pin@14||I
2889 Eout||D5G1;|pin@8||O
2890 X
2891
2892 # Cell nand3en;1{sch}
2893 Cnand3en;1{sch}||schematic|1021415734000|1159375988991||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2894 Ngeneric:Facet-Center|art@0||0|0||||AV
2895 NOff-Page|conn@0||-23.5|-2||||
2896 NOff-Page|conn@1||-9|0|||Y|
2897 NOff-Page|conn@2||15.5|0|||Y|
2898 NOff-Page|conn@3||-18|2||||
2899 IredFive:nand3en;1{ic}|nand3en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2900 Inand3en;1{ic}|nand3en@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2901 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
2902 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3en]
2903 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2904 Ngeneric:Invisible-Pin|pin@3||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2905 Awire|net@0|||0|nand3en@0|inc|-2.5|2|conn@3|y|-16|2
2906 Awire|net@1|||0|nand3en@0|ina|-2.5|-2|conn@0|y|-21.5|-2
2907 Awire|net@2|||1800|nand3en@0|out|2.5|0|conn@2|a|13.5|0
2908 Awire|net@3|||0|nand3en@0|inb|-2.5|0|conn@1|y|-7|0
2909 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
2910 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2911 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2912 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
2913 X
2914
2915 # Cell nand3en_sy;1{ic}
2916 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2917 Ngeneric:Facet-Center|art@0||0|0||||AV
2918 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2919 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2920 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2921 NPin|pin@1||-2.5|2||||
2922 NPin|pin@2||-1.5|2|1|1||
2923 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2924 NPin|pin@4||-1.5|0|1|1||
2925 NPin|pin@5||-2.5|0||||
2926 NPin|pin@6||-0.5|-3|1|1||
2927 NPin|pin@7||-1.5|-3|1|1||
2928 NPin|pin@8||-1.5|3|1|1||
2929 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2930 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2931 NPin|pin@11||-0.5|3|1|1||
2932 NPin|pin@12||-2.5|-2||||
2933 NPin|pin@13||-1.5|-2|1|1||
2934 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2935 NPin|pin@15||-1.5|-1.75|1|1||
2936 NPin|pin@16||-0.25|-3|1|1||
2937 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2938 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2939 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2940 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2941 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2942 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2943 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2944 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
2945 Eina||D5G1;|pin@14||I
2946 Einb||D5G1;|pin@10||I
2947 Einc||D5G1;|pin@3||I
2948 Eout||D5G1;|pin@9||O
2949 X
2950
2951 # Cell nand3en_sy;1{sch}
2952 Cnand3en_sy;1{sch}||schematic|1021415734000|1159375992880||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2953 Ngeneric:Facet-Center|art@0||0|0||||AV
2954 NOff-Page|conn@0||-18|2||||
2955 NOff-Page|conn@1||15.5|0|||Y|
2956 NOff-Page|conn@2||-9|0|||Y|
2957 NOff-Page|conn@3||-23.5|-2||||
2958 IredFive:nand3en_sy;1{ic}|nand3en_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2959 Inand3en_sy;1{ic}|nand3en_@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2960 Ngeneric:Invisible-Pin|pin@0||-0.5|19.5|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
2961 Ngeneric:Invisible-Pin|pin@1||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2962 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2963 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3en_sy]
2964 Awire|net@0|||0|nand3en_@0|inc|-2.5|2|conn@0|y|-16|2
2965 Awire|net@1|||0|nand3en_@0|ina|-2.5|-2|conn@3|y|-21.5|-2
2966 Awire|net@2|||1800|nand3en_@0|out|2.5|0|conn@1|a|13.5|0
2967 Awire|net@3|||0|nand3en_@0|inb|-2.5|0|conn@2|y|-7|0
2968 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
2969 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2970 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2971 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
2972 X
2973
2974 # Cell nms1;1{ic}
2975 Cnms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
2976 Ngeneric:Facet-Center|art@0||0|0||||AV
2977 NPin|pin@0||0|2||||
2978 NPin|pin@1||0|1|1|1||
2979 NPin|pin@2||-0.75|1|1|1||
2980 NPin|pin@3||-0.75|-1|1|1||
2981 NPin|pin@4||0|-1|1|1||
2982 NPin|pin@5||-1.5|-1|1|1||
2983 NPin|pin@6||-1.5|1|1|1||
2984 NPin|pin@7||-1|-2|1|1||
2985 NPin|pin@8||0|-3||||
2986 NPin|pin@9||1|-2||||
2987 NPin|pin@10||0|-2|1|1||
2988 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
2989 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
2990 NPin|pin@13||-3|0|||RR|
2991 NPin|pin@14||-1.5|0|1|1|RR|
2992 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
2993 AThicker|net@1|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
2994 AThicker|net@2|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
2995 AThicker|net@3|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
2996 AThicker|net@4|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
2997 AThicker|net@5|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
2998 AThicker|net@6|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
2999 AThicker|net@7|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3000 AThicker|net@8|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3001 AThicker|net@9|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3002 AThicker|net@10|||FS1800|pin@13||-3|0|pin@14||-1.5|0|ART_color()I78
3003 Ed||D5G1;|pin@11||O
3004 Eg||D5G1;|pin@12||I
3005 X
3006
3007 # Cell nms1;2{sch}
3008 Cnms1;2{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-8.5;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y-15.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-16.5;)I-1|prototype_center()I[0,0]
3009 IredFive:NMOS;1{ic}|NMOS@1||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3010 Ngeneric:Facet-Center|art@0||0|0||||AV
3011 NOff-Page|conn@0||23|0||||
3012 NOff-Page|conn@1||-17|-8||||
3013 NGround|gnd@0||0|-16||||
3014 Inms1;1{ic}|nms1@0||24|10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3015 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1]
3016 Ngeneric:Invisible-Pin|pin@1||-0.5|5.5|||||ART_message(D5G2;)S[N-type transistor to GND]
3017 NWire_Pin|pin@2||0|0||||
3018 Awire|net@0|||2700|gnd@0||0|-14|NMOS@1|s|0|-10
3019 Awire|net@1|||900|pin@2||0|0|NMOS@1|d|0|-6
3020 Awire|net@2|||1800|conn@1|y|-15|-8|NMOS@1|g|-3|-8
3021 Awire|net@3|||1800|pin@2||0|0|conn@0|a|21|0
3022 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.33
3023 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.33
3024 X
3025
3026 # Cell nms1K;1{ic}
3027 Cnms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX3;Y-5;)Sweak0|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3028 Ngeneric:Facet-Center|art@0||0|0||||AV
3029 NOpened-Thicker-Polygon|art@1||-2|-2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3030 NPin|pin@0||0|2||||
3031 NPin|pin@1||0|1|1|1||
3032 NPin|pin@2||-0.75|1|1|1||
3033 NPin|pin@3||-0.75|-1|1|1||
3034 NPin|pin@4||0|-1|1|1||
3035 NPin|pin@5||-1.5|-1|1|1||
3036 NPin|pin@6||-1.5|1|1|1||
3037 NPin|pin@7||-1|-2|1|1||
3038 NPin|pin@8||0|-3||||
3039 NPin|pin@9||1|-2||||
3040 NPin|pin@10||0|-2|1|1||
3041 NPin|pin@11||-3|0|||RR|
3042 NPin|pin@12||-1.5|0|1|1|RR|
3043 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
3044 Nschematic:Bus_Pin|pin@14||0|2|-2|-2||
3045 AThicker|net@0|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
3046 AThicker|net@1|||FS1800|pin@11||-3|0|pin@12||-1.5|0|ART_color()I78
3047 AThicker|net@2|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
3048 AThicker|net@3|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
3049 AThicker|net@4|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3050 AThicker|net@5|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3051 AThicker|net@6|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
3052 AThicker|net@7|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
3053 AThicker|net@8|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
3054 AThicker|net@9|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
3055 AThicker|net@10|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3056 Ed||D5G1;|pin@14||O
3057 Eg||D5G1;|pin@13||I
3058 X
3059
3060 # Cell nms1K;1{sch}
3061 Cnms1K;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-11;Y-14;)I100|ATTR_LEKEEPER(D5G1;HNPTX-11;Y-17;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-15;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-13;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-11;Y-18;)Sweak0|ATTR_su(D5G1;HNPTX-11;Y-16;)I-1|ATTR_verilog_template(D5G1;NTX9.5;Y-22.5;)Snot ($(drive0), highz1) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
3062 IredFive:NMOS;1{ic}|NMOS@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3063 Ngeneric:Facet-Center|art@0||0|0||||AV
3064 NOff-Page|conn@0||5|0||||
3065 NOff-Page|conn@1||-10|-8||||
3066 NGround|gnd@0||0|-17||||
3067 Inms1K;1{ic}|nms1K@0||28|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1
3068 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1K]
3069 Ngeneric:Invisible-Pin|pin@1||0.5|5|||||ART_message(D5G2;)S[N-type keeper transistor to GND]
3070 NWire_Pin|pin@2||0|0||||
3071 Awire|net@0|||2700|gnd@0||0|-15|NMOS@0|s|0|-10
3072 Awire|net@1|||900|pin@2||0|0|NMOS@0|d|0|-6
3073 Awire|net@2|||1800|conn@1|y|-8|-8|NMOS@0|g|-3|-8
3074 Awire|net@3|||1800|pin@2||0|0|conn@0|a|3|0
3075 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2;)F0.33
3076 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.33
3077 X
3078
3079 # Cell nms2;1{ic}
3080 Cnms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3081 Ngeneric:Facet-Center|art@0||0|0||||AV
3082 NPin|pin@0||1.5|4|1|1|Y|
3083 NPin|pin@1||3|4||||
3084 NPin|pin@2||0|2|1|1|YRR|
3085 NPin|pin@3||1.5|5|1|1|YRR|
3086 NPin|pin@4||1.5|3|1|1|YRR|
3087 NPin|pin@5||0|3|1|1|YRR|
3088 NPin|pin@6||0.75|3|1|1|YRR|
3089 NPin|pin@7||0.75|5|1|1|YRR|
3090 NPin|pin@8||0|5|1|1|YRR|
3091 NPin|pin@9||0|6|||RR|
3092 NPin|pin@10||0|2|1|1||
3093 NPin|pin@11||0|1|1|1||
3094 NPin|pin@12||-0.75|1|1|1||
3095 NPin|pin@13||-0.75|-1|1|1||
3096 NPin|pin@14||0|-1|1|1||
3097 NPin|pin@15||-1.5|-1|1|1||
3098 NPin|pin@16||-1.5|1|1|1||
3099 NPin|pin@17||-1|-2|1|1||
3100 NPin|pin@18||0|-3||||
3101 NPin|pin@19||1|-2|1|1||
3102 NPin|pin@20||0|-2|1|1||
3103 NPin|pin@21||-3|0|||RR|
3104 NPin|pin@22||-1.5|0|1|1|RR|
3105 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3106 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3107 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3108 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I78
3109 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I78
3110 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I78
3111 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I78
3112 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3113 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I78
3114 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3115 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I78
3116 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I78
3117 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I78
3118 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I78
3119 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I78
3120 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3121 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3122 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3123 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I78
3124 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3125 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3126 Ed||D5G1;|pin@25||O
3127 Eg||D5G1;|pin@24||I
3128 Eg2||D5G1;|pin@23||I
3129 X
3130
3131 # Cell nms2;1{sch}
3132 Cnms2;1{sch}||schematic|1021415734000|1159375566278||ATTR_Delay(D5G1;HNPX-9;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-9;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-9;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9;Y-15.5;)I-1|prototype_center()I[0,0]
3133 Ngeneric:Facet-Center|art@0||0|0||||AV
3134 NOff-Page|conn@0||8|-4|||YRR|
3135 NOff-Page|conn@1||5|0||||
3136 NOff-Page|conn@2||-10|-8||||
3137 IredFive:nms2b;1{ic}|nms2@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X
3138 Inms2;1{ic}|nms2@1||27|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1
3139 Ngeneric:Invisible-Pin|pin@0||0|10.5|||||ART_message(D5G6;)S[nms2]
3140 Ngeneric:Invisible-Pin|pin@1||0|6|||||ART_message(D5G2;)S[two N-type transistors to GND]
3141 NWire_Pin|pin@2||0|0||||
3142 Awire|net@0|||0|conn@0|y|6|-4|nms2@0|g2|3|-4
3143 Awire|net@1|||900|pin@2||0|0|nms2@0|d|0|-2
3144 Awire|net@2|||0|nms2@0|g|-3|-8|conn@2|y|-8|-8
3145 Awire|net@3|||1800|pin@2||0|0|conn@1|a|3|0
3146 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.67
3147 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.67
3148 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.67
3149 X
3150
3151 # Cell nms2K;1{ic}
3152 Cnms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;HNPX3.5;Y-1.5;)I1|ATTR_su(D5G1;HNPX3.5;Y-3.5;)I-1|prototype_center()I[0,-24000]
3153 Ngeneric:Facet-Center|art@0||0|0||||AV
3154 NOpened-Thicker-Polygon|art@1||0.75|4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3155 NPin|pin@0||-3|4||||
3156 NPin|pin@1||-1.5|4|1|1|Y|
3157 NPin|pin@2||0|2|1|1|YRR|
3158 NPin|pin@3||-1.5|5|1|1|YRR|
3159 NPin|pin@4||-1.5|3|1|1|YRR|
3160 NPin|pin@5||0|3|1|1|YRR|
3161 NPin|pin@6||-0.75|3|1|1|YRR|
3162 NPin|pin@7||-0.75|5|1|1|YRR|
3163 NPin|pin@8||0|5|1|1|YRR|
3164 NPin|pin@9||0|6|||RR|
3165 NPin|pin@10||0|2|1|1||
3166 NPin|pin@11||0|1|1|1||
3167 NPin|pin@12||-0.75|1|1|1||
3168 NPin|pin@13||-0.75|-1|1|1||
3169 NPin|pin@14||0|-1|1|1||
3170 NPin|pin@15||-1.5|-1|1|1||
3171 NPin|pin@16||-1.5|1|1|1||
3172 NPin|pin@17||0|-2||||
3173 NPin|pin@18||-3|0|1|1|RR|
3174 NPin|pin@19||-1.5|0|1|1|RR|
3175 Nschematic:Bus_Pin|pin@20||0|-2|-2|-2||
3176 NPin|pin@21||-3|1|1|1||
3177 NPin|pin@22||-2.5|0.5|1|1||
3178 NPin|pin@23||-3.5|1.5||||
3179 NPin|pin@24||-3|0|1|1|RR|
3180 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3181 Nschematic:Bus_Pin|pin@26||-3|4|-2|-2||
3182 AThicker|net@0|||FS1800|pin@18||-3|0|pin@19||-1.5|0|ART_color()I78
3183 AThicker|net@1|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I78
3184 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I78
3185 AThicker|net@3|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3186 AThicker|net@4|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3187 AThicker|net@5|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3188 AThicker|net@6|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3189 AThicker|net@7|||FS900|pin@14||0|-1|pin@17||0|-2|ART_color()I78
3190 AThicker|net@8|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I78
3191 AThicker|net@9|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I78
3192 AThicker|net@10|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I78
3193 AThicker|net@11|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3194 AThicker|net@12|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3195 AThicker|net@13|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3196 AThicker|net@14|||FS900|pin@21||-3|1|pin@24||-3|0|ART_color()I78
3197 AThicker|net@15|||FS3150|pin@22||-2.5|0.5|pin@23||-3.5|1.5|ART_color()I78
3198 Ein||D5G1;|pin@26||I
3199 Eout||D5G1;|pin@25||O
3200 Esrc||D5G1;|pin@20||I
3201 X
3202
3203 # Cell nms2K;1{sch}
3204 Cnms2K;1{sch}||schematic|1021415734000|1248729521939||ATTR_Delay(D5G1;HNPX-8.5;Y-24;)I100|ATTR_LEKEEPER(D5G1;HNPX-8.5;Y-26;)I1|ATTR_SN(D5G1;HNPX-8.5;Y-23;)I1|ATTR_su(D5G1;HNPX-8.5;Y-25;)I-1|prototype_center()I[0,0]
3205 Iorange40nm:NMOS4f;1{ic}|NMOS4f@2||0|-15|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.25;Y-0.25;)S@SN==0?0:@SN<0.5?(0.5*(2-0.4)/@SN + 0.4):2|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D6G1;NOJPX1.75;Y0.75;)S"P(\"SN\")>1?3*P(\"SN\"):3"
3206 Iorange40nm:NMOS4f;1{ic}|NMOS4f@3||0|-7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
3207 Ngeneric:Facet-Center|art@0||0|0||||AV
3208 NOff-Page|conn@0||-10|-20||||
3209 NOff-Page|conn@1||-10|-7||||
3210 NOff-Page|conn@2||5|0||||
3211 NGround|gnd@0||2|-24||||
3212 Inms2K;1{ic}|nms2K@0||14|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)I-1
3213 NWire_Pin|pin@0||2|-7.5||||
3214 NWire_Pin|pin@1||2|-15.5||||
3215 NWire_Pin|pin@2||0|0||||
3216 Ngeneric:Invisible-Pin|pin@3||0|6|||||ART_message(D5G2;)S[min N-type with resistor to pin]
3217 Ngeneric:Invisible-Pin|pin@4||0|10.5|||||ART_message(D5G6;)S[nms2K]
3218 NWire_Pin|pin@5||0|-20||||
3219 NPower|pwr@0||-3|-12||||
3220 Awire|net@0|||2700|pin@1||2|-15.5|pin@0||2|-7.5
3221 Awire|net@1|||0|pin@1||2|-15.5|NMOS4f@2|b|0|-15.5
3222 Awire|net@2|||2700|pin@5||0|-20|NMOS4f@2|s|0|-17
3223 Awire|net@3|||2700|NMOS4f@2|d|0|-13|NMOS4f@3|s|0|-9
3224 Awire|net@4|||900|pwr@0||-3|-12|NMOS4f@2|g|-3|-15
3225 Awire|net@5|||0|pin@0||2|-7.5|NMOS4f@3|b|0|-7.5
3226 Awire|net@6|||900|pin@2||0|0|NMOS4f@3|d|0|-5
3227 Awire|net@7|||1800|conn@1|y|-8|-7|NMOS4f@3|g|-3|-7
3228 Awire|net@8|||900|pin@1||2|-15.5|gnd@0||2|-22
3229 Awire|net@9|||1800|conn@0|y|-8|-20|pin@5||0|-20
3230 Awire|net@10|||1800|pin@2||0|0|conn@2|a|3|0
3231 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I0
3232 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
3233 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
3234 X
3235
3236 # Cell nms2_sy;3{ic}
3237 Cnms2_sy;3{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3238 Ngeneric:Facet-Center|art@0||0|0||||AV
3239 NPin|pin@0||-2.25|0|1|1||
3240 NPin|pin@1||2.25|4|1|1||
3241 NPin|pin@2||0.25|1|1|1||
3242 NPin|pin@3||-0.25|3|1|1||
3243 NPin|pin@4||0.25|3|1|1||
3244 NPin|pin@5||0.75|1|1|1|YRR|
3245 NPin|pin@6||0.75|-1|1|1|YRR|
3246 NPin|pin@7||0|-1|1|1|YRR|
3247 NPin|pin@8||1.5|-1|1|1|YRR|
3248 NPin|pin@9||1.5|1|1|1|YRR|
3249 NPin|pin@10||2.25|0|1|1|Y|
3250 NPin|pin@11||1.5|0|1|1|Y|
3251 NPin|pin@12||-1.5|3|1|1||
3252 NPin|pin@13||-1.5|5|1|1||
3253 NPin|pin@14||-2.25|4|1|1|RR|
3254 NPin|pin@15||-1.5|4|1|1|RR|
3255 NPin|pin@16||-0.75|3|1|1||
3256 NPin|pin@17||-0.75|5|1|1||
3257 NPin|pin@18||1.5|4|1|1|Y|
3258 NPin|pin@19||3|4||||
3259 NPin|pin@20||1.5|5|1|1|YRR|
3260 NPin|pin@21||1.5|3|1|1|YRR|
3261 NPin|pin@22||0.75|3|1|1|YRR|
3262 NPin|pin@23||0.75|5|1|1|YRR|
3263 NPin|pin@24||0|5|1|1|YRR|
3264 NPin|pin@25||0|6|||RR|
3265 NPin|pin@26||-0.25|1|1|1||
3266 NPin|pin@27||-0.75|1|1|1||
3267 NPin|pin@28||-0.75|-1|1|1||
3268 NPin|pin@29||0|-1|1|1||
3269 NPin|pin@30||-1.5|-1|1|1||
3270 NPin|pin@31||-1.5|1|1|1||
3271 NPin|pin@32||-1|-2|1|1||
3272 NPin|pin@33||0|-3||||
3273 NPin|pin@34||1|-2|1|1||
3274 NPin|pin@35||0|-2|1|1||
3275 NPin|pin@36||-3|0|||RR|
3276 NPin|pin@37||-1.5|0|1|1|RR|
3277 Nschematic:Bus_Pin|pin@38||3|4|-2|-2||
3278 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
3279 Nschematic:Bus_Pin|pin@40||0|6|-2|-2||
3280 AThicker|net@0|||FS2700|pin@0||-2.25|0|pin@14||-2.25|4|ART_color()I78
3281 AThicker|net@1|||FS900|pin@1||2.25|4|pin@10||2.25|0|ART_color()I78
3282 AThicker|net@2|||FS0|pin@19||3|4|pin@18||1.5|4|ART_color()I78
3283 AThicker|net@3|||FS0|pin@5||0.75|1|pin@2||0.25|1|ART_color()I78
3284 AThicker|net@4|||FS2840|pin@2||0.25|1|pin@3||-0.25|3|ART_color()I78
3285 AThicker|net@5|||FS0|pin@3||-0.25|3|pin@16||-0.75|3|ART_color()I78
3286 AThicker|net@6|||FS0|pin@22||0.75|3|pin@4||0.25|3|ART_color()I78
3287 AThicker|net@7|||FS760|pin@4||0.25|3|pin@26||-0.25|1|ART_color()I78
3288 AThicker|net@8|||FS900|pin@9||1.5|1|pin@8||1.5|-1|ART_color()I78
3289 AThicker|net@9|||FS0|pin@6||0.75|-1|pin@7||0|-1|ART_color()I78
3290 AThicker|net@10|||FS900|pin@5||0.75|1|pin@6||0.75|-1|ART_color()I78
3291 AThicker|net@11|||FS0|pin@10||2.25|0|pin@11||1.5|0|ART_color()I78
3292 AThicker|net@12|||FS900|pin@13||-1.5|5|pin@12||-1.5|3|ART_color()I78
3293 AThicker|net@13|||FS1800|pin@14||-2.25|4|pin@15||-1.5|4|ART_color()I78
3294 AThicker|net@14|||FS2700|pin@16||-0.75|3|pin@17||-0.75|5|ART_color()I78
3295 AThicker|net@15|||FS1800|pin@17||-0.75|5|pin@24||0|5|ART_color()I78
3296 AThicker|net@16|||FS900|pin@23||0.75|5|pin@22||0.75|3|ART_color()I78
3297 AThicker|net@17|||FS1800|pin@24||0|5|pin@23||0.75|5|ART_color()I78
3298 AThicker|net@18|||FS900|pin@20||1.5|5|pin@21||1.5|3|ART_color()I78
3299 AThicker|net@19|||FS900|pin@25||0|6|pin@24||0|5|ART_color()I78
3300 AThicker|net@20|||FS0|pin@34||1|-2|pin@35||0|-2|ART_color()I78
3301 AThicker|net@21|||FS2250|pin@33||0|-3|pin@34||1|-2|ART_color()I78
3302 AThicker|net@22|||FS900|pin@29||0|-1|pin@35||0|-2|ART_color()I78
3303 AThicker|net@23|||FS1800|pin@28||-0.75|-1|pin@29||0|-1|ART_color()I78
3304 AThicker|net@24|||FS1800|pin@36||-3|0|pin@37||-1.5|0|ART_color()I78
3305 AThicker|net@25|||FS900|pin@31||-1.5|1|pin@30||-1.5|-1|ART_color()I78
3306 AThicker|net@26|||FS0|pin@35||0|-2|pin@32||-1|-2|ART_color()I78
3307 AThicker|net@27|||FS1350|pin@32||-1|-2|pin@33||0|-3|ART_color()I78
3308 AThicker|net@28|||FS0|pin@26||-0.25|1|pin@27||-0.75|1|ART_color()I78
3309 AThicker|net@29|||FS900|pin@27||-0.75|1|pin@28||-0.75|-1|ART_color()I78
3310 Ed||D5G1;|pin@40||O
3311 Eg||D5G1;|pin@39||I
3312 Eg2||D5G1;|pin@38||I
3313 X
3314
3315 # Cell nms2_sy;1{sch}
3316 Cnms2_sy;1{sch}||schematic|1021415734000|1159375570860||ATTR_Delay(D5G1;HNPX-8;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8;Y-15.5;)I-1|prototype_center()I[0,0]
3317 Ngeneric:Facet-Center|art@0||0|0||||AV
3318 NOff-Page|conn@0||-15|-9||||
3319 NOff-Page|conn@1||16|-5|||YRR|
3320 NOff-Page|conn@2||16.5|0||||
3321 IredFive:nms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S@X
3322 Inms2_sy;3{ic}|nms2_sy@1||22|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1
3323 NWire_Pin|pin@0||0|0||||
3324 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric N-type two-stack]
3325 Ngeneric:Invisible-Pin|pin@2||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3326 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|conn@1|y|14|-5
3327 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
3328 Awire|net@2|||0|nms2_sy@0|g|-3|-9|conn@0|y|-13|-9
3329 Awire|net@3|||0|conn@2|a|14.5|0|pin@0||0|0
3330 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F0.67
3331 Eg||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX1;Y-2;)F0.67
3332 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
3333 X
3334
3335 # Cell nms3;1{ic}
3336 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3337 Ngeneric:Facet-Center|art@0||0|0||||AV
3338 NPin|pin@0||0|10||||
3339 NPin|pin@1||0|9|1|1||
3340 NPin|pin@2||-0.75|9|1|1||
3341 NPin|pin@3||-0.75|7|1|1||
3342 NPin|pin@4||0|7|1|1||
3343 NPin|pin@5||-1.5|7|1|1||
3344 NPin|pin@6||-1.5|9|1|1||
3345 NPin|pin@7||0|6|1|1||
3346 NPin|pin@8||-3|8|||RR|
3347 NPin|pin@9||-1.5|8|1|1|RR|
3348 Ngeneric:Invisible-Pin|pin@10||-3|8||||
3349 Nschematic:Bus_Pin|pin@11||0|10|-2|-2||
3350 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3351 Nschematic:Bus_Pin|pin@13||3|4|-2|-2||
3352 NPin|pin@14||-1.5|0|1|1|RR|
3353 NPin|pin@15||-3|0|||RR|
3354 NPin|pin@16||0|-2|1|1||
3355 NPin|pin@17||1|-2|1|1||
3356 NPin|pin@18||0|-3||||
3357 NPin|pin@19||-1|-2|1|1||
3358 NPin|pin@20||-1.5|1|1|1||
3359 NPin|pin@21||-1.5|-1|1|1||
3360 NPin|pin@22||0|-1|1|1||
3361 NPin|pin@23||-0.75|-1|1|1||
3362 NPin|pin@24||-0.75|1|1|1||
3363 NPin|pin@25||0|1|1|1||
3364 NPin|pin@26||0|2|1|1||
3365 NPin|pin@27||0|6|||RR|
3366 NPin|pin@28||0|5|1|1|YRR|
3367 NPin|pin@29||0.75|5|1|1|YRR|
3368 NPin|pin@30||0.75|3|1|1|YRR|
3369 NPin|pin@31||0|3|1|1|YRR|
3370 NPin|pin@32||1.5|3|1|1|YRR|
3371 NPin|pin@33||1.5|5|1|1|YRR|
3372 NPin|pin@34||0|2|1|1|YRR|
3373 NPin|pin@35||3|4||||
3374 NPin|pin@36||1.5|4|1|1|Y|
3375 AThicker|net@0|||FS900|pin@6||-1.5|9|pin@5||-1.5|7|ART_color()I78
3376 AThicker|net@1|||FS900|pin@0||0|10|pin@1||0|9|ART_color()I78
3377 AThicker|net@2|||FS0|pin@1||0|9|pin@2||-0.75|9|ART_color()I78
3378 AThicker|net@3|||FS1800|pin@3||-0.75|7|pin@4||0|7|ART_color()I78
3379 AThicker|net@4|||FS900|pin@4||0|7|pin@7||0|6|ART_color()I78
3380 AThicker|net@5|||FS1800|pin@8||-3|8|pin@9||-1.5|8|ART_color()I78
3381 AThicker|net@6|||FS900|pin@2||-0.75|9|pin@3||-0.75|7|ART_color()I78
3382 AThicker|net@7|||FS900|pin@20||-1.5|1|pin@21||-1.5|-1|ART_color()I78
3383 AThicker|net@8|||FS1800|pin@23||-0.75|-1|pin@22||0|-1|ART_color()I78
3384 AThicker|net@9|||FS900|pin@22||0|-1|pin@16||0|-2|ART_color()I78
3385 AThicker|net@10|||FS900|pin@26||0|2|pin@25||0|1|ART_color()I78
3386 AThicker|net@11|||FS900|pin@24||-0.75|1|pin@23||-0.75|-1|ART_color()I78
3387 AThicker|net@12|||FS0|pin@25||0|1|pin@24||-0.75|1|ART_color()I78
3388 AThicker|net@13|||FS0|pin@17||1|-2|pin@16||0|-2|ART_color()I78
3389 AThicker|net@14|||FS1350|pin@19||-1|-2|pin@18||0|-3|ART_color()I78
3390 AThicker|net@15|||FS0|pin@16||0|-2|pin@19||-1|-2|ART_color()I78
3391 AThicker|net@16|||FS2250|pin@18||0|-3|pin@17||1|-2|ART_color()I78
3392 AThicker|net@17|||FS1800|pin@15||-3|0|pin@14||-1.5|0|ART_color()I78
3393 AThicker|net@18|||FS900|pin@31||0|3|pin@34||0|2|ART_color()I78
3394 AThicker|net@19|||FS0|pin@30||0.75|3|pin@31||0|3|ART_color()I78
3395 AThicker|net@20|||FS900|pin@27||0|6|pin@28||0|5|ART_color()I78
3396 AThicker|net@21|||FS1800|pin@28||0|5|pin@29||0.75|5|ART_color()I78
3397 AThicker|net@22|||FS900|pin@33||1.5|5|pin@32||1.5|3|ART_color()I78
3398 AThicker|net@23|||FS900|pin@29||0.75|5|pin@30||0.75|3|ART_color()I78
3399 AThicker|net@24|||FS0|pin@35||3|4|pin@36||1.5|4|ART_color()I78
3400 Eina||D5G1;|pin@12||I
3401 Einb||D5G1;|pin@13||I
3402 Einc||D5G1;|pin@10||I
3403 Eout||D5G1;|pin@11||O
3404 X
3405
3406 # Cell nms3;1{sch}
3407 Cnms3;1{sch}||schematic|1021415734000|1159375575060||ATTR_Delay(D5G1;HNPX-9.5;Y-14;)I100|ATTR_LEGATE(D5G1;HNPTX-9.5;Y-15;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9.5;Y-13;)I-1|ATTR_X(D5G1;HNOJPX-9.5;Y-12;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9.5;Y-16;)I-1|prototype_center()I[0,0]
3408 Ngeneric:Facet-Center|art@0||0|0||||AV
3409 NOff-Page|conn@0||-10|0||||
3410 NOff-Page|conn@1||-10|-8||||
3411 NOff-Page|conn@2||5|6||||
3412 NOff-Page|conn@3||8|-4|||YRR|
3413 IredFive:nms3;1{ic}|nms3@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X
3414 Inms3;1{ic}|nms3@1||30|5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3415 NWire_Pin|pin@0||0|6||||
3416 Ngeneric:Invisible-Pin|pin@1||0|12|||||ART_message(D5G2;)S[three N-type transistors to GND]
3417 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G6;)S[nms3]
3418 Awire|net@0|||0|nms3@0|g3|-3|0|conn@0|y|-8|0
3419 Awire|net@1|||0|conn@3|y|6|-4|nms3@0|g2|3|-4
3420 Awire|net@2|||900|pin@0||0|6|nms3@0|d|0|2
3421 Awire|net@3|||0|nms3@0|g|-3|-8|conn@1|y|-8|-8
3422 Awire|net@4|||1800|pin@0||0|6|conn@2|a|3|6
3423 Eina||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y2;)I1
3424 Einb||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)I1
3425 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)I1
3426 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
3427 X
3428
3429 # Cell nms3_sy3;1{ic}
3430 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.25;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3431 Ngeneric:Facet-Center|art@0||0|0||||AV
3432 Ngeneric:Invisible-Pin|pin@0||-0.75|-3.5|||||ART_message(D5G2;)S[sy3]
3433 NPin|pin@1||1.5|4|1|1|Y|
3434 NPin|pin@2||3|4||||
3435 NPin|pin@3||0|2|1|1|YRR|
3436 NPin|pin@4||1.5|5|1|1|YRR|
3437 NPin|pin@5||1.5|3|1|1|YRR|
3438 NPin|pin@6||0|3|1|1|YRR|
3439 NPin|pin@7||0.75|3|1|1|YRR|
3440 NPin|pin@8||0.75|5|1|1|YRR|
3441 NPin|pin@9||0|5|1|1|YRR|
3442 NPin|pin@10||0|6|||RR|
3443 NPin|pin@11||0|2|1|1||
3444 NPin|pin@12||0|1|1|1||
3445 NPin|pin@13||-0.75|1|1|1||
3446 NPin|pin@14||-0.75|-1|1|1||
3447 NPin|pin@15||0|-1|1|1||
3448 NPin|pin@16||-1.5|-1|1|1||
3449 NPin|pin@17||-1.5|1|1|1||
3450 NPin|pin@18||-1|-2|1|1||
3451 NPin|pin@19||0|-3||||
3452 NPin|pin@20||1|-2|1|1||
3453 NPin|pin@21||0|-2|1|1||
3454 NPin|pin@22||-3|0|||RR|
3455 NPin|pin@23||-1.5|0|1|1|RR|
3456 Nschematic:Bus_Pin|pin@24||3|4|-2|-2||
3457 Nschematic:Bus_Pin|pin@25||-3|0|-2|-2||
3458 Nschematic:Bus_Pin|pin@26||0|10|-2|-2||
3459 Ngeneric:Invisible-Pin|pin@27||-3|8||||
3460 NPin|pin@28||-1.5|8|1|1|RR|
3461 NPin|pin@29||-3|8|||RR|
3462 NPin|pin@30||0|6|1|1||
3463 NPin|pin@31||-1.5|9|1|1||
3464 NPin|pin@32||-1.5|7|1|1||
3465 NPin|pin@33||0|7|1|1||
3466 NPin|pin@34||-0.75|7|1|1||
3467 NPin|pin@35||-0.75|9|1|1||
3468 NPin|pin@36||0|9|1|1||
3469 NPin|pin@37||0|10||||
3470 AThicker|net@0|||FS0|pin@2||3|4|pin@1||1.5|4|ART_color()I78
3471 AThicker|net@1|||FS900|pin@8||0.75|5|pin@7||0.75|3|ART_color()I78
3472 AThicker|net@2|||FS900|pin@4||1.5|5|pin@5||1.5|3|ART_color()I78
3473 AThicker|net@3|||FS1800|pin@9||0|5|pin@8||0.75|5|ART_color()I78
3474 AThicker|net@4|||FS900|pin@10||0|6|pin@9||0|5|ART_color()I78
3475 AThicker|net@5|||FS0|pin@7||0.75|3|pin@6||0|3|ART_color()I78
3476 AThicker|net@6|||FS900|pin@6||0|3|pin@3||0|2|ART_color()I78
3477 AThicker|net@7|||FS1800|pin@22||-3|0|pin@23||-1.5|0|ART_color()I78
3478 AThicker|net@8|||FS2250|pin@19||0|-3|pin@20||1|-2|ART_color()I78
3479 AThicker|net@9|||FS0|pin@21||0|-2|pin@18||-1|-2|ART_color()I78
3480 AThicker|net@10|||FS1350|pin@18||-1|-2|pin@19||0|-3|ART_color()I78
3481 AThicker|net@11|||FS0|pin@20||1|-2|pin@21||0|-2|ART_color()I78
3482 AThicker|net@12|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
3483 AThicker|net@13|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
3484 AThicker|net@14|||FS900|pin@11||0|2|pin@12||0|1|ART_color()I78
3485 AThicker|net@15|||FS900|pin@15||0|-1|pin@21||0|-2|ART_color()I78
3486 AThicker|net@16|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
3487 AThicker|net@17|||FS900|pin@17||-1.5|1|pin@16||-1.5|-1|ART_color()I78
3488 AThicker|net@18|||FS900|pin@35||-0.75|9|pin@34||-0.75|7|ART_color()I78
3489 AThicker|net@19|||FS1800|pin@29||-3|8|pin@28||-1.5|8|ART_color()I78
3490 AThicker|net@20|||FS900|pin@33||0|7|pin@30||0|6|ART_color()I78
3491 AThicker|net@21|||FS1800|pin@34||-0.75|7|pin@33||0|7|ART_color()I78
3492 AThicker|net@22|||FS0|pin@36||0|9|pin@35||-0.75|9|ART_color()I78
3493 AThicker|net@23|||FS900|pin@37||0|10|pin@36||0|9|ART_color()I78
3494 AThicker|net@24|||FS900|pin@31||-1.5|9|pin@32||-1.5|7|ART_color()I78
3495 Eina||D5G1;|pin@25||I
3496 Einb||D5G1;|pin@24||I
3497 Einc||D5G1;|pin@27||I
3498 Eout||D5G1;|pin@26||O
3499 X
3500
3501 # Cell nms3_sy3;1{sch}
3502 Cnms3_sy3;1{sch}||schematic|1021415734000|1159375578755||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPTX-20;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y-12.5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y-10.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20;Y-13.5;)I-1|prototype_center()I[0,0]
3503 Ngeneric:Facet-Center|art@0||0|0||||AV
3504 NOff-Page|conn@0||14.5|0|||YRR|
3505 NOff-Page|conn@1||15|9||||
3506 NOff-Page|conn@2||-14.5|-4||||
3507 NOff-Page|conn@3||-14.5|4||||
3508 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||-4|-4|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)S@X
3509 Inms3_sy3;1{ic}|nms3_sy3@1||32|11|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3510 NWire_Pin|pin@0||1|9||||
3511 Ngeneric:Invisible-Pin|pin@1||-2|21.5|||||ART_message(D5G6;)S[nms3_sy3]
3512 Ngeneric:Invisible-Pin|pin@2||-2|17|||||ART_message(D5G2;)S[semi-symmetric three N-type transistors to GND]
3513 Awire|net@0|||1800|conn@3|y|-12.5|4|nms3_sy3@0|g3|-7|4
3514 Awire|net@1|||1800|nms3_sy3@0|g2|7.5|0|conn@0|y|12.5|0
3515 Awire|net@2|||900|pin@0||1|9|nms3_sy3@0|d|1|7
3516 Awire|net@3|||1800|conn@2|y|-12.5|-4|nms3_sy3@0|g|-7|-4
3517 Awire|net@4|||1800|pin@0||1|9|conn@1|a|13|9
3518 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX1.5;Y-2.5;)I1
3519 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
3520 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NY-2;)I1
3521 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
3522 X
3523
3524 # Cell nor2;1{ic}
3525 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3526 Ngeneric:Facet-Center|art@0||0|0||||AV
3527 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3528 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3529 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3530 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
3531 NPin|pin@0||-0.5|-1.75|1|1||
3532 NPin|pin@1||-1|-1.25|1|1||
3533 NPin|pin@2||-1|1|1|1||
3534 NPin|pin@3||-2.5|1||||
3535 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3536 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
3537 NPin|pin@6||-2.5|-1||||
3538 NPin|pin@7||-1|-1|1|1||
3539 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
3540 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
3541 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
3542 AThicker|net@2|||FS0|pin@2||-1|1|pin@3||-2.5|1|ART_color()I78
3543 AThicker|net@3|||FS0|pin@7||-1|-1|pin@6||-2.5|-1|ART_color()I78
3544 Eina||D5G1;|pin@8||I
3545 Einb||D5G1;|pin@5||I
3546 Eout||D5G1;|pin@4||O
3547 X
3548
3549 # Cell nor2;1{sch}
3550 Cnor2;1{sch}||schematic|1021415734000|1159375948527||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3551 Ngeneric:Facet-Center|art@0||0|0||||AV
3552 NOff-Page|conn@0||14|0|||Y|
3553 NOff-Page|conn@1||-15.5|2.5||||
3554 NOff-Page|conn@2||-15.5|-2.5|||Y|
3555 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3556 Inor2;1{ic}|nor2@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3557 NWire_Pin|pin@0||-7.5|-2.5||||
3558 NWire_Pin|pin@1||-7.5|-1||||
3559 NWire_Pin|pin@2||-7.5|1||||
3560 NWire_Pin|pin@3||-7.5|2.5||||
3561 Ngeneric:Invisible-Pin|pin@4||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3562 Ngeneric:Invisible-Pin|pin@5||-2|15|||||ART_message(D5G6;)S[nor2]
3563 Ngeneric:Invisible-Pin|pin@6||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3564 Awire|net@0|||1800|pin@1||-7.5|-1|nor2@0|ina|-2.5|-1
3565 Awire|net@1|||0|conn@0|a|12|0|nor2@0|out|2.5|0
3566 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@2||-7.5|1
3567 Awire|net@3|||900|pin@1||-7.5|-1|pin@0||-7.5|-2.5
3568 Awire|net@4|||0|pin@0||-7.5|-2.5|conn@2|y|-13.5|-2.5
3569 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
3570 Awire|net@6|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3571 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)F1.67
3572 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
3573 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I2
3574 X
3575
3576 # Cell nor2V;1{ic}
3577 Cnor2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-18.25;Y-14.5;)I-1|prototype_center()I[6000,0]
3578 Ngeneric:Facet-Center|art@0||0|0||||AV
3579 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
3580 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3581 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3582 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3583 NThick-Circle|art@5||2|0|1|1|||ART_color()I78
3584 NPin|pin@0||-0.5|-1.75|1|1||
3585 NPin|pin@1||-1|-1.25|1|1||
3586 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
3587 NPin|pin@3||-1|-1|1|1||
3588 NPin|pin@4||-2.5|-1||||
3589 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
3590 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
3591 NPin|pin@7||-2.5|1||||
3592 NPin|pin@8||-1|1|1|1||
3593 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
3594 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
3595 AThicker|net@2|||FS0|pin@3||-1|-1|pin@4||-2.5|-1|ART_color()I78
3596 AThicker|net@3|||FS0|pin@8||-1|1|pin@7||-2.5|1|ART_color()I78
3597 Eina||D5G1;|pin@2||I
3598 Einb||D5G1;|pin@5||I
3599 Eout||D5G1;|pin@6||O
3600 X
3601
3602 # Cell nor2V;1{sch}
3603 Cnor2V;1{sch}||schematic|1021415734000|1084377212000||ATTR_Delay(D5G1;HNPX-15.5;Y-11;)I100|ATTR_M(D5G1;HNPX-15.5;Y-10;)I1|ATTR_WN(D5G1;HNPX-15.5;Y-9;)I1|ATTR_WP(D5G1;HNPX-15.5;Y-8;)I1|ATTR_drive0(D5G1;HNPX-15.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPX-15.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPX-15;Y-14;)I-1|ATTR_verilog_template(D5G1;NTX7;Y-19;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
3604 Ngeneric:Facet-Center|art@0||0|0||||AV
3605 NOff-Page|conn@0||-14|-4||||
3606 NOff-Page|conn@1||14|-4|||RR|
3607 NOff-Page|conn@2||14|0||||
3608 Inms1;1{ic}|nms1@0||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
3609 Inms1;1{ic}|nms1@1||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
3610 Inor2V;1{ic}|nor2V@0||20|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5;)I100|ATTR_M(D5G1;NPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-18.25;Y-14.5;)I-1
3611 Ngeneric:Invisible-Pin|pin@0||11.5|-15.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
3612 NWire_Pin|pin@1||-9|-8||||
3613 Ngeneric:Invisible-Pin|pin@2||-1.5|11.5|||||ART_message(D5G2;)S[two-parameter NOR]
3614 NWire_Pin|pin@3||-9|-4||||
3615 NWire_Pin|pin@4||9|3||||
3616 NWire_Pin|pin@5||9|-4||||
3617 Ngeneric:Invisible-Pin|pin@6||-1.5|16.5|||||ART_message(D5G6;)S[nor2V]
3618 NWire_Pin|pin@7||-9|7||||
3619 NWire_Pin|pin@8||0|0||||
3620 NWire_Pin|pin@9||4|0||||
3621 NWire_Pin|pin@10||-4|0||||
3622 NWire_Pin|pin@11||9|-8||||
3623 Ipms2;1{ic}|pms2@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NTX2;)I1
3624 Awire|net@0|||2700|pin@1||-9|-8|pin@3||-9|-4
3625 Awire|net@1|||0|pin@3||-9|-4|conn@0|y|-12|-4
3626 Awire|net@2|||2700|pin@5||9|-4|pin@4||9|3
3627 Awire|net@3|||1800|pin@5||9|-4|conn@1|y|12|-4
3628 Awire|net@4|||0|pin@4||9|3|pms2@0|g2|3|3
3629 Awire|net@5|||1800|pin@7||-9|7|pms2@0|g|-3|7
3630 Awire|net@6|||2700|pin@8||0|0|pms2@0|d|0|1
3631 Awire|net@7|||0|pin@9||4|0|pin@8||0|0
3632 Awire|net@8|||900|pin@9||4|0|nms1@1|d|4|-6
3633 Awire|net@9|||0|pin@8||0|0|pin@10||-4|0
3634 Awire|net@10|||900|pin@10||-4|0|nms1@0|d|-4|-6
3635 Awire|net@11|||0|nms1@0|g|-7|-8|pin@1||-9|-8
3636 Awire|net@12|||0|pin@11||9|-8|nms1@1|g|7|-8
3637 Awire|net@13|||2700|pin@11||9|-8|pin@5||9|-4
3638 Awire|net@14|||2700|pin@3||-9|-4|pin@7||-9|7
3639 Awire|net@15|||1800|pin@9||4|0|conn@2|a|12|0
3640 Eina||D5G2;|conn@0|a|I
3641 Einb||D5G2;|conn@1|a|I
3642 Eout||D5G2;|conn@2|y|O
3643 X
3644
3645 # Cell nor2_sy;2{ic}
3646 Cnor2_sy;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3647 Ngeneric:Facet-Center|art@0||0|0||||AV
3648 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3649 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3650 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3651 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3652 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3653 NPin|pin@1||-1|-1|1|1||
3654 NPin|pin@2||-2.5|-1||||
3655 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3656 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3657 NPin|pin@5||-2.5|1||||
3658 NPin|pin@6||-1|1|1|1||
3659 NPin|pin@7||-1|-1.25|1|1||
3660 AThicker|net@0|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
3661 AThicker|net@1|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
3662 AThicker|net@2|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
3663 Eina||D5G1;|pin@0||I
3664 Einb||D5G1;|pin@3||I
3665 Eout||D5G1;|pin@4||O
3666 X
3667
3668 # Cell nor2_sy;2{sch}
3669 Cnor2_sy;2{sch}||schematic|1021415734000|1159375955602||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
3670 Ngeneric:Facet-Center|art@0||0|0||||AV
3671 NOff-Page|conn@0||-14|1||||
3672 NOff-Page|conn@1||-14|-1|||Y|
3673 NOff-Page|conn@2||14|0|||Y|
3674 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
3675 Inor2_sy;2{ic}|nor2_sy@1||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3676 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
3677 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
3678 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
3679 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
3680 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
3681 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
3682 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
3683 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
3684 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
3685 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3686 X
3687
3688 # Cell nor2en;1{ic}
3689 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3690 Ngeneric:Facet-Center|art@0||0|0||||AV
3691 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3692 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3693 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3694 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3695 Ngeneric:Invisible-Pin|pin@0||-0.25|-1.25|||||ART_message(D5G1;)S[en]
3696 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
3697 NPin|pin@2||-1|-1|1|1||
3698 NPin|pin@3||-2.5|-1||||
3699 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
3700 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3701 NPin|pin@6||-2.5|1||||
3702 NPin|pin@7||-1|1|1|1||
3703 NPin|pin@8||-1|-1.25|1|1||
3704 NPin|pin@9||-0.5|-1.75|1|1||
3705 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I78
3706 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I78
3707 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I78
3708 AThicker|net@3|||FS2700|pin@8||-1|-1.25|pin@8||-1|-1.25|ART_color()I78
3709 Eina||D5G1;|pin@1||I
3710 Einb||D5G1;|pin@4||I
3711 Eout||D5G1;|pin@5||O
3712 X
3713
3714 # Cell nor2en;1{sch}
3715 Cnor2en;1{sch}||schematic|1021415734000|1159377045073||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3716 Ngeneric:Facet-Center|art@0||0|0||||AV
3717 NOff-Page|conn@0||-15.5|-2.5|||Y|
3718 NOff-Page|conn@1||-15.5|2.5||||
3719 NOff-Page|conn@2||14|0||||
3720 IredFive:nor2en;1{ic}|nor2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3721 Inor2en;1{ic}|nor2en@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3722 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3723 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2en]
3724 Ngeneric:Invisible-Pin|pin@2||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3725 NWire_Pin|pin@3||-7.5|2.5||||
3726 NWire_Pin|pin@4||-7.5|1||||
3727 NWire_Pin|pin@5||-7.5|-1||||
3728 NWire_Pin|pin@6||-7.5|-2.5||||
3729 Awire|net@0|||1800|pin@5||-7.5|-1|nor2en@0|ina|-2.5|-1
3730 Awire|net@1|||0|conn@2|a|12|0|nor2en@0|out|2.5|0
3731 Awire|net@2|||0|nor2en@0|inb|-2.5|1|pin@4||-7.5|1
3732 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3733 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
3734 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
3735 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
3736 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)S1.44
3737 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)S1.67
3738 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)D1.78
3739 X
3740
3741 # Cell nor2k;1{ic}
3742 Cnor2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3743 Ngeneric:Facet-Center|art@0||0|0||||AV
3744 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3745 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
3746 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3747 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3748 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3749 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3750 NPin|pin@1||-1|-1|1|1||
3751 NPin|pin@2||-2.5|-1||||
3752 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3753 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3754 NPin|pin@5||-2.5|1||||
3755 NPin|pin@6||-1|1|1|1||
3756 NPin|pin@7||-1|-1.25|1|1||
3757 NPin|pin@8||-0.5|-1.75|1|1||
3758 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
3759 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
3760 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I78
3761 AThicker|net@3|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
3762 Eina||D5G1;|pin@0||I
3763 Einb||D5G1;|pin@3||I
3764 Eout||D5G1;|pin@4||O
3765 X
3766
3767 # Cell nor2k;1{sch}
3768 Cnor2k;1{sch}||schematic|1021415734000|1159375660125||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEKEEPER(D5G1;HNPX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3769 Ngeneric:Facet-Center|art@0||0|0||||AV
3770 NOff-Page|conn@0||-15.5|-2.5|||Y|
3771 NOff-Page|conn@1||-15.5|2.5||||
3772 NOff-Page|conn@2||14|0||||
3773 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3774 Inor2k;1{ic}|nor2k@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3775 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3776 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2]
3777 Ngeneric:Invisible-Pin|pin@2||17|-12.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3778 NWire_Pin|pin@3||-7.5|2.5||||
3779 NWire_Pin|pin@4||-7.5|1||||
3780 NWire_Pin|pin@5||-7.5|-1||||
3781 NWire_Pin|pin@6||-7.5|-2.5||||
3782 Awire|net@0|||1800|pin@5||-7.5|-1|nor2@0|ina|-2.5|-1
3783 Awire|net@1|||0|conn@2|a|12|0|nor2@0|out|2.5|0
3784 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@4||-7.5|1
3785 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3786 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
3787 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
3788 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
3789 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F1.67
3790 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
3791 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I2
3792 X
3793
3794 # Cell nor2kresetV;1{ic}
3795 Cnor2kresetV;1{ic}||artwork|1021415734000|1248841528989|E|ATTR_Delay(D5G1;HNPX2.5;Y-6.25;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HPTX-1;Y-9.5;)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPTX-7;Y-4;)Sstrong0|ATTR_drive1(D5G1;HPTX-7.25;Y-7.75;)Sstrong1|ATTR_su(D5G1;HPTX-3.25;Y-9.5;)I-1|prototype_center()I[6000,0]
3796 Ngeneric:Facet-Center|art@0||0|0||||AV
3797 NThick-Circle|art@1||-3.75|-4.25|6|6|3200||ART_color()I78|ART_degrees()I800
3798 NThick-Circle|art@2||-1.5|-2.25|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3799 NThick-Circle|art@3||-1.5|-6.25|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3800 NThick-Circle|art@4||2|-4.25|1|1|||ART_color()I78
3801 NOpened-Thicker-Polygon|art@5||0|-4.25|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3802 NPin|pin@0||0.5|-3.25|||R|
3803 NPin|pin@1||0.5|-1.75|1|1|R|
3804 Ngeneric:Invisible-Pin|pin@2||0.5|-1.75||||
3805 NPin|pin@3||-0.5|-6|1|1||
3806 NPin|pin@4||-1|-5.5|1|1||
3807 NPin|pin@5||-1|-3.25|1|1||
3808 NPin|pin@6||-2.5|-3.25||||
3809 Nschematic:Bus_Pin|pin@7||2.5|-4.25|-2|-2||
3810 Nschematic:Bus_Pin|pin@8||-2.5|-3.25|-2|-2||
3811 NPin|pin@9||-2.5|-5.25||||
3812 NPin|pin@10||-1|-5.25|1|1||
3813 Nschematic:Bus_Pin|pin@11||-2.5|-5.25|-2|-2||
3814 AThicker|net@0|||FS900|pin@1||0.5|-1.75|pin@0||0.5|-3.25|ART_color()I78
3815 AThicker|net@1|||FS2700|pin@4||-1|-5.5|pin@4||-1|-5.5|ART_color()I78
3816 AThicker|net@2|||FS3150|pin@3||-0.5|-6|pin@4||-1|-5.5|ART_color()I78
3817 AThicker|net@3|||FS0|pin@5||-1|-3.25|pin@6||-2.5|-3.25|ART_color()I78
3818 AThicker|net@4|||FS0|pin@10||-1|-5.25|pin@9||-2.5|-5.25|ART_color()I78
3819 Eina||D5G1;|pin@11||I
3820 Einb||D5G1;|pin@8||I
3821 Eout||D5G1;|pin@7||O
3822 EresetV||D5G2;|pin@2||I
3823 X
3824
3825 # Cell nor2kresetV;1{sch}
3826 Cnor2kresetV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3827 IredFive:NMOS;1{ic}|NMOS@2||3.88|-11.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3828 IredFive:NMOS;1{ic}|NMOS@3||-4.12|-11.25|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.0
3829 Ngeneric:Facet-Center|art@0||0|0||||AV
3830 NOff-Page|conn@0||4|-17|||R|
3831 NOff-Page|conn@1||15|-5||||
3832 NOff-Page|conn@2||-15.5|0||||
3833 NOff-Page|conn@3||14.5|4|||YRR|
3834 NGround|gnd@0||-4.12|-18.25||||
3835 Inor2kresetV;1{ic}|nor2kres@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEKEEPER()I1
3836 NWire_Pin|pin@0||9|4||||
3837 NWire_Pin|pin@1||4|-13.25||||
3838 NWire_Pin|pin@2||3.88|-5||||
3839 NWire_Pin|pin@3||9|-11.25||||
3840 NWire_Pin|pin@4||-9|-11.25||||
3841 NWire_Pin|pin@5||-9|0||||
3842 NWire_Pin|pin@6||-4.12|-5||||
3843 NWire_Pin|pin@7||0|-5||||
3844 Ngeneric:Invisible-Pin|pin@8||21|-18|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3845 Ngeneric:Invisible-Pin|pin@9||-2|15|||||ART_message(D5G6;)S[nor2kresetV]
3846 Ngeneric:Invisible-Pin|pin@10||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3847 IredFive:pms2;1{ic}|pms2@0||0|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/10.0
3848 Awire|net@0|||1800|pin@5||-9|0|pms2@0|g2|-3|0
3849 Awire|net@1|||1800|pms2@0|g|3|4|pin@0||9|4
3850 Awire|net@2|||2700|pin@7||0|-5|pms2@0|d|0|-2
3851 Awire|net@3|||1800|NMOS@2|s|3.88|-13.25|pin@1||4|-13.25
3852 Awire|net@4|||900|pin@2||3.88|-5|NMOS@2|d|3.88|-9.25
3853 Awire|net@5|||1800|NMOS@2|g|6.88|-11.25|pin@3||9|-11.25
3854 Awire|net@6|||900|NMOS@3|s|-4.12|-13.25|gnd@0||-4.12|-16.25
3855 Awire|net@7|||900|pin@6||-4.12|-5|NMOS@3|d|-4.12|-9.25
3856 Awire|net@8|||0|NMOS@3|g|-7.12|-11.25|pin@4||-9|-11.25
3857 Awire|net@9|||1800|pin@7||0|-5|pin@2||3.88|-5
3858 Awire|net@10|||2700|pin@3||9|-11.25|pin@0||9|4
3859 Awire|net@11|||0|conn@3|y|12.5|4|pin@0||9|4
3860 Awire|net@12|||900|pin@1||4|-13.25|conn@0|y|4|-15
3861 Awire|net@13|||1800|pin@2||3.88|-5|conn@1|a|13|-5
3862 Awire|net@14|||2700|pin@4||-9|-11.25|pin@5||-9|0
3863 Awire|net@15|||0|pin@5||-9|0|conn@2|y|-13.5|0
3864 Awire|net@16|||0|pin@7||0|-5|pin@6||-4.12|-5
3865 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)F0.4
3866 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.167
3867 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D0.5
3868 EresetV||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-3;)I4
3869 X
3870
3871 # Cell nor2n;1{ic}
3872 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3873 Ngeneric:Facet-Center|art@0||0|0||||AV
3874 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I78
3875 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
3876 NThick-Circle|art@3||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3877 NPin|pin@0||-1.5|1|1|1||
3878 NPin|pin@1||-2.5|1||||
3879 NPin|pin@2||0.5|-2|1|1||
3880 NPin|pin@3||-0.5|-2|1|1||
3881 NPin|pin@4||-0.5|2|1|1||
3882 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3883 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
3884 NPin|pin@7||0.5|2|1|1||
3885 NPin|pin@8||-2.5|-1||||
3886 NPin|pin@9||-1.5|-1|1|1||
3887 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
3888 NPin|pin@11||-0.5|-0.75|1|1||
3889 NPin|pin@12||0.75|-2|1|1||
3890 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
3891 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I78
3892 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I78
3893 AThicker|net@3|||FS0|pin@7||0.5|2|pin@4||-0.5|2|ART_color()I78
3894 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
3895 AThicker|net@5|||FS3150|pin@12||0.75|-2|pin@11||-0.5|-0.75|ART_color()I78
3896 Eina||D5G1;|pin@10||I
3897 Einb||D5G1;|pin@6||I
3898 Eout||D5G1;|pin@5||O
3899 X
3900
3901 # Cell nor2n;1{sch}
3902 Cnor2n;1{sch}||schematic|1021415734000|1231281018554||ATTR_Delay(D5G1;HNPX-17.5;Y8;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-17.5;Y7;)I-1|ATTR_X(D5G1;HNOJPX-17.5;Y9;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HNPTX-17.5;Y5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y4;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y6;)I-1|prototype_center()I[0,0]
3903 Ngeneric:Facet-Center|art@0||0|0||||AV
3904 NOff-Page|conn@0||10.75|3.75||||
3905 NOff-Page|conn@1||-8.5|11||||
3906 NOff-Page|conn@2||-8.25|-2||||
3907 IredFive:nor2n;1{ic}|nor2|D5G1;|1.25|3.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3908 Inor2n;1{ic}|nor2n@0||23|19.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEGATE()I1
3909 NWire_Pin|pin@0||-4.75|4.75||||
3910 NWire_Pin|pin@1||-4.75|11||||
3911 NWire_Pin|pin@2||-4.75|2.75||||
3912 NWire_Pin|pin@3||-4.75|-2||||
3913 Ngeneric:Invisible-Pin|pin@4||-2|25|||||ART_message(D5G6;)S[nor2n]
3914 Ngeneric:Invisible-Pin|pin@5||-2|20|||||ART_message(D5G2;)S["one-parameter Nor, looks like Nand"]
3915 Awire|net@0|||1800|nor2|out|3.75|3.75|conn@0|a|8.75|3.75
3916 Awire|net@1|||0|nor2|inb|-1.25|4.75|pin@0||-4.75|4.75
3917 Awire|net@2|||2700|pin@0||-4.75|4.75|pin@1||-4.75|11
3918 Awire|net@3|||0|pin@1||-4.75|11|conn@1|y|-6.5|11
3919 Awire|net@4|||0|nor2|ina|-1.25|2.75|pin@2||-4.75|2.75
3920 Awire|net@5|||900|pin@2||-4.75|2.75|pin@3||-4.75|-2
3921 Awire|net@6|||0|pin@3||-4.75|-2|conn@2|y|-6.25|-2
3922 Eina||D5G2;|conn@2|a|I
3923 Einb||D5G2;|conn@1|a|I
3924 Eout||D5G2;|conn@0|y|O
3925 X
3926
3927 # Cell nor2n_sy;2{ic}
3928 Cnor2n_sy;2{ic}||artwork|1021415734000|1231281340304|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3929 Ngeneric:Facet-Center|art@0||0|0||||AV
3930 NThick-Circle|art@5||-1|-1|1|1|||ART_color()I78
3931 NThick-Circle|art@6||-1|1|1|1|||ART_color()I78
3932 NThick-Circle|art@7||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3933 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3934 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3935 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3936 NPin|pin@8||-1.5|-1|1|1||
3937 NPin|pin@9||-1.5|1|1|1||
3938 NPin|pin@10||-2.5|1||||
3939 NPin|pin@11||0.5|-2|1|1||
3940 NPin|pin@12||-0.5|-2|1|1||
3941 NPin|pin@13||-0.5|2|1|1||
3942 NPin|pin@14||0.5|2|1|1||
3943 NPin|pin@15||-2.5|-1||||
3944 AThicker|net@3|||FS0|pin@11||0.5|-2|pin@12||-0.5|-2|ART_color()I78
3945 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@10||-2.5|1|ART_color()I78
3946 AThicker|net@5|||FS2700|pin@12||-0.5|-2|pin@13||-0.5|2|ART_color()I78
3947 AThicker|net@6|||FS0|pin@14||0.5|2|pin@13||-0.5|2|ART_color()I78
3948 AThicker|net@7|||FS0|pin@8||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
3949 Eina||D5G1;|pin@0||I
3950 Einb||D5G1;|pin@3||I
3951 Eout||D5G1;|pin@4||O
3952 X
3953
3954 # Cell nor2n_sy;2{sch}
3955 Cnor2n_sy;2{sch}||schematic|1021415734000|1231281234643||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
3956 Ngeneric:Facet-Center|art@0||0|0||||AV
3957 NOff-Page|conn@0||-14|1||||
3958 NOff-Page|conn@1||-14|-1|||Y|
3959 NOff-Page|conn@2||14|0|||Y|
3960 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
3961 Inor2n_sy;2{ic}|nor2n_sy@0||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3962 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
3963 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
3964 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)Snor2n_sy
3965 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
3966 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
3967 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
3968 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
3969 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
3970 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
3971 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3972 X
3973
3974 # Cell pms1;1{ic}
3975 Cpms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3976 Ngeneric:Facet-Center|art@0||0|0||||AV
3977 NThick-Circle|art@1||-2|0|1|1|||ART_color()I78
3978 NPin|pin@0||-1.5|1|1|1||
3979 NPin|pin@1||-1.5|-1|1|1||
3980 NPin|pin@2||0.5|1.5||||
3981 NPin|pin@3||-0.5|2.5||||
3982 NPin|pin@4||0|2|1|1||
3983 NPin|pin@5||-2.5|0|1|1|RR|
3984 NPin|pin@6||-3|0|||RR|
3985 NPin|pin@7||0|-2||||
3986 NPin|pin@8||0|-1|1|1||
3987 NPin|pin@9||-0.75|-1|1|1||
3988 NPin|pin@10||-0.75|1|1|1||
3989 NPin|pin@11||0|1|1|1||
3990 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3991 Nschematic:Bus_Pin|pin@13||0|-2|-2|-2||
3992 AThicker|net@0|||FS900|pin@0||-1.5|1|pin@1||-1.5|-1|ART_color()I78
3993 AThicker|net@1|||FS3150|pin@2||0.5|1.5|pin@3||-0.5|2.5|ART_color()I78
3994 AThicker|net@2|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I78
3995 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I78
3996 AThicker|net@4|||FS2700|pin@11||0|1|pin@4||0|2|ART_color()I78
3997 AThicker|net@5|||FS1800|pin@6||-3|0|pin@5||-2.5|0|ART_color()I78
3998 AThicker|net@6|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I78
3999 AThicker|net@7|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I78
4000 Ed||D5G1;|pin@13||O
4001 Eg||D5G1;|pin@12||I
4002 X
4003
4004 # Cell pms1;2{sch}
4005 Cpms1;2{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-8.5;Y2.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y3.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-0.5;)I-1|prototype_center()I[0,0]
4006 IredFive:PMOS;1{ic}|PMOS@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4007 Ngeneric:Facet-Center|art@0||0|0||||AV
4008 NOff-Page|conn@0||15.5|0||||
4009 NOff-Page|conn@1||-13.5|7||||
4010 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[P-type transistor to VDD]
4011 Ngeneric:Invisible-Pin|pin@1||-1|23|||||ART_message(D5G6;)S[pms1]
4012 NWire_Pin|pin@2||0|0||||
4013 Ipms1;1{ic}|pms1@0||14|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)S""
4014 NPower|pwr@0||0|14||||
4015 Awire|net@0|||900|pwr@0||0|14|PMOS@1|s|0|9
4016 Awire|net@1|||1800|conn@1|y|-11.5|7|PMOS@1|g|-3|7
4017 Awire|net@2|||2700|pin@2||0|0|PMOS@1|d|0|5
4018 Awire|net@3|||1800|pin@2||0|0|conn@0|a|13.5|0
4019 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4020 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.67
4021 X
4022
4023 # Cell pms1K;1{ic}
4024 Cpms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4025 Ngeneric:Facet-Center|art@0||0|0||||AV
4026 NOpened-Thicker-Polygon|art@1||-1.5|2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4027 NThick-Circle|art@2||-1.5|0|0.5|0.5|||ART_color()I78
4028 NPin|pin@0||-1.75|0|1|1||
4029 NPin|pin@1||-3|0||||
4030 NPin|pin@2||-1.25|0.75|1|1||
4031 NPin|pin@3||-1.25|-0.75|1|1||
4032 NPin|pin@4||0.5|1.5||||
4033 NPin|pin@5||-0.5|2.5||||
4034 NPin|pin@6||0|2|1|1||
4035 NPin|pin@7||0|-2||||
4036 NPin|pin@8||0|-0.75|1|1||
4037 NPin|pin@9||-0.75|-0.75|1|1||
4038 NPin|pin@10||-0.75|0.75|1|1||
4039 NPin|pin@11||0|0.75|1|1||
4040 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
4041 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
4042 AThicker|net@0|||FS0|pin@0||-1.75|0|pin@1||-3|0|ART_color()I78
4043 AThicker|net@1|||FS900|pin@2||-1.25|0.75|pin@3||-1.25|-0.75|ART_color()I78
4044 AThicker|net@2|||FS900|pin@10||-0.75|0.75|pin@9||-0.75|-0.75|ART_color()I78
4045 AThicker|net@3|||FS900|pin@8||0|-0.75|pin@7||0|-2|ART_color()I78
4046 AThicker|net@4|||FS1800|pin@9||-0.75|-0.75|pin@8||0|-0.75|ART_color()I78
4047 AThicker|net@5|||FS3150|pin@4||0.5|1.5|pin@5||-0.5|2.5|ART_color()I78
4048 AThicker|net@6|||FS2700|pin@11||0|0.75|pin@6||0|2|ART_color()I78
4049 AThicker|net@7|||FS0|pin@11||0|0.75|pin@10||-0.75|0.75|ART_color()I78
4050 Ed||D5G1;|pin@12||O
4051 Eg||D5G1;|pin@13||I
4052 X
4053
4054 # Cell pms1K;1{sch}
4055 Cpms1K;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-10.5;Y1.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-10.5;Y-1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-10.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-10.5;Y2.5;)SLE.getdrive()|ATTR_drive1(D5G1;HNPTX-10.5;Y-2.5;)Sweak1|ATTR_su(D5G1;HNPTX-10.5;Y-0.5;)I-1|ATTR_verilog_template(D5G1;NTX-4;Y17;)Snot (highz0, $(drive1)) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
4056 IredFive:PMOS;1{ic}|PMOS@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4057 Ngeneric:Facet-Center|art@0||0|0||||AV
4058 NOff-Page|conn@0||8|0||||
4059 NOff-Page|conn@1||-14|7||||
4060 NWire_Pin|pin@0||0|0||||
4061 Ngeneric:Invisible-Pin|pin@1||-0.5|19.5|||||ART_message(D5G2;)S[P-type keeper transistor to VDD]
4062 Ngeneric:Invisible-Pin|pin@2||-0.5|24|||||ART_message(D5G6;)S[pms1K]
4063 Ipms1K;1{ic}|pms1K@0||10|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
4064 NPower|pwr@0||0|14||||
4065 Awire|net@0|||900|pwr@0||0|14|PMOS@1|s|0|9
4066 Awire|net@1|||1800|conn@1|y|-12|7|PMOS@1|g|-3|7
4067 Awire|net@2|||2700|pin@0||0|0|PMOS@1|d|0|5
4068 Awire|net@3|||0|conn@0|a|6|0|pin@0||0|0
4069 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4070 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
4071 X
4072
4073 # Cell pms2;1{ic}
4074 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4075 Ngeneric:Facet-Center|art@0||0|0||||AV
4076 NThick-Circle|art@1||2|-4|1|1|||ART_color()I78
4077 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4078 NPin|pin@0||0|-3|1|1|YRR|
4079 NPin|pin@1||0.75|-3|1|1|YRR|
4080 NPin|pin@2||0.75|-5|1|1|YRR|
4081 NPin|pin@3||0|-5|1|1|YRR|
4082 NPin|pin@4||0|-6|||RR|
4083 NPin|pin@5||2.5|-4|1|1|RR|
4084 NPin|pin@6||3|-4|||RR|
4085 NPin|pin@7||0|-2|1|1|YRR|
4086 NPin|pin@8||1.5|-5|1|1|YRR|
4087 NPin|pin@9||1.5|-3|1|1|YRR|
4088 NPin|pin@10||-1.5|1|1|1||
4089 NPin|pin@11||-1.5|-1|1|1||
4090 NPin|pin@12||0.5|1.5|1|1||
4091 NPin|pin@13||-0.5|2.5||||
4092 NPin|pin@14||0|2|1|1||
4093 NPin|pin@15||-2.5|0|1|1|RR|
4094 NPin|pin@16||-3|0|||RR|
4095 NPin|pin@17||0|-2|1|1|YRR|
4096 NPin|pin@18||0|-1|1|1|YRR|
4097 NPin|pin@19||-0.75|-1|1|1||
4098 NPin|pin@20||-0.75|1|1|1||
4099 NPin|pin@21||0|1|1|1||
4100 Nschematic:Bus_Pin|pin@22||3|-4|-2|-2||
4101 Nschematic:Bus_Pin|pin@23||0|-6|-2|-2||
4102 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4103 AThicker|net@0|||FS900|pin@1||0.75|-3|pin@2||0.75|-5|ART_color()I78
4104 AThicker|net@1|||FS900|pin@3||0|-5|pin@4||0|-6|ART_color()I78
4105 AThicker|net@2|||FS0|pin@2||0.75|-5|pin@3||0|-5|ART_color()I78
4106 AThicker|net@3|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4107 AThicker|net@4|||FS900|pin@9||1.5|-3|pin@8||1.5|-5|ART_color()I78
4108 AThicker|net@5|||FS1800|pin@0||0|-3|pin@1||0.75|-3|ART_color()I78
4109 AThicker|net@6|||FS2700|pin@0||0|-3|pin@7||0|-2|ART_color()I78
4110 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@13||-0.5|2.5|ART_color()I78
4111 AThicker|net@8|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4112 AThicker|net@9|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4113 AThicker|net@10|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4114 AThicker|net@11|||FS1800|pin@16||-3|0|pin@15||-2.5|0|ART_color()I78
4115 AThicker|net@12|||FS2700|pin@21||0|1|pin@14||0|2|ART_color()I78
4116 AThicker|net@13|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4117 AThicker|net@14|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I78
4118 Ed||D5G1;|pin@23||O
4119 Eg||D5G1;|pin@24||I
4120 Eg2||D5G1;|pin@22||I
4121 X
4122
4123 # Cell pms2;1{sch}
4124 Cpms2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_LEGATE(D5G1;HNPTX-12.5;Y5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y7;)I-1|ATTR_X(D5G1;HNOJPX-12.25;Y9;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-12.5;Y6;)I-1|prototype_center()I[0,0]
4125 IredFive:PMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4126 IredFive:PMOS;1{ic}|PMOS@3||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4127 Ngeneric:Facet-Center|art@0||0|0||||AV
4128 NOff-Page|conn@0||8.5|7|||YRR|
4129 NOff-Page|conn@1||8|0||||
4130 NOff-Page|conn@2||-8.5|15||||
4131 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[two P-type transistors to VDD]
4132 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
4133 NWire_Pin|pin@2||0|0||||
4134 Ipms2;1{ic}|pms2@0||20.25|21.75|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1
4135 NPower|pwr@0||0|22||||
4136 Awire|net@0|||900|pwr@0||0|22|PMOS@2|s|0|17
4137 Awire|net@1|||1800|conn@2|y|-6.5|15|PMOS@2|g|-3|15
4138 Awire|net@2|||900|PMOS@2|d|0|13|PMOS@3|s|0|9
4139 Awire|net@3|||0|conn@0|y|6.5|7|PMOS@3|g|3|7
4140 Awire|net@4|||2700|pin@2||0|0|PMOS@3|d|0|5
4141 Awire|net@5|||1800|pin@2||0|0|conn@1|a|6|0
4142 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
4143 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4144 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4145 X
4146
4147 # Cell pms2K;1{ic}
4148 Cpms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;HNOJPX3.5;Y1;)SLE.getdrive()|ATTR_su(D5G1;HNPX3.5;Y-1;)I-1|prototype_center()I[0,24000]
4149 Ngeneric:Facet-Center|art@0||0|0||||AV
4150 NOpened-Thicker-Polygon|art@1||0.75|-4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4151 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I78
4152 NThick-Circle|art@3||-2|0|1|1|||ART_color()I78
4153 Nschematic:Wire_Pin|pin@0||0|2|-0.5|-0.5||
4154 NPin|pin@1||-3|-1|1|1||
4155 NPin|pin@2||-3|-4|1|1||
4156 NPin|pin@3||-2.5|-4|1|1||
4157 NPin|pin@4||-2.5|0|1|1||
4158 NPin|pin@5||-3|0|1|1||
4159 NPin|pin@6||0|-3|1|1|YRR|
4160 NPin|pin@7||-0.75|-3|1|1|YRR|
4161 NPin|pin@8||-0.75|-5|1|1|YRR|
4162 NPin|pin@9||0|-5|1|1|YRR|
4163 NPin|pin@10||0|-6|||RR|
4164 NPin|pin@11||0|-2|1|1|YRR|
4165 NPin|pin@12||-1.5|-5|1|1|YRR|
4166 NPin|pin@13||-1.5|-3|1|1|YRR|
4167 NPin|pin@14||-1.5|1|1|1||
4168 NPin|pin@15||-1.5|-1|1|1||
4169 NPin|pin@16||0|2||||
4170 NPin|pin@17||0|-2|1|1|YRR|
4171 NPin|pin@18||0|-1|1|1|YRR|
4172 NPin|pin@19||-0.75|-1|1|1||
4173 NPin|pin@20||-0.75|1|1|1||
4174 NPin|pin@21||0|1|1|1||
4175 NPin|pin@22||-2|-1|1|1||
4176 NPin|pin@23||-3|-2|1|1||
4177 NPin|pin@24||-4|-1||||
4178 Nschematic:Bus_Pin|pin@25||-3|-4|-2|-2||
4179 Nschematic:Bus_Pin|pin@26||0|-6|-2|-2||
4180 AThicker|net@0|||FS2700|pin@1||-3|-1|pin@5||-3|0|ART_color()I78
4181 AThicker|net@1|||FS1800|pin@24||-4|-1|pin@1||-3|-1|ART_color()I78
4182 AThicker|net@2|||FS1800|pin@1||-3|-1|pin@22||-2|-1|ART_color()I78
4183 AThicker|net@3|||FS0|pin@3||-2.5|-4|pin@2||-3|-4|ART_color()I78
4184 AThicker|net@4|||FS0|pin@4||-2.5|0|pin@5||-3|0|ART_color()I78
4185 AThicker|net@5|||FS900|pin@9||0|-5|pin@10||0|-6|ART_color()I78
4186 AThicker|net@6|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4187 AThicker|net@7|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4188 AThicker|net@8|||FS2700|pin@21||0|1|pin@16||0|2|ART_color()I78
4189 AThicker|net@9|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4190 AThicker|net@10|||FS900|pin@14||-1.5|1|pin@15||-1.5|-1|ART_color()I78
4191 AThicker|net@11|||FS900|pin@13||-1.5|-3|pin@12||-1.5|-5|ART_color()I78
4192 AThicker|net@12|||FS900|pin@7||-0.75|-3|pin@8||-0.75|-5|ART_color()I78
4193 AThicker|net@13|||FS2700|pin@6||0|-3|pin@11||0|-2|ART_color()I78
4194 AThicker|net@14|||FS1800|pin@8||-0.75|-5|pin@9||0|-5|ART_color()I78
4195 AThicker|net@15|||FS0|pin@6||0|-3|pin@7||-0.75|-3|ART_color()I78
4196 AThicker|net@16|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4197 AThicker|net@17|||FS1350|pin@24||-4|-1|pin@23||-3|-2|ART_color()I78
4198 AThicker|net@18|||FS2250|pin@23||-3|-2|pin@22||-2|-1|ART_color()I78
4199 Ein||D5G1;|pin@25||I
4200 Eout||D5G1;|pin@26||O
4201 Esrc||D5G1;|pin@0||I
4202 X
4203
4204 # Cell pms2K;1{sch}
4205 Cpms2K;1{sch}||schematic|1021415734000|1248729478630||ATTR_Delay(D5G1;HNPX-9;Y1;)I100|ATTR_LEKEEPER(D5G1;HNPX-9;Y-1;)I1|ATTR_SP(D5G1;HNOJPX-9;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPX-9;)I-1|prototype_center()I[0,0]
4206 Iorange40nm:PMOS4f;1{ic}|PMOS4f@2||0|7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NPX3.5;)I2|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D6G1;NPX2;Y1;)I3
4207 Iorange40nm:PMOS4f;1{ic}|PMOS4f@3||0|14|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@SP==0?0:@SP<0.25?(0.25*(2-0.4)/@SP + 0.4):2|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D6G1;NOJPX2;Y1;)S@SP>1?3*@SP:3
4208 Ngeneric:Facet-Center|art@0||0|0||||AV
4209 NOff-Page|conn@0||-14.25|18.75||||
4210 NOff-Page|conn@1||-14|7||||
4211 NOff-Page|conn@2||8|0||||
4212 NGround|gnd@0||-6|10||||
4213 NWire_Pin|pin@0||2|7.5||||
4214 NWire_Pin|pin@1||2|14.5||||
4215 NWire_Pin|pin@2||0|18.75||||
4216 NWire_Pin|pin@3||-6|14||||
4217 Ngeneric:Invisible-Pin|pin@4||-1|31|||||ART_message(D5G6;)S[pms2K]
4218 Ngeneric:Invisible-Pin|pin@5||-1|26|||||ART_message(D5G2;)S[min P-type with resistor to pin]
4219 NWire_Pin|pin@6||0|0||||
4220 Ipms2K;1{ic}|pms2K@0||11|19|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)I-1
4221 NPower|pwr@0||2|21||||
4222 Awire|net@0|||900|pin@1||2|14.5|pin@0||2|7.5
4223 Awire|net@1|||900|pin@2||0|18.75|PMOS4f@3|s|0|16
4224 Awire|net@2|||0|pin@1||2|14.5|PMOS4f@3|b|0|14.5
4225 Awire|net@3|||1800|pin@3||-6|14|PMOS4f@3|g|-3|14
4226 Awire|net@4|||900|PMOS4f@3|d|0|12|PMOS4f@2|s|0|9
4227 Awire|net@5|||0|pin@0||2|7.5|PMOS4f@2|b|0|7.5
4228 Awire|net@6|||1800|conn@1|y|-12|7|PMOS4f@2|g|-3|7
4229 Awire|net@7|||2700|pin@6||0|0|PMOS4f@2|d|0|5
4230 Awire|net@8|||900|pwr@0||2|21|pin@1||2|14.5
4231 Awire|net@9|||0|pin@2||0|18.75|conn@0|y|-12.25|18.75
4232 Awire|net@10|||900|pin@3||-6|14|gnd@0||-6|12
4233 Awire|net@11|||0|conn@2|a|6|0|pin@6||0|0
4234 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I0
4235 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
4236 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I1
4237 X
4238
4239 # Cell pms2_sy;1{ic}
4240 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4241 Ngeneric:Facet-Center|art@0||0|0||||AV
4242 NThick-Circle|art@1||-1.75|-4|1|1|||ART_color()I78
4243 NThick-Circle|art@2||1.75|0|1|1|||ART_color()I78
4244 NThick-Circle|art@3||1.75|-4|1|1|||ART_color()I78
4245 NThick-Circle|art@4||-1.75|0|1|1|||ART_color()I78
4246 NPin|pin@0||-2.25|0|1|1||
4247 NPin|pin@1||-2.25|-4|1|1||
4248 NPin|pin@2||2.25|-4|1|1||
4249 NPin|pin@3||2.25|0|1|1||
4250 NPin|pin@4||2.5|0|1|1||
4251 NPin|pin@5||2.5|-4|1|1|RR|
4252 NPin|pin@6||3|-4|||RR|
4253 NPin|pin@7||-2.5|-4|1|1||
4254 NPin|pin@8||-2.5|0|1|1|RR|
4255 NPin|pin@9||-3|0|||RR|
4256 NPin|pin@10||-0.25|-1|1|1||
4257 NPin|pin@11||-1.25|-3|1|1||
4258 NPin|pin@12||-1.25|-5|1|1||
4259 NPin|pin@13||0|-5|1|1|YRR|
4260 NPin|pin@14||-0.75|-5|1|1||
4261 NPin|pin@15||-0.75|-3|1|1||
4262 NPin|pin@16||-0.25|-3|1|1||
4263 NPin|pin@17||0|1|1|1|YRR|
4264 NPin|pin@18||0.75|1|1|1|YRR|
4265 NPin|pin@19||0.75|-1|1|1|YRR|
4266 NPin|pin@20||0.25|-1|1|1||
4267 NPin|pin@21||1.25|-1|1|1|YRR|
4268 NPin|pin@22||1.25|1|1|1|YRR|
4269 NPin|pin@23||0.25|-3|1|1|YRR|
4270 NPin|pin@24||0.75|-3|1|1|YRR|
4271 NPin|pin@25||0.75|-5|1|1|YRR|
4272 NPin|pin@26||0|-5|1|1|YRR|
4273 NPin|pin@27||0|-6|||RR|
4274 NPin|pin@28||1.25|-5|1|1|YRR|
4275 NPin|pin@29||1.25|-3|1|1|YRR|
4276 NPin|pin@30||-1.25|1|1|1||
4277 NPin|pin@31||-1.25|-1|1|1||
4278 NPin|pin@32||0.5|1.5|1|1||
4279 NPin|pin@33||-0.5|2.5||||
4280 NPin|pin@34||0|2|1|1||
4281 NPin|pin@35||-0.75|-1|1|1||
4282 NPin|pin@36||-0.75|1|1|1||
4283 NPin|pin@37||0|1|1|1||
4284 Nschematic:Bus_Pin|pin@38||0|-6|-2|-2||
4285 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
4286 Nschematic:Bus_Pin|pin@40||3|-4|-2|-2||
4287 AThicker|net@0|||FS0|pin@0||-2.25|0|pin@8||-2.5|0|ART_color()I78
4288 AThicker|net@1|||FS0|pin@1||-2.25|-4|pin@7||-2.5|-4|ART_color()I78
4289 AThicker|net@2|||FS1800|pin@2||2.25|-4|pin@5||2.5|-4|ART_color()I78
4290 AThicker|net@3|||FS1800|pin@3||2.25|0|pin@4||2.5|0|ART_color()I78
4291 AThicker|net@4|||FS900|pin@4||2.5|0|pin@5||2.5|-4|ART_color()I78
4292 AThicker|net@5|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4293 AThicker|net@6|||FS2700|pin@7||-2.5|-4|pin@8||-2.5|0|ART_color()I78
4294 AThicker|net@7|||FS1800|pin@9||-3|0|pin@8||-2.5|0|ART_color()I78
4295 AThicker|net@8|||FS2560|pin@16||-0.25|-3|pin@20||0.25|-1|ART_color()I78
4296 AThicker|net@9|||FS2840|pin@23||0.25|-3|pin@10||-0.25|-1|ART_color()I78
4297 AThicker|net@10|||FS0|pin@10||-0.25|-1|pin@35||-0.75|-1|ART_color()I78
4298 AThicker|net@11|||FS1800|pin@14||-0.75|-5|pin@13||0|-5|ART_color()I78
4299 AThicker|net@12|||FS0|pin@16||-0.25|-3|pin@15||-0.75|-3|ART_color()I78
4300 AThicker|net@13|||FS900|pin@15||-0.75|-3|pin@14||-0.75|-5|ART_color()I78
4301 AThicker|net@14|||FS900|pin@11||-1.25|-3|pin@12||-1.25|-5|ART_color()I78
4302 AThicker|net@15|||FS0|pin@19||0.75|-1|pin@20||0.25|-1|ART_color()I78
4303 AThicker|net@16|||FS1800|pin@17||0|1|pin@18||0.75|1|ART_color()I78
4304 AThicker|net@17|||FS900|pin@18||0.75|1|pin@19||0.75|-1|ART_color()I78
4305 AThicker|net@18|||FS900|pin@22||1.25|1|pin@21||1.25|-1|ART_color()I78
4306 AThicker|net@19|||FS2700|pin@37||0|1|pin@34||0|2|ART_color()I78
4307 AThicker|net@20|||FS3150|pin@32||0.5|1.5|pin@33||-0.5|2.5|ART_color()I78
4308 AThicker|net@21|||FS0|pin@37||0|1|pin@36||-0.75|1|ART_color()I78
4309 AThicker|net@22|||FS900|pin@30||-1.25|1|pin@31||-1.25|-1|ART_color()I78
4310 AThicker|net@23|||FS900|pin@36||-0.75|1|pin@35||-0.75|-1|ART_color()I78
4311 AThicker|net@24|||FS900|pin@26||0|-5|pin@27||0|-6|ART_color()I78
4312 AThicker|net@25|||FS900|pin@29||1.25|-3|pin@28||1.25|-5|ART_color()I78
4313 AThicker|net@26|||FS900|pin@24||0.75|-3|pin@25||0.75|-5|ART_color()I78
4314 AThicker|net@27|||FS0|pin@25||0.75|-5|pin@26||0|-5|ART_color()I78
4315 AThicker|net@28|||FS1800|pin@23||0.25|-3|pin@24||0.75|-3|ART_color()I78
4316 Ed||D5G1;|pin@38||O
4317 Eg||D5G1;|pin@39||I
4318 Eg2||D5G1;|pin@40||I
4319 X
4320
4321 # Cell pms2_sy;1{sch}
4322 Cpms2_sy;1{sch}||schematic|1021415734000|1159375585690||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-21;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20.5;Y-11.5;)I-1|ATTR_X(D5G1;HNOJPX-20.5;Y-9.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20.5;Y-12.5;)I-1|prototype_center()I[0,0]
4323 Ngeneric:Facet-Center|art@0||0|0||||AV
4324 NOff-Page|conn@0||16.5|-11|||Y|
4325 NOff-Page|conn@1||16|-4|||YRR|
4326 NOff-Page|conn@2||-13|0||||
4327 NWire_Pin|pin@0||0|-11||||
4328 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
4329 Ngeneric:Invisible-Pin|pin@2||-2.5|9|||||ART_message(D5G2;)S[symmetric P-type two-stack]
4330 IredFive:pms2_sy;1{ic}|pms2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
4331 Ipms2_sy;1{ic}|pms2_sy@1||28|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1
4332 Awire|net@0|||1800|pms2_sy@0|g2|3|-4|conn@1|y|14|-4
4333 Awire|net@1|||2700|pin@0||0|-11|pms2_sy@0|d|0|-6
4334 Awire|net@2|||0|pms2_sy@0|g|-3|0|conn@2|y|-11|0
4335 Awire|net@3|||1800|pin@0||0|-11|conn@0|a|14.5|-11
4336 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F1.33
4337 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)F1.33
4338 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
4339 X
4340
4341 # Cell pms3;1{ic}
4342 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3.5;Y-1;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4343 Ngeneric:Facet-Center|art@0||0|0||||AV
4344 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I78
4345 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4346 NThick-Circle|art@3||2|-4|1|1|||ART_color()I78
4347 NPin|pin@0||-3|-8|1|1|RR|
4348 NPin|pin@1||-2.5|-8|||RR|
4349 NPin|pin@2||-1.5|-9|1|1|YRR|
4350 NPin|pin@3||-1.5|-7|1|1|YRR|
4351 NPin|pin@4||0|-10|0.5|0.5||
4352 NPin|pin@5||0|-9|0.5|0.5||
4353 NPin|pin@6||-0.75|-9|0.5|0.5||
4354 NPin|pin@7||-0.75|-7|0.5|0.5||
4355 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
4356 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
4357 Nschematic:Bus_Pin|pin@10||0|-10|-2|-2||
4358 Nschematic:Bus_Pin|pin@11||3|-4|-2|-2||
4359 NPin|pin@12||0|1|1|1||
4360 NPin|pin@13||-0.75|1|1|1||
4361 NPin|pin@14||-0.75|-1|1|1||
4362 NPin|pin@15||0|-1|1|1|YRR|
4363 NPin|pin@16||0|-2|1|1|YRR|
4364 NPin|pin@17||-3|0|||RR|
4365 NPin|pin@18||-2.5|0|1|1|RR|
4366 NPin|pin@19||0|2|1|1||
4367 NPin|pin@20||-0.5|2.5||||
4368 NPin|pin@21||0.5|1.5|1|1||
4369 NPin|pin@22||-1.5|-1|1|1||
4370 NPin|pin@23||-1.5|1|1|1||
4371 NPin|pin@24||1.5|-3|1|1|YRR|
4372 NPin|pin@25||1.5|-5|1|1|YRR|
4373 NPin|pin@26||0|-2|1|1|YRR|
4374 NPin|pin@27||3|-4|||RR|
4375 NPin|pin@28||2.5|-4|1|1|RR|
4376 NPin|pin@29||0|-7|||RR|
4377 NPin|pin@30||0|-5|1|1|YRR|
4378 NPin|pin@31||0.75|-5|1|1|YRR|
4379 NPin|pin@32||0.75|-3|1|1|YRR|
4380 NPin|pin@33||0|-3|1|1|YRR|
4381 AThicker|net@0|||FS1800|pin@0||-3|-8|pin@1||-2.5|-8|ART_color()I78
4382 AThicker|net@1|||FS900|pin@3||-1.5|-7|pin@2||-1.5|-9|ART_color()I78
4383 AThicker|net@2|||FS2700|pin@4||0|-10|pin@5||0|-9|ART_color()I78
4384 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I78
4385 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I78
4386 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@29||0|-7|ART_color()I78
4387 AThicker|net@6|||FS900|pin@23||-1.5|1|pin@22||-1.5|-1|ART_color()I78
4388 AThicker|net@7|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
4389 AThicker|net@8|||FS2700|pin@12||0|1|pin@19||0|2|ART_color()I78
4390 AThicker|net@9|||FS1800|pin@17||-3|0|pin@18||-2.5|0|ART_color()I78
4391 AThicker|net@10|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
4392 AThicker|net@11|||FS900|pin@15||0|-1|pin@16||0|-2|ART_color()I78
4393 AThicker|net@12|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
4394 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@20||-0.5|2.5|ART_color()I78
4395 AThicker|net@14|||FS2700|pin@33||0|-3|pin@26||0|-2|ART_color()I78
4396 AThicker|net@15|||FS1800|pin@33||0|-3|pin@32||0.75|-3|ART_color()I78
4397 AThicker|net@16|||FS900|pin@24||1.5|-3|pin@25||1.5|-5|ART_color()I78
4398 AThicker|net@17|||FS1800|pin@28||2.5|-4|pin@27||3|-4|ART_color()I78
4399 AThicker|net@18|||FS0|pin@31||0.75|-5|pin@30||0|-5|ART_color()I78
4400 AThicker|net@19|||FS900|pin@30||0|-5|pin@29||0|-7|ART_color()I78
4401 AThicker|net@20|||FS900|pin@32||0.75|-3|pin@31||0.75|-5|ART_color()I78
4402 Ed||D5G1;|pin@10||O
4403 Eg||D5G1;|pin@9||I
4404 Eg2||D5G1;|pin@11||I
4405 Eg3||D5G1;|pin@8||I
4406 X
4407
4408 # Cell pms3;1{sch}
4409 Cpms3;1{sch}||schematic|1021415734000|1159379854786||ATTR_Delay(D5G1;HNPX-17;Y1;)I100|ATTR_LEGATE(D5G1;HNPTX-17.5;Y-2;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;)I-1|ATTR_X(D5G1;HNOJPX-17.25;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-17.5;Y-1;)I-1|prototype_center()I[0,0]
4410 Ngeneric:Facet-Center|art@0||0|0||||AV
4411 NOff-Page|conn@0||-9.5|7||||
4412 NOff-Page|conn@1||-9|15||||
4413 NOff-Page|conn@2||8|0||||
4414 NOff-Page|conn@3||8.5|11|||YRR|
4415 NWire_Pin|pin@0||0|0||||
4416 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
4417 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[three P-type transistors to VDD]
4418 IredFive:pms3;1{ic}|pms3@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1;)S@Delay|ATTR_X(D5G1.5;NOJPX2.75;Y3;)S@X
4419 Ipms3;1{ic}|pms3@1||27.25|27.88|||D0G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1
4420 Awire|net@0|||0|pms3@0|g3|-3|7|conn@0|y|-7.5|7
4421 Awire|net@1|||1800|pms3@0|g2|3|11|conn@3|y|6.5|11
4422 Awire|net@2|||1800|conn@1|y|-7|15|pms3@0|g|-3|15
4423 Awire|net@3|||2700|pin@0||0|0|pms3@0|d|0|5
4424 Awire|net@4|||1800|pin@0||0|0|conn@2|a|6|0
4425 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
4426 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4427 Eg2||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4428 Eg3||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4429 X
4430
4431 # Cell triInv;1{ic}
4432 CtriInv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4433 Ngeneric:Facet-Center|art@0||0|0||||AV
4434 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I78
4435 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
4436 NPin|pin@0||0|-1|||R|
4437 NPin|pin@1||0|-2|1|1|YR|
4438 NPin|pin@2||0|2|1|1|R|
4439 NPin|pin@3||0|1.5|||R|
4440 Ngeneric:Invisible-Pin|pin@4||0|2||||
4441 Ngeneric:Invisible-Pin|pin@5||0|-2||||
4442 NPin|pin@6||-1.5|-2|1|1||
4443 NPin|pin@7||-1.5|2|1|1||
4444 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4445 NPin|pin@9||-2.5|0||||
4446 NPin|pin@10||-1.5|0|1|1||
4447 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
4448 NPin|pin@12||1.5|0|1|1||
4449 AThicker|net@0|||FS2700|pin@1||0|-2|pin@0||0|-1|ART_color()I78
4450 AThicker|net@1|||FS900|pin@2||0|2|pin@3||0|1.5|ART_color()I78
4451 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
4452 AThicker|net@3|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I78
4453 AThicker|net@4|||FS337|pin@12||1.5|0|pin@6||-1.5|-2|ART_color()I78
4454 AThicker|net@5|||FS3263|pin@12||1.5|0|pin@7||-1.5|2|ART_color()I78
4455 Een||D5G1;|pin@5||I
4456 EenB||D5G1;|pin@4||I
4457 Ein||D5G1;|pin@11||I
4458 Eout||D5G1;|pin@8||O
4459 X
4460
4461 # Cell triInv;1{sch}
4462 CtriInv;1{sch}||schematic|1021415734000|1159376021331||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
4463 Ngeneric:Facet-Center|art@0||0|0||||AV
4464 NOff-Page|conn@0||0.5|8|||RRR|
4465 NOff-Page|conn@1||0.5|-7|||R|
4466 NOff-Page|conn@2||-14|0||||
4467 NOff-Page|conn@3||11|0||||
4468 Ngeneric:Invisible-Pin|pin@0||-1.5|24|||||ART_message(D5G6;)S[invTri]
4469 Ngeneric:Invisible-Pin|pin@1||-2|18.5|||||ART_message(D5G2;)S[one-parameter tri-state inverter]
4470 Ngeneric:Invisible-Pin|pin@2||19|-14|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
4471 Ngeneric:Invisible-Pin|pin@3||-2|15.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
4472 IredFive:triInv;1{ic}|triInv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2;)S@X
4473 ItriInv;1{ic}|triInv@1||28.5|17|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
4474 Awire|net@0|||2700|triInv@0|enB|0.5|2|conn@0|y|0.5|6
4475 Awire|net@1|||900|triInv@0|en|0.5|-2|conn@1|y|0.5|-5
4476 Awire|net@2|||1800|conn@2|y|-12|0|triInv@0|in|-2|0
4477 Awire|net@3|||1800|triInv@0|out|3|0|conn@3|a|9|0
4478 Een||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX-1;)F0.6667
4479 EenB||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F1.333
4480 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)I2
4481 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY2;)I2
4482 X
4483
4484 # Cell wire350;1{ic}
4485 Cwire350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1;HP)I100|ATTR_LEWIRE(D5G1;HNPY-3.25;)I1|ATTR_layer(D5G1;HNPY-1.25;)I1|ATTR_width(D5G1;HNPY-2.25;)I3|prototype_center()I[2000,0]
4486 Ngeneric:Facet-Center|art@0||0|0||||AV
4487 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4488 NThick-Circle|art@2||1.5|0|1.5|1.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4489 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
4490 Nschematic:Bus_Pin|pin@1||2.25|0|-2|-2||
4491 NPin|pin@2||-1.75|0.75|1|1||
4492 NPin|pin@3||1.5|0.75|1|1||
4493 NPin|pin@4||1.5|-0.75|1|1||
4494 NPin|pin@5||-1.75|-0.75|1|1||
4495 AThicker|net@0|||FS0|pin@3||1.5|0.75|pin@2||-1.75|0.75|ART_color()I78
4496 AThicker|net@1|||FS0|pin@4||1.5|-0.75|pin@5||-1.75|-0.75|ART_color()I78
4497 Ea||D5G1;|pin@0||U
4498 Eb||D5G1;|pin@1||U
4499 X
4500
4501 # Cell wire350;1{sch}
4502 Cwire350;1{sch}||schematic|1012169378000|1056433201000||ATTR_L(D5G1;HNPX-17;Y-11.5;)I100|ATTR_LEWIRE(D5G1;HNPX-17;Y-13.5;)I1|ATTR_layer(D5G1;HNPX-17;Y-12.5;)I1|ATTR_width(D5G1;HNPX-17;Y-13.5;)I3|prototype_center()I[0,0]
4503 Ngeneric:Facet-Center|art@0||0|0||||AV
4504 NOff-Page|conn@0||-22|3||||
4505 NOff-Page|conn@1||22|3|||RR|
4506 Ngeneric:Invisible-Pin|pin@0||-4|22|||||ART_message(D5G6;)S[wire350]
4507 Ngeneric:Invisible-Pin|pin@1||-16|14.5|||||ART_message(D6G2;)S["wire in layer 'layer',","L lambda long,","'width' lambda wide,",for the 350nm tech]
4508 Ngeneric:Invisible-Pin|pin@2||-13|7|||||ART_message(D5G1;)S[1/4 of the length]
4509 Ngeneric:Invisible-Pin|pin@3||-1|7|||||ART_message(D5G1;)S[1/2 of the length]
4510 Ngeneric:Invisible-Pin|pin@4||13|7|||||ART_message(D5G1;)S[1/4 of the length]
4511 NWire_Pin|pin@5||-7|3||||
4512 NWire_Pin|pin@6||7|3||||
4513 Iwire350;1{ic}|wire350@0||26|19|||D0G4;|ATTR_L(D5G1;P)I100|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3
4514 IwireC350;1{ic}|wireC350@0||-7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4515 IwireC350;1{ic}|wireC350@1||7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4516 IwireR350;1{ic}|wireR350@0||13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4517 IwireR350;1{ic}|wireR350@1||-0.5|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/2.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4518 IwireR350;1{ic}|wireR350@2||-13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4519 Awire|net@0|||1800|pin@5||-7|3|wireR350@1|a|-4.5|3
4520 Awire|net@1|||1800|wireR350@1|b|3.5|3|pin@6||7|3
4521 Awire|net@2|||1800|pin@6||7|3|wireR350@0|a|9|3
4522 Awire|net@3|||0|conn@1|y|20|3|wireR350@0|b|17|3
4523 Awire|net@4|||0|pin@5||-7|3|wireR350@2|b|-9|3
4524 Awire|net@5|||1800|conn@0|y|-20|3|wireR350@2|a|-17|3
4525 Awire|net@6|||900|pin@5||-7|3|wireC350@0|a|-7|-4
4526 Awire|net@7|||900|pin@6||7|3|wireC350@1|a|7|-4
4527 Ea||D5G2;|conn@0|a|U
4528 Eb||D5G2;|conn@1|a|U
4529 X
4530
4531 # Cell wireC350;1{ic}
4532 CwireC350;1{ic}||artwork|1014599103000|1204140525662|E|ATTR_L(D6G1.5;HNPX1.5;Y0.5;)I100|ATTR_layer(D5G1;HNPX3;Y-1.5;)I1|ATTR_width(D5G1;HNPX3;Y-0.5;)I3|prototype_center()I[0,0]
4533 Ngeneric:Facet-Center|art@0||0|0||||AV
4534 NPin|pin@0||0|-3|1|1||
4535 NPin|pin@1||-1|-2|1|1|||ART_color()I78
4536 NPin|pin@2||1|-2|1|1|||ART_color()I78
4537 NPin|pin@3||0|-2|1|1||
4538 NPin|pin@4||0|-0.25|1|1|||ART_color()I78
4539 NPin|pin@5||0|2|1|1||
4540 NPin|pin@6||0|0.25|1|1|||ART_color()I78
4541 NPin|pin@7||-1|0.25|1|1|||ART_color()I78
4542 NPin|pin@8||1|0.25|1|1|||ART_color()I78
4543 NPin|pin@9||1|-0.25|1|1|||ART_color()I78
4544 NPin|pin@10||-1|-0.25|1|1|||ART_color()I78
4545 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
4546 AThicker|net@0|||FS450|pin@2||1|-2|pin@0||0|-3|ART_color()I78
4547 AThicker|net@1|||FS3150|pin@0||0|-3|pin@1||-1|-2|ART_color()I78
4548 AThicker|net@2|||FS0|pin@2||1|-2|pin@1||-1|-2|ART_color()I78
4549 AThicker|net@4|||FS900|pin@5||0|2|pin@6||0|0.25|ART_color()I78
4550 AThicker|net@5|||FS0|pin@8||1|0.25|pin@7||-1|0.25|ART_color()I78
4551 AThicker|net@6|||FS0|pin@9||1|-0.25|pin@10||-1|-0.25|ART_color()I78
4552 Ea||D5G1;|pin@11||I
4553 X
4554
4555 # Cell wireC350;1{sch}
4556 CwireC350;1{sch}||schematic|1014598612000|1025280871000||ATTR_L(D5G1;HNPX-16;Y-4;)I100|ATTR_layer(D5G1;HNPX-16;Y-5;)I1|ATTR_width(D5G1;HNPX-16;Y-6;)I3|prototype_center()I[0,0]
4557 Ngeneric:Facet-Center|art@0||0|0||||AV
4558 NCapacitor|cap@0||0|0|||||SCHEM_capacitance(D5G1;OJUC)S(@layer<4?0.04:0.056) * @L * 1e-15
4559 NOff-Page|conn@0||0|7|||RRR|
4560 NGround|gnd@0||0|-6||||
4561 Ngeneric:Invisible-Pin|pin@0||0|-9|||||ART_message(D5G1;)S["(P(\"M\")<4?0.04:0.056)*P(\"L\")"]
4562 Ngeneric:Invisible-Pin|pin@1||-20|9|||||ART_message(D6G2;)S[the capacitance in fF of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
4563 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G6;)S[wireC350]
4564 IwireC350;1{ic}|wireC350@0||9|9|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
4565 Awire|net@0|||2700|cap@0|a|0|2|conn@0|y|0|5
4566 Awire|net@1|||2700|gnd@0||0|-4|cap@0|b|0|-2
4567 Ea||D5G2;|conn@0|a|I
4568 X
4569
4570 # Cell wireR350;1{ic}
4571 CwireR350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1.5;HNPY1;)I100|ATTR_layer(D5G1;HNPY-2.5;)I1|ATTR_width(D5G1;HNPY-1.5;)I3|prototype_center()I[0,0]
4572 Ngeneric:Facet-Center|art@0||0|0||||AV
4573 NPin|pin@0||-4|0|1|1||
4574 NPin|pin@1||4|0|1|1||
4575 NPin|pin@2||2.5|0|1|1||
4576 NPin|pin@3||2|-1|1|1||
4577 NPin|pin@4||1|1|1|1||
4578 NPin|pin@5||0|-1|1|1||
4579 NPin|pin@6||-1|1|1|1||
4580 NPin|pin@7||-2|-1|1|1||
4581 NPin|pin@8||-2.5|0|1|1||
4582 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
4583 Nschematic:Bus_Pin|pin@10||4|0|-2|-2||
4584 AThicker|net@0|||FS1800|pin@0||-4|0|pin@8||-2.5|0|ART_color()I78
4585 AThicker|net@1|||FS0|pin@1||4|0|pin@2||2.5|0|ART_color()I78
4586 AThicker|net@2|||FS634|pin@2||2.5|0|pin@3||2|-1|ART_color()I78
4587 AThicker|net@3|||FS2966|pin@3||2|-1|pin@4||1|1|ART_color()I78
4588 AThicker|net@4|||FS634|pin@4||1|1|pin@5||0|-1|ART_color()I78
4589 AThicker|net@5|||FS2966|pin@5||0|-1|pin@6||-1|1|ART_color()I78
4590 AThicker|net@6|||FS634|pin@6||-1|1|pin@7||-2|-1|ART_color()I78
4591 AThicker|net@7|||FS2966|pin@7||-2|-1|pin@8||-2.5|0|ART_color()I78
4592 Ea||D5G1;|pin@9||U
4593 Eb||D5G1;|pin@10||U
4594 X
4595
4596 # Cell wireR350;1{sch}
4597 CwireR350;1{sch}||schematic|1012169378000|1025280871000||ATTR_L(D5G1;HNPX-5.5;Y-5;)I100|ATTR_layer(D5G1;HNPX-5.5;Y-6;)I1|ATTR_width(D5G1;HNPX-5.5;Y-7;)I3|prototype_center()I[0,0]
4598 Ngeneric:Facet-Center|art@0||0|0||||AV
4599 NOff-Page|conn@0||-14|0||||
4600 NOff-Page|conn@1||14|0|||RR|
4601 Ngeneric:Invisible-Pin|pin@0||-16|12|||||ART_message(D6G2;)S[the resistance in ohms of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
4602 Ngeneric:Invisible-Pin|pin@1||0|5|||||ART_message(D5G1;)S["(P(\"M\")==0?6.5:P(\"M\")<4?0.084:0.0504)*P(\"L\")/P(\"W\")"]
4603 Ngeneric:Invisible-Pin|pin@2||-4|20.5|||||ART_message(D5G6;)S[wireR350]
4604 NResistor|res@0||0|0|||||SCHEM_resistance(D5G1;OJURY1.5;)S(@layer==0?6.5:@layer<4?0.084:0.0504)*@L/@width
4605 IwireR350;1{ic}|wireR350@0||11|10|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3
4606 Awire|net@0|||0|conn@1|y|12|0|res@0|b|2|0
4607 Awire|net@1|||0|res@0|a|-2|0|conn@0|y|-12|0
4608 Ea||D5G2;|conn@0|a|U
4609 Eb||D5G2;|conn@1|a|U
4610 X
4611
4612 # Cell xor2;1{ic}
4613 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4614 Ngeneric:Facet-Center|art@0||0|0||||AV
4615 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4616 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4617 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4618 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I78|ART_degrees()I800
4619 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I78
4620 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I78
4621 NPin|pin@0||-0.5|-1.75|1|1||
4622 NPin|pin@1||-1|-1.25|1|1||
4623 NPin|pin@2||-2|1.5|1|1||
4624 NPin|pin@3||-3.5|1.5||||
4625 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
4626 Nschematic:Bus_Pin|pin@5||-3.5|1.5|-2|-2||
4627 NPin|pin@6||-3.5|-0.5||||
4628 NPin|pin@7||-1.5|-0.5|1|1||
4629 Nschematic:Bus_Pin|pin@8||-3.5|-0.5|-2|-2||
4630 Ngeneric:Invisible-Pin|pin@9||-3.5|-1.5||||
4631 Ngeneric:Invisible-Pin|pin@10||-3.5|0.5||||
4632 NPin|pin@11||-3.5|0.5||||
4633 NPin|pin@12||-2.5|0.5|1|1||
4634 NPin|pin@13||-3|-1.5|1|1||
4635 NPin|pin@14||-3.5|-1.5||||
4636 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
4637 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
4638 AThicker|net@2|||FS0|pin@2||-2|1.5|pin@3||-3.5|1.5|ART_color()I78
4639 AThicker|net@3|||FS0|pin@7||-1.5|-0.5|pin@6||-3.5|-0.5|ART_color()I78
4640 AThicker|net@4|||FS0|pin@12||-2.5|0.5|pin@11||-3.5|0.5|ART_color()I78
4641 AThicker|net@5|||FS0|pin@13||-3|-1.5|pin@14||-3.5|-1.5|ART_color()I78
4642 Eina||D5G1;|pin@8||I
4643 EinaB||D5G1;|pin@9||I
4644 Einb||D5G1;|pin@5||I
4645 EinbB||D5G1;|pin@10||I
4646 Eout||D5G1;|pin@4||O
4647 X
4648
4649 # Cell xor2;1{sch}
4650 Cxor2;1{sch}||schematic|1021415734000|1159376016515||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4651 Ngeneric:Facet-Center|art@0||0|0||||AV
4652 NOff-Page|conn@0||14|0|||Y|
4653 NOff-Page|conn@1||-34|1.5||||
4654 NOff-Page|conn@2||-18|-0.5|||Y|
4655 NOff-Page|conn@3||-10.5|-1.5|||Y|
4656 NOff-Page|conn@4||-25.5|0.5||||
4657 Ngeneric:Invisible-Pin|pin@0||-2|15|||||ART_message(D5G6;)S[xor2]
4658 Ngeneric:Invisible-Pin|pin@1||-2|10|||||ART_message(D5G2;)S[one-parameter XOR]
4659 Ixor2;1{ic}|xor2@0||20.48|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4660 IredFive:xor2;1{ic}|xor2@1||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4661 Awire|net@0|||0|conn@0|a|12|0|xor2@1|out|1.5|0
4662 Awire|net@1|||1800|conn@2|y|-16|-0.5|xor2@1|ina|-3.5|-0.5
4663 Awire|net@2|||0|xor2@1|inb|-3.5|1.5|conn@1|y|-32|1.5
4664 Awire|net@3|||0|xor2@1|inaB|-3.5|-1.5|conn@3|y|-8.5|-1.5
4665 Awire|net@4|||0|xor2@1|inbB|-3.5|0.5|conn@4|y|-23.5|0.5
4666 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)I2
4667 EinaB||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)I2
4668 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I2
4669 EinbB||D5G2;|conn@4|a|I|ATTR_le(D5G1;NY2;)I2
4670 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I4
4671 X