merge omegaCounter 40nm/90nm branches into chips/omegaCounter/
[fleet.git] / chips / omegaCounter / 40nm / electric / redFive.jelib
1 # header information:
2 HredFive|8.10c|USER_electrical_units()I70464
3
4 # Views:
5 Vicon|ic
6 Vschematic|sch
7
8 # External Libraries:
9
10 Lorange40nm|orange40nm
11
12 Lspiceparts|spiceparts
13
14 # Tools:
15 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
16 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
17
18 # Technologies:
19 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43
20 Tmocmos|SelectedFoundryFormocmos()STSMC
21
22 # Cell NMOS;1{ic}
23 CNMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[0,-8000]
24 Ngeneric:Facet-Center|art@0||0|0||||AV
25 Ngeneric:Invisible-Pin|pin@0||0|-2||||
26 NPin|pin@1||-1.5|0|1|1|RR|
27 NPin|pin@2||-3|0|||RR|
28 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
29 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
30 NPin|pin@5||0|-2||||
31 NPin|pin@6||-1.5|1|1|1||
32 NPin|pin@7||-1.5|-1|1|1||
33 NPin|pin@8||0|-1||||
34 NPin|pin@9||-0.75|-1|1|1||
35 NPin|pin@10||-0.75|1|1|1||
36 NPin|pin@11||0|1||||
37 NPin|pin@12||0|2||||
38 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
39 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I10
40 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I10
41 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
42 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
43 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
44 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
45 Ed||D5G1;|pin@4||B
46 Eg||D5G1;|pin@3||I
47 Es||D5G1;|pin@0||B
48 X
49
50 # Cell NMOS;2{sch}
51 CNMOS;2{sch}||schematic|1021415734000|1249583004567||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_X(D5G1;HNPX-8.5;Y-11.25;)I1|prototype_center()I[0,0]
52 INMOS;1{ic}|NMOS@2||28.5|0|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-1;)S10|ATTR_X(D5G1.5;NOLPX4.5;Y1;)S1
53 Iorange40nm:NMOSf;1{ic}|NMOSf@1||0|-8|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;Y0.5;)S4|ATTR_M1(D5G1;NOLPX3.5;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX3.5;Y-3.5;)S@X <= 6 ? 1 : @X <= 12 ? 2 : @X <= 18 ? 3 : @X <= 24 ? 4 : @X <= 30 ? 5 : @X <= 36 ? 6 : @X <= 42 ? 7 : @X/6|ATTR_W(D5G1;NOJPX3.5;Y1.5;)S@X * 12
54 Ngeneric:Facet-Center|art@0||0|0||||AV
55 NOff-Page|conn@0||6|-16.5||||
56 NOff-Page|conn@1||5.5|0||||
57 NOff-Page|conn@2||-18.5|-8||||
58 NWire_Pin|pin@1||0|-16.5||||
59 NWire_Pin|pin@2||0|0||||
60 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)S[NMOS]
61 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Sstandard-threshold strength-based NMOS device
62 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
63 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
64 Awire|net@5|||900|pin@2||0|0|NMOSf@1|d|0|-6
65 Awire|net@6|||1800|conn@2|y|-16.5|-8|NMOSf@1|g|-3|-8
66 Awire|net@7|||2700|pin@1||0|-16.5|NMOSf@1|s|0|-10
67 Ed||D5G2;|conn@1|y|B
68 Eg||D5G2;|conn@2|a|I
69 Es||D5G2;|conn@0|y|B
70 X
71
72 # Cell PMOS;1{ic}
73 CPMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[-8000,16000]
74 Ngeneric:Facet-Center|art@0||0|0||||AV
75 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I10
76 Ngeneric:Invisible-Pin|pin@0||0|2||||
77 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
78 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
79 NPin|pin@3||0|1||||
80 NPin|pin@4||-0.75|1|1|1||
81 NPin|pin@5||-0.75|-1|1|1||
82 NPin|pin@6||0|-1||||
83 NPin|pin@7||0|-2||||
84 NPin|pin@8||-3|0|||RR|
85 NPin|pin@9||-2.5|0|1|1|RRR|
86 NPin|pin@10||0|2||||
87 NPin|pin@11||-1.5|1|1|1|Y|
88 NPin|pin@12||-1.5|-1|1|1|Y|
89 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
90 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
91 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
92 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
93 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
94 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
95 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I10
96 Ed||D8G1;|pin@1||B
97 Eg||D6G1;|pin@2||I
98 Es||D2G1;|pin@0||B
99 X
100
101 # Cell PMOS;2{sch}
102 CPMOS;2{sch}||schematic|1021415734000|1249583164934||ATTR_Delay(D5G1;HNPX-8.5;Y1.25;)I100|ATTR_X(D5G1;HNPX-8.5;Y2.75;)I1|prototype_center()I[0,0]
103 IPMOS;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1;)S10|ATTR_X(D5G1.5;NPX3.5;Y1;)I1
104 Iorange40nm:PMOSf;1{ic}|PMOSf@1||0|7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;Y0.5;)S4|ATTR_M1(D5G1;NOLPX3.5;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX3.5;Y-0.5;)S@X <= 6 ? 1 : @X <= 12 ? 2 : @X <= 18 ? 3 : @X <= 24 ? 4 : @X <= 30 ? 5 : @X <= 36 ? 6 : @X <= 42 ? 7 : @X/6|ATTR_W(D6G1;NOJPX2;Y1.5;)S24*@X
105 Ngeneric:Facet-Center|art@0||0|0||||AV
106 NOff-Page|conn@0||5|11.5||||
107 NOff-Page|conn@1||-9.5|7||||
108 NOff-Page|conn@2||5|1||||
109 NWire_Pin|pin@1||0|11.5||||
110 NWire_Pin|pin@2||0|1||||
111 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)S[PMOS]
112 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal standard-threshold strength-based PMOS device
113 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
114 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
115 Awire|net@5|||900|pin@1||0|11.5|PMOSf@1|s|0|9
116 Awire|net@6|||900|PMOSf@1|d|0|5|pin@2||0|1
117 Awire|net@7|||0|PMOSf@1|g|-3|7|conn@1|y|-7.5|7
118 Ed||D5G2;|conn@2|y|B
119 Eg||D5G2;|conn@1|a|I
120 Es||D5G2;|conn@0|y|B
121 X
122
123 # Cell hifidely;1{ic}
124 Chifidely;1{ic}||artwork|1046374423000|1046374607000|E|prototype_center()I[0,0]
125 Ngeneric:Facet-Center|art@0||0|0||||AV
126 NThick-Circle|art@1||3.5|0|2|2|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
127 NPin|pin@0||2|1|1|1||
128 NPin|pin@1||2|-1|1|1||
129 NPin|pin@2||3|-1|1|1||
130 NPin|pin@3||3|1|1|1||
131 NPin|pin@4||3.5|-1|1|1||
132 NPin|pin@5||-4|-1|1|1||
133 NPin|pin@6||-4|1|1|1||
134 NPin|pin@7||3.5|1|1|1||
135 Nschematic:Bus_Pin|pin@8||4.5|0||||
136 Nschematic:Bus_Pin|pin@9||-4|0||||
137 AThicker|net@0|||FS2700|pin@1||2|-1|pin@0||2|1|ART_color()I10
138 AThicker|net@1|||FS2700|pin@2||3|-1|pin@3||3|1|ART_color()I10
139 AThicker|net@2|||FS0|pin@4||3.5|-1|pin@5||-4|-1|ART_color()I10
140 AThicker|net@3|||FS2700|pin@5||-4|-1|pin@6||-4|1|ART_color()I10
141 AThicker|net@4|||FS1800|pin@6||-4|1|pin@7||3.5|1|ART_color()I10
142 Ein||D5G2;|pin@9||I
143 Eout||D5G2;|pin@8||O
144 X
145
146 # Cell hifidely;1{sch}
147 Chifidely;1{sch}||schematic|1046374013000|1103844568483||prototype_center()I[0,0]
148 Ispiceparts:Transmission;1{ic}|Transmis@0||-1|-1|||D0G4;|ATTR_Delay(D5G0.5;NPY-1;)S500ps|ATTR_z0(D5G0.5;NPY1;)I50
149 Ispiceparts:VCVS;1{ic}|VCVS@0||16.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
150 Ispiceparts:VCVS;1{ic}|VCVS@1||-14.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
151 Ngeneric:Facet-Center|art@0||0|0||||AV
152 NOff-Page|conn@0||27|1||||
153 NOff-Page|conn@1||-28|4||||
154 NGround|gnd@0||24|-8||||
155 NGround|gnd@1||-24|-8.5||||
156 NGround|gnd@2||8|-8||||
157 NGround|gnd@3||-7.5|-8||||
158 Ihifidely;1{ic}|hifidely@0||16.5|13.5|||D0G4;
159 NWire_Pin|pin@0||-21|1||||
160 NWire_Pin|pin@1||-21|4||||
161 NWire_Pin|pin@3||-24|4||||
162 NWire_Pin|pin@5||24|-3||||
163 NWire_Pin|pin@6||8|3.25||||
164 NWire_Pin|pin@8||10|3.25||||
165 NWire_Pin|pin@9||5.5|3.25||||
166 NWire_Pin|pin@24||-24|-3||||
167 NWire_Pin|pin@25||-7.5|-3||||
168 NWire_Pin|pin@26||5.5|1||||
169 NWire_Pin|pin@27||10|1||||
170 NWire_Pin|pin@28||8|-3||||
171 NResistor|res@0||-24|0|||R||SCHEM_resistance(D5G1;)I1000000
172 NResistor|res@1||8|0|||R||SCHEM_resistance(D5G1;)I50
173 Awire|net@1|||2700|pin@0||-21|1|pin@1||-21|4
174 Awire|net@2|||0|pin@1||-21|4|pin@3||-24|4
175 Awire|net@5|||0|pin@3||-24|4|conn@1|y|-26|4
176 Awire|net@11|||900|pin@5||24|-3|gnd@0||24|-6
177 Awire|net@13|||900|pin@6||8|3.25|res@1|b|8|2
178 Awire|net@14|||0|pin@8||10|3.25|pin@6||8|3.25
179 Awire|net@15|||0|pin@6||8|3.25|pin@9||5.5|3.25
180 Awire|net@24|||1800|pin@25||-7.5|-3|Transmis@0|b|-6|-3
181 Awire|net@25|||1800|VCVS@1|x|-9.5|1|Transmis@0|a|-6|1
182 Awire|net@27|||0|pin@28||8|-3|Transmis@0|y|4|-3
183 Awire|net@28|||2700|res@0|b|-24|2|pin@3||-24|4
184 Awire|net@29|||2700|gnd@1||-24|-6.5|pin@24||-24|-3
185 Awire|net@30|||900|pin@28||8|-3|gnd@2||8|-6
186 Awire|net@31|||2700|pin@24||-24|-3|res@0|a|-24|-2
187 Awire|net@32|||0|VCVS@1|b|-19.5|-3|pin@24||-24|-3
188 Awire|net@33|||1800|pin@0||-21|1|VCVS@1|a|-19.5|1
189 Awire|net@34|||1800|VCVS@1|y|-9.5|-3|pin@25||-7.5|-3
190 Awire|net@36|||1800|Transmis@0|x|4|1|pin@26||5.5|1
191 Awire|net@38|||1800|pin@27||10|1|VCVS@0|a|11.5|1
192 Awire|net@40|||900|pin@8||10|3.25|pin@27||10|1
193 Awire|net@41|||900|pin@9||5.5|3.25|pin@26||5.5|1
194 Awire|net@42|||2700|gnd@3||-7.5|-6|pin@25||-7.5|-3
195 Awire|net@43|||0|pin@5||24|-3|VCVS@0|y|21.5|-3
196 Awire|net@44|||0|conn@0|a|25|1|VCVS@0|x|21.5|1
197 Awire|net@45|||900|res@1|a|8|-2|pin@28||8|-3
198 Awire|net@46|||0|VCVS@0|b|11.5|-3|pin@28||8|-3
199 Ein||D5G2;|conn@1|y|I
200 Eout||D5G2;|conn@0|y|O
201 X
202
203 # Cell inv;1{ic}
204 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
205 Ngeneric:Facet-Center|art@0||0|0||||AV
206 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
207 NPin|pin@0||1.5|0|1|1||
208 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
209 NPin|pin@2||-1.5|0|1|1||
210 NPin|pin@3||-2.5|0||||
211 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
212 NPin|pin@5||-1.5|2|1|1||
213 NPin|pin@6||-1.5|-2|1|1||
214 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
215 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
216 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I10
217 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
218 Ein||D5G1;|pin@1||I
219 Eout||D5G1;|pin@4||O
220 X
221
222 # Cell inv;1{sch}
223 Cinv;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-11;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
224 INMOS;1{ic}|NMOS@1||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
225 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
226 Ngeneric:Facet-Center|art@0||0|0||||AV
227 NOff-Page|conn@0||19|0||||
228 NOff-Page|conn@1||-17.5|0||||
229 NGround|gnd@0||0|-12||||
230 Iinv;1{ic}|inv@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
231 IinvI;2{ic}|inv@1||25|7|||D5G4;|ATTR_Delay(D5G1;NPX1.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
232 NWire_Pin|pin@0||-4|0||||
233 NWire_Pin|pin@1||0|0||||
234 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
235 Ngeneric:Invisible-Pin|pin@3||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
236 Ngeneric:Invisible-Pin|pin@4||0|18.5|||||ART_message(D5G2;)S[one-parameter fixed size (non-LE) inverter]
237 Ngeneric:Invisible-Pin|pin@5||0.5|22|||||ART_message(D5G6;)S[inv]
238 NWire_Pin|pin@6||-4|6||||
239 NWire_Pin|pin@7||-4|-5||||
240 NPower|pwr@0||0|11.5||||
241 Awire|net@0|||0|conn@0|a|17|0|pin@1||0|0
242 Awire|net@1|||0|pin@0||-4|0|conn@1|y|-15.5|0
243 Awire|net@2|||900|pin@6||-4|6|pin@0||-4|0
244 Awire|net@3|||900|pin@0||-4|0|pin@7||-4|-5
245 Awire|net@4|||2700|gnd@0||0|-10|NMOS@1|s|0|-7
246 Awire|net@5|||2700|NMOS@1|d|0|-3|pin@1||0|0
247 Awire|net@6|||0|NMOS@1|g|-3|-5|pin@7||-4|-5
248 Awire|net@7|||2700|PMOS@1|s|0|8|pwr@0||0|11.5
249 Awire|net@8|||0|PMOS@1|g|-3|6|pin@6||-4|6
250 Awire|net@9|||2700|pin@1||0|0|PMOS@1|d|0|4
251 Ein||D5G2;|conn@1|a|I
252 Eout||D5G2;|conn@0|y|O
253 X
254
255 # Cell inv2i;1{ic}
256 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
257 Ngeneric:Facet-Center|art@0||0|0||||AV
258 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
259 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
260 NPin|pin@0||-1.5|-1|1|1||
261 NPin|pin@1||-2.5|-1||||
262 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
263 NPin|pin@3||-1.5|-2|1|1||
264 NPin|pin@4||-1.5|2|1|1||
265 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
266 NPin|pin@6||-2.5|1||||
267 NPin|pin@7||-1.5|1|1|1||
268 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
269 NPin|pin@9||1.5|0|1|1||
270 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
271 AThicker|net@1|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
272 AThicker|net@2|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I10
273 AThicker|net@3|||FS337|pin@9||1.5|0|pin@3||-1.5|-2|ART_color()I10
274 AThicker|net@4|||FS3263|pin@9||1.5|0|pin@4||-1.5|2|ART_color()I10
275 Ein[n]||D5G1;|pin@2||I
276 Ein[p]||D5G1;|pin@8||I
277 Eout||D5G1;|pin@5||O
278 X
279
280 # Cell inv2i;1{sch}
281 Cinv2i;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-13.25;Y-11.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.25;Y-10.25;)S1|ATTR_drive0(D5G1;HNPTX-13.25;Y-12.25;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.25;Y-13.25;)Sstrong1|prototype_center()I[0,0]
282 INMOS;1{ic}|NMOS@1||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
283 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
284 Ngeneric:Facet-Center|art@0||0|0||||AV
285 NOff-Page|conn@0||-17.5|-5||||
286 NOff-Page|conn@1||-17.5|6||||
287 NOff-Page|conn@2||19|0||||
288 NGround|gnd@0||0|-12||||
289 Iinv2i;1{ic}|inv2i@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
290 Ngeneric:Invisible-Pin|pin@0||0.5|22|||||ART_message(D5G6;)S[inv2i]
291 Ngeneric:Invisible-Pin|pin@1||0|18.5|||||ART_message(D5G2;)S[two-input inverter]
292 Ngeneric:Invisible-Pin|pin@2||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
293 Ngeneric:Invisible-Pin|pin@3||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
294 NWire_Pin|pin@4||0|0||||
295 NPower|pwr@0||0|11.5||||
296 Awire|net@0|||0|PMOS@1|g|-3|6|conn@1|y|-15.5|6
297 Awire|net@1|||1800|conn@0|y|-15.5|-5|NMOS@1|g|-3|-5
298 Awire|net@2|||1800|pin@4||0|0|conn@2|a|17|0
299 Awire|net@3|||900|pwr@0||0|11.5|PMOS@1|s|0|8
300 Awire|net@4|||2700|pin@4||0|0|PMOS@1|d|0|4
301 Awire|net@5|||2700|gnd@0||0|-10|NMOS@1|s|0|-7
302 Awire|net@6|||900|pin@4||0|0|NMOS@1|d|0|-3
303 Ein[n]||D5G2;|conn@0|a|I
304 Ein[p]||D5G2;|conn@1|a|I
305 Eout||D5G2;|conn@2|y|O
306 X
307
308 # Cell inv2iCTLn;1{ic}
309 Cinv2iCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
310 Ngeneric:Facet-Center|art@0||0|0||||AV
311 NThick-Circle|art@1||-2|1|1|1|||ART_color()I10
312 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
313 Ngeneric:Invisible-Pin|pin@0||-2.5|1||||
314 NPin|pin@1||-1.5|-1|1|1|R|
315 NPin|pin@2||-2.5|-1|1|1|R|
316 Ngeneric:Invisible-Pin|pin@3||0|-2||||
317 Ngeneric:Invisible-Pin|pin@4||0|0|||||ART_message(D5G1.5;)S[CTLn]
318 NPin|pin@5||1.5|0|0.5|0.5||
319 NPin|pin@6||-1.5|-2|0.5|0.5||
320 NPin|pin@7||-1.5|2|0.5|0.5||
321 Ngeneric:Invisible-Pin|pin@8||2.5|0||||
322 Ngeneric:Invisible-Pin|pin@9||-2.5|-1||||
323 NPin|pin@10||0|-2|1|1|RR|
324 NPin|pin@11||0|-1|1|1|RR|
325 AThicker|net@0|||FS1800|pin@2||-2.5|-1|pin@1||-1.5|-1|ART_color()I10
326 AThicker|net@1|||FS2137|pin@6||-1.5|-2|pin@5||1.5|0|ART_color()I10
327 AThicker|net@2|||FS3263|pin@5||1.5|0|pin@7||-1.5|2|ART_color()I10
328 AThicker|net@3|||FS900|pin@7||-1.5|2|pin@6||-1.5|-2|ART_color()I10
329 AThicker|net@4|||FS2700|pin@10||0|-2|pin@11||0|-1|ART_color()I10
330 Ectl||D5G2;|pin@3||I
331 EinN||D5G2;|pin@9||I
332 EinP||D5G2;|pin@0||I
333 Eout||D5G2;|pin@8||O
334 X
335
336 # Cell inv2iCTLn;1{sch}
337 Cinv2iCTLn;1{sch}||schematic|993433994000|1248729331835||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
338 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
339 INMOS;1{ic}|NMOS@2||0|9|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
340 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
341 Ngeneric:Facet-Center|art@0||0|0||||AV
342 NOff-Page|conn@0||-11|22||||
343 NOff-Page|conn@1||-12|9||||
344 NOff-Page|conn@2||-12|0.5||||
345 NOff-Page|conn@3||12.5|16||||
346 NGround|gnd@0||0|-6.5||||
347 Iinv2iCTLn;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
348 NWire_Pin|pin@0||0|20.5||||
349 NWire_Pin|pin@1||-2.5|22||||
350 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[inv2iCTLn]
351 NWire_Pin|pin@3||0|16||||
352 NPower|pwr@0||0|28||||
353 Awire|net@0|||0|PMOS@1|g|-3|22|conn@0|y|-9|22
354 Awire|net@1|||0|NMOS@1|g|-3|0.5|conn@2|y|-10|0.5
355 Awire|net@2|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
356 Awire|net@3|||1800|PMOS@1|g|-3|22|pin@1||-2.5|22
357 Awire|net@4|||900|pwr@0||0|28|PMOS@1|s|0|24
358 Awire|net@5|||2700|PMOS@1|d|0|20|pin@0||0|20.5
359 Awire|net@6|||1800|pin@3||0|16|conn@3|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
360 Awire|net@7|||2700|NMOS@1|d|0|2.5|NMOS@2|s|0|7
361 Awire|net@8|||900|pin@3||0|16|NMOS@2|d|0|11
362 Awire|net@9|||900|PMOS@1|d|0|20|pin@3||0|16
363 Awire|net@10|||0|NMOS@2|g|-3|9|conn@1|y|-10|9
364 Ectl||D5G2;X-4;|conn@1|y|I
365 EinN||D5G2;|conn@2|a|I
366 EinP||D4G2;|conn@0|a|I
367 Eout||D5G2;|conn@3|y|O
368 X
369
370 # Cell inv2iCTLp;1{ic}
371 Cinv2iCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
372 Ngeneric:Facet-Center|art@0||0|0||||AV
373 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
374 NThick-Circle|art@2||0|-1.5|1|1|||ART_color()I10
375 NThick-Circle|art@3||-2|1|1|1|||ART_color()I10
376 Ngeneric:Invisible-Pin|pin@0||-2.5|-1||||
377 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
378 NPin|pin@2||-1.5|2|0.5|0.5||
379 NPin|pin@3||-1.5|-2|0.5|0.5||
380 NPin|pin@4||1.5|0|0.5|0.5||
381 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLp]
382 Ngeneric:Invisible-Pin|pin@6||0|-2||||
383 NPin|pin@7||-2.5|-1|1|1|R|
384 NPin|pin@8||-1.5|-1|1|1|R|
385 Ngeneric:Invisible-Pin|pin@9||-2.5|1||||
386 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
387 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
388 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
389 AThicker|net@3|||FS1800|pin@7||-2.5|-1|pin@8||-1.5|-1|ART_color()I10
390 Ectl||D5G2;|pin@6||I
391 EinN||D5G2;|pin@0||I
392 EinP||D5G2;|pin@9||I
393 Eout||D5G2;|pin@1||O
394 X
395
396 # Cell inv2iCTLp;1{sch}
397 Cinv2iCTLp;1{sch}||schematic|993433994000|1248729232899||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
398 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
399 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
400 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
401 Ngeneric:Facet-Center|art@0||0|0||||AV
402 NOff-Page|conn@0||12.5|8||||
403 NOff-Page|conn@1||-12|0.5||||
404 NOff-Page|conn@2||-11|15||||
405 NOff-Page|conn@3||-11|22||||
406 NGround|gnd@0||0|-6.5||||
407 Iinv2iCTLp;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
408 NWire_Pin|pin@0||0|8||||
409 Ngeneric:Invisible-Pin|pin@1||0|33|||||ART_message(D5G3;)S[inv2iCTLp]
410 NWire_Pin|pin@2||-2.5|22||||
411 NWire_Pin|pin@3||0|20.5||||
412 NPower|pwr@0||0|28||||
413 Awire|net@0|||1800|pin@0||0|8|conn@0|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
414 Awire|net@1|||2700|PMOS@1|d|0|20|pin@3||0|20.5
415 Awire|net@2|||900|pwr@0||0|28|PMOS@1|s|0|24
416 Awire|net@3|||1800|PMOS@1|g|-3|22|pin@2||-2.5|22
417 Awire|net@4|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
418 Awire|net@5|||900|pin@0||0|8|NMOS@1|d|0|2.5
419 Awire|net@6|||900|PMOS@1|d|0|20|PMOS@2|s|0|17
420 Awire|net@7|||2700|pin@0||0|8|PMOS@2|d|0|13
421 Awire|net@8|||0|PMOS@2|g|-3|15|conn@2|y|-9|15
422 Awire|net@9|||0|NMOS@1|g|-3|0.5|conn@1|y|-10|0.5
423 Awire|net@10|||0|PMOS@1|g|-3|22|conn@3|y|-9|22
424 Ectl||D5G2;X-4;|conn@2|y|I
425 EinN||D5G2;|conn@1|a|I
426 EinP||D4G2;|conn@3|a|I
427 Eout||D5G2;|conn@0|y|O
428 X
429
430 # Cell inv2iHT;1{ic}
431 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
432 Ngeneric:Facet-Center|art@0||0|0||||AV
433 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
434 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
435 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
436 NPin|pin@0||-1.5|-1|1|1||
437 NPin|pin@1||-2.5|-1||||
438 Nschematic:Bus_Pin|pin@2||-2.5|1||||
439 Nschematic:Bus_Pin|pin@3||-2.5|-1||||
440 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
441 NPin|pin@5||-1.5|-2|1|1||
442 NPin|pin@6||-1.5|2|1|1||
443 NPin|pin@7||-2.5|1||||
444 NPin|pin@8||-1.5|1|1|1||
445 NPin|pin@9||1.5|0|1|1||
446 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
447 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I10
448 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I10
449 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I10
450 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@7||-2.5|1|ART_color()I10
451 Ein[n]||D5G1;|pin@3||I
452 Ein[p]||D5G1;|pin@2||I
453 Eout||D5G1;|pin@4||O
454 X
455
456 # Cell inv2iHT;1{sch}
457 Cinv2iHT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-14.5;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-14.5;Y-10.5;)S1|ATTR_drive0(D5G1;HNPTX-14.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-13.5;)Sstrong1|prototype_center()I[0,0]
458 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
459 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
460 Ngeneric:Facet-Center|art@0||0|0||||AV
461 NOff-Page|conn@0||-13|-6||||
462 NOff-Page|conn@1||-13.5|6||||
463 NOff-Page|conn@2||8|0||||
464 NGround|gnd@0||0|-12.5||||
465 Iinv2iHT;1{ic}|inv2iHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
466 Ngeneric:Invisible-Pin|pin@4||-1|24|||||ART_message(D5G6;)S[inv2iHT]
467 Ngeneric:Invisible-Pin|pin@5||0|19|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
468 NWire_Pin|pin@6||0|0||||
469 Ngeneric:Invisible-Pin|pin@7||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
470 Ngeneric:Invisible-Pin|pin@8||25|-9|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
471 NPower|pwr@0||0|12.5||||
472 Awire|net@8|||900|NMOS@1|s|0|-8|gnd@0||0|-10.5
473 Awire|net@9|||900|pin@6||0|0|NMOS@1|d|0|-4
474 Awire|net@10|||2700|PMOS@1|s|0|8|pwr@0||0|12.5
475 Awire|net@11|||2700|pin@6||0|0|PMOS@1|d|0|4
476 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
477 Awire|net@17|||0|PMOS@1|g|-3|6|conn@1|y|-11.5|6
478 Awire|net@18|||1800|conn@0|y|-11|-6|NMOS@1|g|-3|-6
479 Ein[n]||D5G2;|conn@0|a|I
480 Ein[p]||D5G2;|conn@1|a|I
481 Eout||D5G2;|conn@2|y|O
482 X
483
484 # Cell inv2iLT;1{ic}
485 Cinv2iLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
486 Ngeneric:Facet-Center|art@0||0|0||||AV
487 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
488 NOpened-Thicker-Polygon|art@2||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
489 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
490 NPin|pin@0||-2.5|-1||||
491 NPin|pin@1||-1.5|-1|1|1||
492 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
493 NPin|pin@3||1.5|0|1|1||
494 NPin|pin@4||-1.5|1|1|1||
495 NPin|pin@5||-2.5|1||||
496 NPin|pin@6||-1.5|2|1|1||
497 NPin|pin@7||-1.5|-2|1|1||
498 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
499 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
500 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I10
501 AThicker|net@1|||FS0|pin@4||-1.5|1|pin@5||-2.5|1|ART_color()I10
502 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
503 AThicker|net@3|||FS337|pin@3||1.5|0|pin@7||-1.5|-2|ART_color()I10
504 AThicker|net@4|||FS3263|pin@3||1.5|0|pin@6||-1.5|2|ART_color()I10
505 Ein[n]||D5G1;|pin@2||I
506 Ein[p]||D5G1;|pin@8||I
507 Eout||D5G1;|pin@9||O
508 X
509
510 # Cell inv2iLT;1{sch}
511 Cinv2iLT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-13;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-12;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-14;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-15;)Sstrong1|prototype_center()I[0,0]
512 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
513 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
514 Ngeneric:Facet-Center|art@0||0|0||||AV
515 NOff-Page|conn@0||-14|-6||||
516 NOff-Page|conn@1||-14.5|6||||
517 NOff-Page|conn@2||8|0||||
518 NGround|gnd@0||0|-12.5||||
519 Iinv2iLT;1{ic}|inv2iLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
520 NWire_Pin|pin@2||-3|6||||
521 Ngeneric:Invisible-Pin|pin@4||25|-10|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
522 Ngeneric:Invisible-Pin|pin@5||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
523 NWire_Pin|pin@6||0|0||||
524 Ngeneric:Invisible-Pin|pin@7||0|19|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
525 Ngeneric:Invisible-Pin|pin@8||-1|24|||||ART_message(D5G6;)S[inv2iLT]
526 NPower|pwr@0||0|12.5||||
527 Awire|net@5|||1800|pin@2||-3|6|PMOS@1|g|-3|6
528 Awire|net@8|||900|pwr@0||0|12.5|PMOS@1|s|0|8
529 Awire|net@9|||2700|pin@6||0|0|PMOS@1|d|0|4
530 Awire|net@10|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
531 Awire|net@11|||900|pin@6||0|0|NMOS@1|d|0|-4
532 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
533 Awire|net@16|||1800|conn@1|y|-12.5|6|PMOS@1|g|-3|6
534 Awire|net@17|||1800|conn@0|y|-12|-6|NMOS@1|g|-3|-6
535 Ein[n]||D5G2;|conn@0|a|I
536 Ein[p]||D5G2;|conn@1|a|I
537 Eout||D5G2;|conn@2|y|O
538 X
539
540 # Cell inv2iV;1{ic}
541 Cinv2iV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
542 Ngeneric:Facet-Center|art@0||0|0||||AV
543 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
544 NThick-Circle|art@2||-1|1|1|1|||ART_color()I10
545 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
546 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
547 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
548 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
549 NPin|pin@3||-1.5|-2|1|1||
550 NPin|pin@4||-1.5|2|1|1||
551 NPin|pin@5||-2.5|-1||||
552 NPin|pin@6||-1.5|-1|1|1||
553 NPin|pin@7||1.5|0|1|1||
554 NPin|pin@8||-1.5|1|1|1||
555 NPin|pin@9||-2.5|1||||
556 AThicker|net@0|||FS0|pin@6||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
557 AThicker|net@1|||FS3263|pin@7||1.5|0|pin@4||-1.5|2|ART_color()I10
558 AThicker|net@2|||FS337|pin@7||1.5|0|pin@3||-1.5|-2|ART_color()I10
559 AThicker|net@3|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
560 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@9||-2.5|1|ART_color()I10
561 Ein[n]||D5G1;|pin@0||I
562 Ein[p]||D5G1;|pin@2||I
563 Eout||D5G1;|pin@1||O
564 X
565
566 # Cell inv2iV;1{sch}
567 Cinv2iV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-16;Y-12;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-16;Y-10;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-16;Y-11;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-13;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-14;)Sstrong1|prototype_center()I[0,0]
568 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
569 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
570 Ngeneric:Facet-Center|art@0||0|0||||AV
571 NOff-Page|conn@0||-12|-6||||
572 NOff-Page|conn@1||7|0||||
573 NOff-Page|conn@2||-12|6||||
574 NGround|gnd@0||0|-12||||
575 Iinv2iV;1{ic}|inv2iV@0||18.5|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
576 NWire_Pin|pin@0||0|0||||
577 Ngeneric:Invisible-Pin|pin@1||-1.5|21|||||ART_message(D5G6;)S[inv2iV]
578 Ngeneric:Invisible-Pin|pin@2||-0.5|16.5|||||ART_message(D5G2;)S[two-parameter two-input variable ratio inverter]
579 Ngeneric:Invisible-Pin|pin@3||25|-12.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
580 NPower|pwr@0||0|10.5||||
581 Awire|net@0|||0|NMOS@1|g|-3|-6|conn@0|y|-10|-6
582 Awire|net@1|||0|PMOS@1|g|-3|6|conn@2|y|-10|6
583 Awire|net@2|||0|conn@1|a|5|0|pin@0||0|0
584 Awire|net@3|||900|pin@0||0|0|NMOS@1|d|0|-4
585 Awire|net@4|||2700|pin@0||0|0|PMOS@1|d|0|4
586 Awire|net@5|||900|NMOS@1|s|0|-8|gnd@0||0|-10
587 Awire|net@6|||2700|PMOS@1|s|0|8|pwr@0||0|10.5
588 Ein[n]||D5G2;|conn@0|a|I
589 Ein[p]||D5G2;|conn@2|a|I
590 Eout||D5G2;|conn@1|y|O
591 X
592
593 # Cell invCLK;1{ic}
594 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
595 Ngeneric:Facet-Center|art@0||0|0||||AV
596 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
597 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
598 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
599 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
600 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
601 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
602 NPin|pin@2||-1.5|-2|1|1||
603 NPin|pin@3||-1.5|2|1|1||
604 NPin|pin@4||-2.5|0||||
605 NPin|pin@5||-1.5|0|1|1||
606 NPin|pin@6||1.5|0|1|1||
607 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
608 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
609 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
610 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
611 Ein||D5G1;|pin@1||I
612 Eout||D5G1;|pin@0||O
613 X
614
615 # Cell invCLK;1{sch}
616 CinvCLK;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX28.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
617 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
618 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.5
619 Ngeneric:Facet-Center|art@0||0|0||||AV
620 NOff-Page|conn@0||8|0||||
621 NOff-Page|conn@1||-11|0||||
622 NGround|gnd@0||0|-12.5||||
623 IinvCLK;1{ic}|invCLK@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
624 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S[intended for driving clock circuits - gives nearly equal rise/fall]
625 Ngeneric:Invisible-Pin|pin@1||-1|28|||||ART_message(D5G6;)S[invCLK]
626 Ngeneric:Invisible-Pin|pin@2||0|23|||||ART_message(D5G2;)S[medium HI-threshold fixed-size (non-LE) inverter]
627 NWire_Pin|pin@3||0|0||||
628 NWire_Pin|pin@4||-4|6||||
629 NWire_Pin|pin@5||-4|-6||||
630 NWire_Pin|pin@6||-4|0||||
631 Ngeneric:Invisible-Pin|pin@7||1|21|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
632 Ngeneric:Invisible-Pin|pin@8||28|-10.5|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
633 NPower|pwr@0||0|12.5||||
634 Awire|net@0|||0|conn@0|a|6|0|pin@3||0|0
635 Awire|net@1|||2700|pin@6||-4|0|pin@4||-4|6
636 Awire|net@2|||2700|pin@5||-4|-6|pin@6||-4|0
637 Awire|net@3|||0|pin@6||-4|0|conn@1|y|-9|0
638 Awire|net@4|||1800|pin@5||-4|-6|NMOS@1|g|-3|-6
639 Awire|net@5|||900|pin@3||0|0|NMOS@1|d|0|-4
640 Awire|net@6|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
641 Awire|net@7|||2700|pin@3||0|0|PMOS@1|d|0|4
642 Awire|net@8|||1800|pin@4||-4|6|PMOS@1|g|-3|6
643 Awire|net@9|||900|pwr@0||0|12.5|PMOS@1|s|0|8
644 Ein||D5G2;|conn@1|a|I
645 Eout||D5G2;|conn@0|y|O
646 X
647
648 # Cell invCTLn;1{ic}
649 CinvCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;HNPX4.5;Y-3;)I175|prototype_center()I[0,0]
650 Ngeneric:Facet-Center|art@0||0|0||||AV
651 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
652 Ngeneric:Invisible-Pin|pin@0||-2.5|0||||
653 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
654 NPin|pin@2||-1.5|2|0.5|0.5||
655 NPin|pin@3||-1.5|-2|0.5|0.5||
656 NPin|pin@4||1.5|0|0.5|0.5||
657 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLn]
658 Ngeneric:Invisible-Pin|pin@6||0|-2||||
659 NPin|pin@7||0|-2|1|1||
660 NPin|pin@8||0|-1|1|1||
661 NPin|pin@9||-2.5|0|1|1|R|
662 NPin|pin@10||-1.5|0|1|1|R|
663 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
664 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
665 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
666 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I10
667 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
668 Ectl||D5G2;|pin@6||I
669 Ein||D5G2;|pin@0||I
670 Eout||D5G2;|pin@1||O
671 X
672
673 # Cell invCTLn;1{sch}
674 CinvCTLn;1{sch}||schematic|993433994000|1248729331835||ATTR_Delay(D5G2;HNPX-21.5;Y1;)I100|ATTR_X(D5FLeave alone;G2;HNOLPX-21.5;Y4;)S1|ATTR_sloDelay(D5G2;HNPX-22;Y-1.5;)I175|prototype_center()I[0,0]
675 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
676 INMOS;1{ic}|NMOS@2||0|9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
677 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
678 Ngeneric:Facet-Center|art@0||0|0||||AV
679 NOff-Page|conn@0||12.5|16||||
680 NOff-Page|conn@1||-12|16||||
681 NOff-Page|conn@2||-13|9.5||||
682 NGround|gnd@0||0|-6.5||||
683 IinvCTLn;1{ic}|invCTLn@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S2|ATTR_sloDelay(D5G1;NPX4.5;Y-3;)I175
684 NWire_Pin|pin@0||-5|16||||
685 NWire_Pin|pin@1||0|16||||
686 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[invCTLn]
687 NWire_Pin|pin@3||-5|22||||
688 NWire_Pin|pin@4||-2.5|22||||
689 NWire_Pin|pin@5||0|20.5||||
690 NWire_Pin|pin@6||-5|0.5||||
691 NPower|pwr@0||0|28||||
692 Awire|net@0|||0|pin@0||-5|16|conn@1|y|-10|16
693 Awire|net@1|||1800|pin@1||0|16|conn@0|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
694 Awire|net@2|||900|pin@3||-5|22|pin@0||-5|16
695 Awire|net@3|||1800|pin@3||-5|22|pin@4||-2.5|22
696 Awire|net@4|||2700|PMOS@1|d|0|20|pin@5||0|20.5
697 Awire|net@5|||900|pwr@0||0|28|PMOS@1|s|0|24
698 Awire|net@6|||1800|PMOS@1|g|-3|22|pin@4||-2.5|22
699 Awire|net@7|||1800|pin@6||-5|0.5|NMOS@1|g|-3|0.5
700 Awire|net@8|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
701 Awire|net@9|||2700|pin@6||-5|0.5|pin@0||-5|16
702 Awire|net@10|||900|pin@1||0|16|NMOS@2|d|0|11.5
703 Awire|net@11|||2700|NMOS@1|d|0|2.5|NMOS@2|s|0|7.5
704 Awire|net@12|||2700|pin@1||0|16|PMOS@1|d|0|20
705 Awire|net@13|||0|NMOS@2|g|-3|9.5|conn@2|y|-11|9.5
706 Ectl||D5G2;X-4;|conn@2|y|I
707 Ein||D5G2;|conn@1|a|I
708 Eout||D5G2;|conn@0|y|O
709 X
710
711 # Cell invCTLp;1{ic}
712 CinvCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|ATTR_sloDelay(D5G1;HNPX4.75;Y-3.25;)I175|prototype_center()I[0,0]
713 Ngeneric:Facet-Center|art@0||0|0||||AV
714 NThick-Circle|art@1||0|-1.5|1|1|||ART_color()I10
715 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
716 NPin|pin@0||-1.5|0|1|1|R|
717 NPin|pin@1||-2.5|0|1|1|R|
718 Ngeneric:Invisible-Pin|pin@2||0|-2||||
719 Ngeneric:Invisible-Pin|pin@3||0|0|||||ART_message(D5G1.5;)S[CTLp]
720 NPin|pin@4||1.5|0|0.5|0.5||
721 NPin|pin@5||-1.5|-2|0.5|0.5||
722 NPin|pin@6||-1.5|2|0.5|0.5||
723 Ngeneric:Invisible-Pin|pin@7||2.5|0||||
724 Ngeneric:Invisible-Pin|pin@8||-2.5|0||||
725 AThicker|net@0|||FS1800|pin@1||-2.5|0|pin@0||-1.5|0|ART_color()I10
726 AThicker|net@1|||FS2137|pin@5||-1.5|-2|pin@4||1.5|0|ART_color()I10
727 AThicker|net@2|||FS3263|pin@4||1.5|0|pin@6||-1.5|2|ART_color()I10
728 AThicker|net@3|||FS900|pin@6||-1.5|2|pin@5||-1.5|-2|ART_color()I10
729 Ectl||D5G2;|pin@2||I
730 Ein||D5G2;|pin@8||I
731 Eout||D5G2;|pin@7||O
732 X
733
734 # Cell invCTLp;1{sch}
735 CinvCTLp;1{sch}||schematic|993433994000|1248729232899||ATTR_Delay(D5G2;HNPX-21;Y-1;)I100|ATTR_X(D5G2;HNPX-21;Y1.5;)I1|ATTR_sloDelay(D5G2;HNPX-21;Y-3.5;)I175|prototype_center()I[0,0]
736 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
737 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
738 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
739 Ngeneric:Facet-Center|art@0||0|0||||AV
740 NOff-Page|conn@0||-13|15||||
741 NOff-Page|conn@1||-12|8||||
742 NOff-Page|conn@2||12.5|8||||
743 NGround|gnd@0||0|-6.5||||
744 IinvCTLp;1{ic}|invCTLp@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I2|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175
745 NWire_Pin|pin@0||-5|0.5||||
746 NWire_Pin|pin@1||0|20.5||||
747 NWire_Pin|pin@2||-2.5|22||||
748 NWire_Pin|pin@3||-5|22||||
749 Ngeneric:Invisible-Pin|pin@4||0|33|||||ART_message(D5G3;)S[invCTLp]
750 NWire_Pin|pin@5||0|8||||
751 NWire_Pin|pin@6||-5|8||||
752 NPower|pwr@0||0|28||||
753 Awire|net@0|||0|PMOS@2|g|-3|15|conn@0|y|-11|15
754 Awire|net@1|||2700|pin@5||0|8|PMOS@2|d|0|13
755 Awire|net@2|||900|PMOS@1|d|0|20|PMOS@2|s|0|17
756 Awire|net@3|||900|pin@5||0|8|NMOS@1|d|0|2.5
757 Awire|net@4|||2700|pin@0||-5|0.5|pin@6||-5|8
758 Awire|net@5|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
759 Awire|net@6|||1800|pin@0||-5|0.5|NMOS@1|g|-3|0.5
760 Awire|net@7|||1800|PMOS@1|g|-3|22|pin@2||-2.5|22
761 Awire|net@8|||900|pwr@0||0|28|PMOS@1|s|0|24
762 Awire|net@9|||2700|PMOS@1|d|0|20|pin@1||0|20.5
763 Awire|net@10|||1800|pin@3||-5|22|pin@2||-2.5|22
764 Awire|net@11|||900|pin@3||-5|22|pin@6||-5|8
765 Awire|net@12|||1800|pin@5||0|8|conn@2|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
766 Awire|net@13|||0|pin@6||-5|8|conn@1|y|-10|8
767 Ectl||D5G2;X-4;|conn@0|y|I
768 Ein||D5G2;|conn@1|a|I
769 Eout||D5G2;|conn@2|y|O
770 X
771
772 # Cell invHT;1{ic}
773 CinvHT;1{ic}||artwork|1021415734000|1228431906734|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
774 Ngeneric:Facet-Center|art@0||0|0||||AV
775 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
776 NPin|pin@0||1.5|0|1|1||
777 NPin|pin@1||-1.5|0|1|1||
778 NPin|pin@2||-2.5|0||||
779 NPin|pin@3||-1.5|2|1|1||
780 NPin|pin@4||-1.5|-2|1|1||
781 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
782 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
783 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
784 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
785 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
786 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
787 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
788 Ein||D5G1;|pin@5||I
789 Eout||D5G1;|pin@6||O
790 X
791
792 # Cell invHT;1{sch}
793 CinvHT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
794 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
795 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
796 Ngeneric:Facet-Center|art@0||0|0||||AV
797 NOff-Page|conn@0||-11|0||||
798 NOff-Page|conn@1||8|0||||
799 NGround|gnd@0||0|-12.5||||
800 IinvHT;1{ic}|invHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
801 IinvHTI;2{ic}|invHT@1||26|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
802 Ngeneric:Invisible-Pin|pin@0||26.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
803 Ngeneric:Invisible-Pin|pin@1||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
804 NWire_Pin|pin@2||-4|0||||
805 NWire_Pin|pin@3||-4|-6||||
806 NWire_Pin|pin@4||-4|6||||
807 NWire_Pin|pin@5||0|0||||
808 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[HI-threshold fixed-size (non-LE) inverter]
809 Ngeneric:Invisible-Pin|pin@7||-1|24|||||ART_message(D5G6;)S[invHT]
810 NPower|pwr@0||0|12.5||||
811 Awire|net@0|||900|pwr@0||0|12.5|PMOS@1|s|0|8
812 Awire|net@1|||1800|pin@4||-4|6|PMOS@1|g|-3|6
813 Awire|net@2|||2700|pin@5||0|0|PMOS@1|d|0|4
814 Awire|net@3|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
815 Awire|net@4|||900|pin@5||0|0|NMOS@1|d|0|-4
816 Awire|net@5|||1800|pin@3||-4|-6|NMOS@1|g|-3|-6
817 Awire|net@6|||0|pin@2||-4|0|conn@0|y|-9|0
818 Awire|net@7|||2700|pin@3||-4|-6|pin@2||-4|0
819 Awire|net@8|||2700|pin@2||-4|0|pin@4||-4|6
820 Awire|net@9|||0|conn@1|a|6|0|pin@5||0|0
821 Ein||D5G2;|conn@0|a|I
822 Eout||D5G2;|conn@1|y|O
823 X
824
825 # Cell invHTI;2{ic}
826 CinvHTI;2{ic}|invHT|artwork|1021415734000|1228431964199|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
827 Ngeneric:Facet-Center|art@0||0|0||||AV
828 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
829 NPin|pin@0||1.5|0|1|1||
830 NPin|pin@1||2.5|0|1|1||
831 NPin|pin@2||1.5|0||||
832 NPin|pin@3||-1.5|2|1|1||
833 NPin|pin@4||-1.5|-2|1|1||
834 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
835 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
836 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
837 AThicker|net@0|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I10
838 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
839 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
840 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
841 Ein||D5G1;|pin@5||I
842 Eout||D5G1;|pin@6||O
843 X
844
845 # Cell invI;2{ic}
846 CinvI;2{ic}|inv|artwork|1021415734000|1228431072751|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
847 Ngeneric:Facet-Center|art@0||0|0||||AV
848 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
849 NPin|pin@0||1.5|0|1|1||
850 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
851 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
852 NPin|pin@5||-1.5|2|1|1||
853 NPin|pin@6||-1.5|-2|1|1||
854 NPin|pin@7||2.5|0|1|1||
855 NPin|pin@8||1.5|0||||
856 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
857 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
858 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
859 AThicker|net@4|||FS0|pin@7||2.5|0|pin@8||1.5|0|ART_color()I10
860 Ein||D5G1;|pin@1||I
861 Eout||D5G1;|pin@4||O
862 X
863
864 # Cell invK;1{ic}
865 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
866 Ngeneric:Facet-Center|art@0||0|0||||AV
867 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
868 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
869 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
870 NPin|pin@1||1.5|0|1|1||
871 NPin|pin@2||2.5|0||||
872 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
873 NPin|pin@4||-1.5|-2|1|1||
874 NPin|pin@5||-1.5|2|1|1||
875 NPin|pin@6||1.5|0|1|1||
876 AThicker|net@0|||FS0|pin@2||2.5|0|pin@1||1.5|0|ART_color()I10
877 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@5||-1.5|2|ART_color()I10
878 AThicker|net@2|||FS337|pin@6||1.5|0|pin@4||-1.5|-2|ART_color()I10
879 AThicker|net@3|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
880 Ein||D5G1;|pin@3||I
881 Eout||D5G1;|pin@0||O
882 X
883
884 # Cell invK;1{sch}
885 CinvK;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNOJPX-14;Y-6.5;)S@Delay|ATTR_X(D5FLeave alone;G1;HNOLPX-14;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-14;Y-7.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-8.5;)Sweak1|ATTR_verilog_template(D5G1;NTX30;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
886 INMOS;1{ic}|NMOS@0||2|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
887 IPMOS;1{ic}|PMOS@0||2|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
888 Ngeneric:Facet-Center|art@0||0|0||||AV
889 NOff-Page|conn@0||8|0||||
890 NOff-Page|conn@1||-11|0||||
891 NGround|gnd@0||2|-12||||
892 IinvK;1{ic}|invK@0||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_LEKEEPER(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
893 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[LO threshold fixed-size keeper inverter]
894 Ngeneric:Invisible-Pin|pin@1||-1|23.5|||||ART_message(D5G6;)S[invK]
895 Ngeneric:Invisible-Pin|pin@2||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
896 Ngeneric:Invisible-Pin|pin@3||28.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
897 NWire_Pin|pin@4||-2|-5|0.5|0.5||
898 NWire_Pin|pin@5||-2|6|0.5|0.5||
899 NWire_Pin|pin@6||-2|0||||
900 NWire_Pin|pin@7||2|0||||
901 NPower|pwr@0||2|11.5||||
902 Awire|net@0|||2700|PMOS@0|s|2|8|pwr@0||2|11.5
903 Awire|net@1|||0|PMOS@0|g|-1|6|pin@5||-2|6
904 Awire|net@2|||2700|pin@7||2|0|PMOS@0|d|2|4
905 Awire|net@3|||2700|gnd@0||2|-10|NMOS@0|s|2|-7
906 Awire|net@4|||2700|NMOS@0|d|2|-3|pin@7||2|0
907 Awire|net@5|||0|NMOS@0|g|-1|-5|pin@4||-2|-5
908 Awire|net@6|||2700|pin@4||-2|-5|pin@6||-2|0
909 Awire|net@7|||2700|pin@6||-2|0|pin@5||-2|6
910 Awire|net@8|||0|conn@0|a|6|0|pin@7||2|0
911 Awire|net@9|||1800|conn@1|y|-9|0|pin@6||-2|0
912 Ein||D5G2;|conn@1|a|I
913 Eout||D5G2;|conn@0|y|O
914 X
915
916 # Cell invKV;1{ic}
917 CinvKV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y1.75;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
918 Ngeneric:Facet-Center|art@0||0|0||||AV
919 NOpened-Thicker-Polygon|art@1||-0.5|0.5|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
920 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
921 NOpened-Thicker-Polygon|art@3||-0.5|-0.75|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
922 NPin|pin@0||1.5|0|1|1||
923 NPin|pin@1||-1.5|2|1|1||
924 NPin|pin@2||-1.5|-2|1|1||
925 NPin|pin@3||2.5|0||||
926 NPin|pin@4||1.5|0|1|1||
927 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
928 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
929 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I10
930 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I10
931 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I10
932 AThicker|net@3|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I10
933 Ein||D5G1;|pin@6||I
934 Eout||D5G1;|pin@5||O
935 X
936
937 # Cell invKV;1{sch}
938 CinvKV;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-11.5;Y-5.5;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-11.5;Y-3.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-11.5;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-11;Y-6.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-11;Y-7.5;)Sweak1|ATTR_verilog_template(D5G1;NTX24.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
939 INMOS;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
940 IPMOS;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
941 Ngeneric:Facet-Center|art@0||0|0||||AV
942 NOff-Page|conn@0||-11|0||||
943 NOff-Page|conn@1||8|0||||
944 NGround|gnd@0||0|-11||||
945 IinvKV;1{ic}|invKV@0||21.5|9|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y1.75;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
946 Ngeneric:Invisible-Pin|pin@0||26|-7.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
947 NWire_Pin|pin@1||-4|-6||||
948 NWire_Pin|pin@2||-4|6||||
949 NWire_Pin|pin@3||0|0||||
950 NWire_Pin|pin@4||-4|0||||
951 Ngeneric:Invisible-Pin|pin@5||-0.5|17|||||ART_message(D5G6;)S[invKV]
952 Ngeneric:Invisible-Pin|pin@6||-1|13.5|||||ART_message(D5G2;)S[Two parameter variable ratio keeper]
953 NPower|pwr@0||0|10.5||||
954 Awire|net@0|||1800|pin@1||-4|-6|NMOS@0|g|-3|-6
955 Awire|net@1|||2700|PMOS@0|s|0|8|pwr@0||0|10.5
956 Awire|net@2|||1800|pin@2||-4|6|PMOS@0|g|-3|6
957 Awire|net@3|||2700|pin@3||0|0|PMOS@0|d|0|4
958 Awire|net@4|||900|NMOS@0|s|0|-8|gnd@0||0|-9
959 Awire|net@5|||2700|NMOS@0|d|0|-4|pin@3||0|0
960 Awire|net@6|||1800|conn@0|y|-9|0|pin@4||-4|0
961 Awire|net@7|||0|conn@1|a|6|0|pin@3||0|0
962 Awire|net@8|||2700|pin@1||-4|-6|pin@4||-4|0
963 Awire|net@9|||2700|pin@4||-4|0|pin@2||-4|6
964 Ein||D5G2;|conn@0|a|I
965 Eout||D5G2;|conn@1|y|O
966 X
967
968 # Cell invLT;1{ic}
969 CinvLT;1{ic}||artwork|1021415734000|1228431575062|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
970 Ngeneric:Facet-Center|art@0||0|0||||AV
971 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
972 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
973 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
974 NPin|pin@2||-1.5|-2|1|1||
975 NPin|pin@3||-1.5|2|1|1||
976 NPin|pin@4||-2.5|0||||
977 NPin|pin@5||-1.5|0|1|1||
978 NPin|pin@6||1.5|0|1|1||
979 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
980 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
981 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
982 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
983 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
984 Ein||D5G1;|pin@1||I
985 Eout||D5G1;|pin@0||O
986 X
987
988 # Cell invLT;1{sch}
989 CinvLT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX23;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
990 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
991 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
992 Ngeneric:Facet-Center|art@0||0|0||||AV
993 NOff-Page|conn@0||11|0||||
994 NOff-Page|conn@1||-11.5|0||||
995 NGround|gnd@0||0|-12.5||||
996 IinvLT;1{ic}|invLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
997 IinvLTI;2{ic}|invLTI@0||27|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
998 NWire_Pin|pin@0||-4|0||||
999 NWire_Pin|pin@1||0|0||||
1000 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)S[invLT]
1001 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[LO-threshold fixed-size (non-LE) inverter]
1002 NWire_Pin|pin@4||-4|6||||
1003 NWire_Pin|pin@5||-4|-6||||
1004 Ngeneric:Invisible-Pin|pin@6||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
1005 Ngeneric:Invisible-Pin|pin@7||24|-9|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
1006 NPower|pwr@0||0|12.5||||
1007 Awire|net@0|||0|pin@0||-4|0|conn@1|y|-9.5|0
1008 Awire|net@1|||900|pin@4||-4|6|pin@0||-4|0
1009 Awire|net@2|||900|pin@0||-4|0|pin@5||-4|-6
1010 Awire|net@3|||1800|pin@1||0|0|conn@0|a|9|0
1011 Awire|net@4|||2700|NMOS@1|d|0|-4|pin@1||0|0
1012 Awire|net@5|||2700|pin@1||0|0|PMOS@1|d|0|4
1013 Awire|net@6|||900|pwr@0||0|12.5|PMOS@1|s|0|8
1014 Awire|net@7|||1800|pin@4||-4|6|PMOS@1|g|-3|6
1015 Awire|net@8|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
1016 Awire|net@9|||1800|pin@5||-4|-6|NMOS@1|g|-3|-6
1017 Ein||D5G2;|conn@1|a|I
1018 Eout||D5G2;|conn@0|y|O
1019 X
1020
1021 # Cell invLTI;2{ic}
1022 CinvLTI;2{ic}|invLT|artwork|1021415734000|1228431729256|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1023 Ngeneric:Facet-Center|art@0||0|0||||AV
1024 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
1025 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1026 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
1027 NPin|pin@2||-1.5|-2|1|1||
1028 NPin|pin@3||-1.5|2|1|1||
1029 NPin|pin@4||1.5|0||||
1030 NPin|pin@5||2.5|0|1|1||
1031 NPin|pin@6||1.5|0|1|1||
1032 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
1033 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1034 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1035 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1036 AThicker|net@3|||FS0|pin@5||2.5|0|pin@4||1.5|0|ART_color()I10
1037 Ein||D5G1;|pin@1||I
1038 Eout||D5G1;|pin@0||O
1039 X
1040
1041 # Cell invV;1{ic}
1042 CinvV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.75;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1043 Ngeneric:Facet-Center|art@0||0|0||||AV
1044 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1045 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1046 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1047 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1048 NPin|pin@2||-1.5|-2|1|1||
1049 NPin|pin@3||-1.5|2|1|1||
1050 NPin|pin@4||-2.5|0||||
1051 NPin|pin@5||-1.5|0|1|1||
1052 NPin|pin@6||1.5|0|1|1||
1053 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1054 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1055 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1056 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1057 Ein||D5G1;|pin@0||I
1058 Eout||D5G1;|pin@1||O
1059 X
1060
1061 # Cell invV;1{sch}
1062 CinvV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX19.5;Y-16;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1063 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
1064 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
1065 Ngeneric:Facet-Center|art@0||0|0||||AV
1066 NOff-Page|conn@0||7|0||||
1067 NOff-Page|conn@1||-12|0||||
1068 NGround|gnd@0||0|-12||||
1069 IinvV;1{ic}|invV@0||24|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.75;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1070 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[two-parameter variable ratio inverter]
1071 Ngeneric:Invisible-Pin|pin@1||-0.5|21.5|||||ART_message(D5G6;)S[invV]
1072 NWire_Pin|pin@2||0|0||||
1073 NWire_Pin|pin@3||-5|0||||
1074 NWire_Pin|pin@4||-5|-6||||
1075 NWire_Pin|pin@5||-5|6||||
1076 Ngeneric:Invisible-Pin|pin@6||28|-11.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
1077 NPower|pwr@0||0|10.5||||
1078 Awire|net@0|||900|NMOS@1|s|0|-8|gnd@0||0|-10
1079 Awire|net@1|||900|pin@2||0|0|NMOS@1|d|0|-4
1080 Awire|net@2|||1800|pin@4||-5|-6|NMOS@1|g|-3|-6
1081 Awire|net@3|||2700|PMOS@1|s|0|8|pwr@0||0|10.5
1082 Awire|net@4|||1800|pin@5||-5|6|PMOS@1|g|-3|6
1083 Awire|net@5|||2700|pin@2||0|0|PMOS@1|d|0|4
1084 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1085 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1086 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1087 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1088 Ein||D5G2;|conn@1|a|I
1089 Eout||D5G2;|conn@0|y|O
1090 X
1091
1092 # Cell invVn;1{ic}
1093 CinvVn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1094 Ngeneric:Facet-Center|art@0||0|0||||AV
1095 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1096 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1097 NOpened-Thicker-Polygon|art@3||0.25|-0.12|0.5|0.75|||ART_color()I10|trace()V[-0.25/-0.375,-0.25/0.375,0.25/-0.375,0.25/0.375]
1098 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1099 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1100 NPin|pin@2||-1.5|-2|1|1||
1101 NPin|pin@3||-1.5|2|1|1||
1102 NPin|pin@4||-2.5|0||||
1103 NPin|pin@5||-1.5|0|1|1||
1104 NPin|pin@6||1.5|0|1|1||
1105 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1106 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1107 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1108 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1109 Ein||D5G1;|pin@0||I
1110 Eout||D5G1;|pin@1||O
1111 X
1112
1113 # Cell invVn;1{sch}
1114 CinvVn;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX-15.5;Y-6.5;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-15.5;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-15.5;Y-8.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-9.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX18.5;Y-19.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1115 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*@NPdrvR
1116 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X
1117 Ngeneric:Facet-Center|art@0||0|0||||AV
1118 NOff-Page|conn@0||7|0||||
1119 NOff-Page|conn@1||-12|0||||
1120 NGround|gnd@0||0|-12||||
1121 IinvVn;1{ic}|invVn@0||26.75|6|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;NOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1122 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[variable ratio inverter]
1123 Ngeneric:Invisible-Pin|pin@1||-0.5|22|||||ART_message(D5G6;)S[invVn]
1124 NWire_Pin|pin@2||0|0||||
1125 NWire_Pin|pin@3||-5|0||||
1126 NWire_Pin|pin@4||-5|-6||||
1127 NWire_Pin|pin@5||-5|6||||
1128 Ngeneric:Invisible-Pin|pin@6||19.5|-15.5|||||ART_message(D5G2;)S[X is drive strength,"P drive strength is X, N drive strength is X*NPdrvR"]
1129 Ngeneric:Invisible-Pin|pin@7||0.5|15.5|||||ART_message(D5G2;)S["PMOS sized normally, NMOS sized by ratio value"]
1130 NPower|pwr@0||0|11||||
1131 Awire|net@0|||900|NMOS@1|s|0|-8|gnd@0||0|-10
1132 Awire|net@1|||2700|NMOS@1|d|0|-4|pin@2||0|0
1133 Awire|net@2|||1800|pin@4||-5|-6|NMOS@1|g|-3|-6
1134 Awire|net@3|||2700|PMOS@1|s|0|8|pwr@0||0|11
1135 Awire|net@4|||1800|pin@5||-5|6|PMOS@1|g|-3|6
1136 Awire|net@5|||2700|pin@2||0|0|PMOS@1|d|0|4
1137 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1138 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1139 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1140 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1141 Ein||D5G2;|conn@1|a|I
1142 Eout||D5G2;|conn@0|y|O
1143 X
1144
1145 # Cell invVp;1{ic}
1146 CinvVp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1147 Ngeneric:Facet-Center|art@0||0|0||||AV
1148 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1149 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1150 NOpened-Thicker-Polygon|art@3||0.25|-0.25|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1151 NPin|pin@0||1.5|0|1|1||
1152 NPin|pin@1||-1.5|0|1|1||
1153 NPin|pin@2||-2.5|0||||
1154 NPin|pin@3||-1.5|2|1|1||
1155 NPin|pin@4||-1.5|-2|1|1||
1156 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1157 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
1158 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1159 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
1160 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
1161 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
1162 Ein||D5G1;|pin@6||I
1163 Eout||D5G1;|pin@5||O
1164 X
1165
1166 # Cell invVp;1{sch}
1167 CinvVp;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_PNdrvR(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-12.5;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX22;Y-15.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1168 INMOS;1{ic}|NMOS@1||0.5|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1169 IPMOS;1{ic}|PMOS@1||0.5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X*@PNdrvR
1170 Ngeneric:Facet-Center|art@0||0|0||||AV
1171 NOff-Page|conn@0||-12|0||||
1172 NOff-Page|conn@1||7|0||||
1173 NGround|gnd@0||0.5|-12||||
1174 IinvVp;1{ic}|invVp@0||26.5|6.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1175 NWire_Pin|pin@0||-4.5|6||||
1176 NWire_Pin|pin@1||-4.5|-6||||
1177 NWire_Pin|pin@2||-4.5|0||||
1178 NWire_Pin|pin@3||0.5|0||||
1179 Ngeneric:Invisible-Pin|pin@4||0.5|14.5|||||ART_message(D5G2;)S["NMOS sized normally, PMOS sized by ratio value"]
1180 Ngeneric:Invisible-Pin|pin@5||25.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,"N drive strength is X, P drive strength is X*PNdrvR"]
1181 Ngeneric:Invisible-Pin|pin@6||-0.5|21|||||ART_message(D5G6;)S[invVp]
1182 Ngeneric:Invisible-Pin|pin@7||0.5|16.5|||||ART_message(D5G2;)S[variable ratio inverter]
1183 NPower|pwr@0||0.5|11||||
1184 Awire|net@0|||1800|conn@0|y|-10|0|pin@2||-4.5|0
1185 Awire|net@1|||0|conn@1|a|5|0|pin@3||0.5|0
1186 Awire|net@2|||2700|pin@2||-4.5|0|pin@0||-4.5|6
1187 Awire|net@3|||2700|pin@1||-4.5|-6|pin@2||-4.5|0
1188 Awire|net@4|||1800|pin@0||-4.5|6|PMOS@1|g|-2.5|6
1189 Awire|net@5|||2700|pin@3||0.5|0|PMOS@1|d|0.5|4
1190 Awire|net@6|||2700|PMOS@1|s|0.5|8|pwr@0||0.5|11
1191 Awire|net@7|||2700|NMOS@1|d|0.5|-4|pin@3||0.5|0
1192 Awire|net@8|||1800|pin@1||-4.5|-6|NMOS@1|g|-2.5|-6
1193 Awire|net@9|||900|NMOS@1|s|0.5|-8|gnd@0||0.5|-10
1194 Ein||D5G2;|conn@0|a|I
1195 Eout||D5G2;|conn@1|y|O
1196 X
1197
1198 # Cell mullerC;1{ic}
1199 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1200 Ngeneric:Facet-Center|art@0||0|0||||AV
1201 NOpened-Thicker-Polygon|art@1||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1202 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1203 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1204 NPin|pin@0||-0.25|-2|1|1||
1205 NPin|pin@1||-1.5|-0.75|1|1||
1206 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1207 NPin|pin@3||-1.5|-1|1|1||
1208 NPin|pin@4||-2.5|-1||||
1209 NPin|pin@5||-0.5|2|1|1||
1210 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1211 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1212 NPin|pin@8||-1.5|2|1|1||
1213 NPin|pin@9||-1.5|-2|1|1||
1214 NPin|pin@10||-0.5|-2|1|1||
1215 NPin|pin@11||-2.5|1||||
1216 NPin|pin@12||-1.5|1|1|1||
1217 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1218 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1219 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1220 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1221 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1222 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1223 Eina||D5G1;|pin@2||I
1224 Einb||D5G1;|pin@6||I
1225 Eout||D5G1;|pin@7||O
1226 X
1227
1228 # Cell mullerC;1{sch}
1229 CmullerC;1{sch}||schematic|1021415734000|1157995398986||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1230 Ngeneric:Facet-Center|art@0||0|0||||AV
1231 NOff-Page|conn@0||-19|0||||
1232 NOff-Page|conn@1||15.5|-5|||RR|
1233 NOff-Page|conn@2||15.5|0||||
1234 ImullerC;1{ic}|mullerC@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1235 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1236 NWire_Pin|pin@0||-6.5|0||||
1237 Ngeneric:Invisible-Pin|pin@1||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1238 Ngeneric:Invisible-Pin|pin@2||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1239 NWire_Pin|pin@3||-6.5|8.5||||
1240 NWire_Pin|pin@4||6|-5||||
1241 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter muller C-element]
1242 NWire_Pin|pin@6||6|4.5||||
1243 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[mullerC]
1244 NWire_Pin|pin@8||-6.5|-9||||
1245 NWire_Pin|pin@9||0|0||||
1246 Ipms2;1{ic}|pms2@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
1247 Awire|net@0|||0|conn@2|a|13.5|0|pin@9||0|0
1248 Awire|net@1|||0|pin@0||-6.5|0|conn@0|y|-17|0
1249 Awire|net@2|||2700|pin@8||-6.5|-9|pin@0||-6.5|0
1250 Awire|net@3|||2700|pin@0||-6.5|0|pin@3||-6.5|8.5
1251 Awire|net@4|||1800|pin@4||6|-5|conn@1|y|13.5|-5
1252 Awire|net@5|||0|pms2@0|g|-3|8.5|pin@3||-6.5|8.5
1253 Awire|net@6|||1800|pms2@0|g2|3|4.5|pin@6||6|4.5
1254 Awire|net@7|||2700|pin@9||0|0|pms2@0|d|0|2.5
1255 Awire|net@8|||2700|pin@4||6|-5|pin@6||6|4.5
1256 Awire|net@9|||1800|pin@8||-6.5|-9|nms2@0|g|-3|-9
1257 Awire|net@10|||1800|nms2@0|g2|3|-5|pin@4||6|-5
1258 Awire|net@11|||900|pin@9||0|0|nms2@0|d|0|-3
1259 Eina||D5G2;|conn@0|a|I
1260 Einb||D5G2;|conn@1|a|I
1261 Eout||D5G2;|conn@2|y|O
1262 X
1263
1264 # Cell mullerC_sy;1{ic}
1265 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1266 Ngeneric:Facet-Center|art@0||0|0||||AV
1267 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1268 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1269 NOpened-Thicker-Polygon|art@3||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1270 NPin|pin@0||-1.5|1|1|1||
1271 NPin|pin@1||-2.5|1||||
1272 NPin|pin@2||-0.5|-2|1|1||
1273 NPin|pin@3||-1.5|-2|1|1||
1274 NPin|pin@4||-1.5|2|1|1||
1275 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1276 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1277 NPin|pin@7||-0.5|2|1|1||
1278 NPin|pin@8||-2.5|-1||||
1279 NPin|pin@9||-1.5|-1|1|1||
1280 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1281 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1282 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1283 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1284 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1285 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1286 Eina||D5G1;|pin@10||I
1287 Einb||D5G1;|pin@6||I
1288 Eout||D5G1;|pin@5||O
1289 X
1290
1291 # Cell mullerC_sy;1{sch}
1292 CmullerC_sy;1{sch}||schematic|1021415734000|1157995387844||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1293 Ngeneric:Facet-Center|art@0||0|0||||AV
1294 NOff-Page|conn@0||15.5|0||||
1295 NOff-Page|conn@1||15.5|-5|||RR|
1296 NOff-Page|conn@2||-19|0||||
1297 ImullerC_sy;1{ic}|mullerC_@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1298 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1299 NWire_Pin|pin@0||0|0||||
1300 NWire_Pin|pin@1||-6.5|-9||||
1301 Ngeneric:Invisible-Pin|pin@2||-0.5|25|||||ART_message(D5G6;)S[mullerC_sy]
1302 NWire_Pin|pin@3||6|4.5||||
1303 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1304 NWire_Pin|pin@5||6|-5||||
1305 NWire_Pin|pin@6||-6.5|8.5||||
1306 Ngeneric:Invisible-Pin|pin@7||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1307 Ngeneric:Invisible-Pin|pin@8||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1308 NWire_Pin|pin@9||-6.5|0||||
1309 Ipms2_sy;1{ic}|pms2_sy@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S@X
1310 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|pin@5||6|-5
1311 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1312 Awire|net@2|||1800|pin@1||-6.5|-9|nms2_sy@0|g|-3|-9
1313 Awire|net@3|||1800|pms2_sy@0|g2|3|4.5|pin@3||6|4.5
1314 Awire|net@4|||0|pms2_sy@0|g|-3|8.5|pin@6||-6.5|8.5
1315 Awire|net@5|||2700|pin@0||0|0|pms2_sy@0|d|0|2.5
1316 Awire|net@6|||2700|pin@5||6|-5|pin@3||6|4.5
1317 Awire|net@7|||1800|pin@5||6|-5|conn@1|y|13.5|-5
1318 Awire|net@8|||2700|pin@9||-6.5|0|pin@6||-6.5|8.5
1319 Awire|net@9|||2700|pin@1||-6.5|-9|pin@9||-6.5|0
1320 Awire|net@10|||0|pin@9||-6.5|0|conn@2|y|-17|0
1321 Awire|net@11|||0|conn@0|a|13.5|0|pin@0||0|0
1322 Eina||D5G2;|conn@2|a|I
1323 Einb||D5G2;|conn@1|a|I
1324 Eout||D5G2;|conn@0|y|O
1325 X
1326
1327 # Cell mux21_tri;1{ic}
1328 Cmux21_tri;1{ic}||artwork|1092084237000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
1329 Ngeneric:Facet-Center|art@0||0|0||||AV
1330 NThick-Circle|art@1||2.5|0|1|1|||ART_color()I10
1331 Nschematic:Bus_Pin|pin@0||-2|2||||
1332 Nschematic:Bus_Pin|pin@2||-2|-2||||
1333 Nschematic:Bus_Pin|pin@4||3|0||||
1334 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1335 NPin|pin@8||-1|4|1|1||
1336 NPin|pin@9||-1|-4|1|1||
1337 NPin|pin@10||2|2.5|1|1||
1338 NPin|pin@11||2|-2.5|1|1||
1339 NPin|pin@13||2|-2.5|1|1||
1340 NPin|pin@14||-1|-4|1|1||
1341 NPin|pin@15||-1|4|1|1||
1342 NPin|pin@16||2|2.5|1|1||
1343 NPin|pin@17||-2|-2|1|1||
1344 NPin|pin@18||-1|-2|1|1||
1345 NPin|pin@19||-2|2|1|1||
1346 NPin|pin@20||-1|2|1|1||
1347 NPin|pin@21||0.5|4.5|1|1||
1348 NPin|pin@22||0.5|3.25|1|1||
1349 Ngeneric:Invisible-Pin|pin@25||0|2|||||ART_message(D5G1;)S0
1350 Ngeneric:Invisible-Pin|pin@26||0|-2|||||ART_message(D5G1;)S1
1351 AThicker|net@4|||FS2700|pin@9||-1|-4|pin@8||-1|4|ART_color()I10
1352 AThicker|net@5|||FS2700|pin@11||2|-2.5|pin@10||2|2.5|ART_color()I10
1353 AThicker|net@8|||FS2066|pin@14||-1|-4|pin@13||2|-2.5|ART_color()I10
1354 AThicker|net@9|||FS3334|pin@16||2|2.5|pin@15||-1|4|ART_color()I10
1355 AThicker|net@10|||FS0|pin@18||-1|-2|pin@17||-2|-2|ART_color()I10
1356 AThicker|net@11|||FS0|pin@20||-1|2|pin@19||-2|2|ART_color()I10
1357 AThicker|net@12|||FS2700|pin@22||0.5|3.25|pin@21||0.5|4.5|ART_color()I10
1358 Ein0||D5G1;|pin@0||I
1359 Ein1||D5G1;|pin@2||I
1360 Eout||D5G1;|pin@4||O
1361 Esel||D5G1;|pin@6||I
1362 X
1363
1364 # Cell mux21_tri;1{sch}
1365 Cmux21_tri;1{sch}||schematic|1092081747000|1157998412989||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20;Y-10;)S1|prototype_center()I[0,0]
1366 Ngeneric:Facet-Center|art@0||0|0||||AV
1367 NOff-Page|conn@0||-16|-6||||
1368 NOff-Page|conn@1||-16|0||||
1369 NOff-Page|conn@2||-16|6||||
1370 NOff-Page|conn@3||10|0||||
1371 Iinv;1{ic}|inv@0||-4|0|||D5G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@X/2.0|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1372 Imux21_tri;1{ic}|mux2@0||22|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S1
1373 NWire_Pin|pin@1||5|-6||||
1374 NWire_Pin|pin@2||5|6||||
1375 NWire_Pin|pin@3||0|0||||
1376 NWire_Pin|pin@4||0|10||||
1377 NWire_Pin|pin@5||-8|10||||
1378 NWire_Pin|pin@6||-8|0||||
1379 NWire_Pin|pin@7||-8|-10||||
1380 NWire_Pin|pin@8||0|-10||||
1381 Ngeneric:Invisible-Pin|pin@10||-5|19|||||ART_message(D5G5;)Smux21_tri
1382 Ngeneric:Invisible-Pin|pin@11||-5|15|||||ART_message(D5G2;)Sa 2:1 mux using tristate inverters
1383 NWire_Pin|pin@12||5|0||||
1384 ItriInv;1{ic}|triInv@5||0|6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1385 ItriInv;1{ic}|triInv@6||0|-6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1386 Awire|net@0|||1800|conn@0|y|-14|-6|triInv@6|in|-2.5|-6
1387 Awire|net@1|||1800|conn@2|y|-14|6|triInv@5|in|-2.5|6
1388 Awire|net@4|||0|pin@1||5|-6|triInv@6|out|2.5|-6
1389 Awire|net@6|||0|pin@2||5|6|triInv@5|out|2.5|6
1390 Awire|net@7|||1800|conn@1|y|-14|0|pin@6||-8|0
1391 Awire|net@8|||1800|inv@0|out|-1.5|0|pin@3||0|0
1392 Awire|net@9|||900|pin@3||0|0|triInv@6|enB|0|-4
1393 Awire|net@10|||2700|pin@3||0|0|triInv@5|en|0|4
1394 Awire|net@11|||2700|triInv@5|enB|0|8|pin@4||0|10
1395 Awire|net@12|||0|pin@4||0|10|pin@5||-8|10
1396 Awire|net@13|||1800|pin@6||-8|0|inv@0|in|-6.5|0
1397 Awire|net@14|||900|pin@5||-8|10|pin@6||-8|0
1398 Awire|net@15|||900|pin@6||-8|0|pin@7||-8|-10
1399 Awire|net@16|||1800|pin@7||-8|-10|pin@8||0|-10
1400 Awire|net@17|||2700|pin@8||0|-10|triInv@6|en|0|-8
1401 Awire|net@22|||2700|pin@1||5|-6|pin@12||5|0
1402 Awire|net@23|||2700|pin@12||5|0|pin@2||5|6
1403 Awire|net@24|||0|conn@3|a|8|0|pin@12||5|0
1404 Ein0||D5G2;|conn@2|a|I
1405 Ein1||D5G2;|conn@0|a|I
1406 Eout||D5G2;|conn@3|y|O
1407 Esel||D5G2;|conn@1|a|I
1408 X
1409
1410 # Cell nand2;1{ic}
1411 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1412 Ngeneric:Facet-Center|art@0||0|0||||AV
1413 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1414 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1415 NPin|pin@0||-1.5|1|1|1||
1416 NPin|pin@1||-2.5|1||||
1417 NPin|pin@2||-0.5|-2|1|1||
1418 NPin|pin@3||-1.5|-2|1|1||
1419 NPin|pin@4||-1.5|2|1|1||
1420 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1421 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1422 NPin|pin@7||-0.5|2|1|1||
1423 NPin|pin@8||-2.5|-1||||
1424 NPin|pin@9||-1.5|-1|1|1||
1425 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1426 NPin|pin@11||-1.5|-0.75|1|1||
1427 NPin|pin@12||-0.25|-2|1|1||
1428 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1429 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1430 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1431 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1432 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1433 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I10
1434 Eina||D5G1;|pin@10||I
1435 Einb||D5G1;|pin@6||I
1436 Eout||D5G1;|pin@5||O
1437 X
1438
1439 # Cell nand2;1{sch}
1440 Cnand2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX21;Y-18.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1441 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1442 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1443 Ngeneric:Facet-Center|art@0||0|0||||AV
1444 NOff-Page|conn@0||15.5|0||||
1445 NOff-Page|conn@1||19.5|-5|||RR|
1446 NOff-Page|conn@2||-21.5|-1||||
1447 Inand2;1{ic}|nand2@0||15.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1448 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1449 NWire_Pin|pin@2||0|0||||
1450 NWire_Pin|pin@3||-9|-9||||
1451 NWire_Pin|pin@15||4.5|7.5||||
1452 NWire_Pin|pin@16||-5|7.5||||
1453 Ngeneric:Invisible-Pin|pin@17||-0.5|25|||||ART_message(D5G6;)S[nand2]
1454 NWire_Pin|pin@18||9|4||||
1455 NWire_Pin|pin@19||4.5|0||||
1456 Ngeneric:Invisible-Pin|pin@20||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
1457 NWire_Pin|pin@21||-5|0||||
1458 NWire_Pin|pin@22||9|-5||||
1459 NWire_Pin|pin@23||-9|4||||
1460 Ngeneric:Invisible-Pin|pin@24||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
1461 Ngeneric:Invisible-Pin|pin@25||22.5|-13.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1462 NWire_Pin|pin@26||-9|-1||||
1463 NPower|pwr@0||-5|10.5||||
1464 Awire|net@14|||900|pin@2||0|0|nms2@0|d|0|-3
1465 Awire|net@15|||0|pin@19||4.5|0|pin@2||0|0
1466 Awire|net@16|||0|pin@2||0|0|pin@21||-5|0
1467 Awire|net@17|||1800|nms2@0|g2|3|-5|pin@22||9|-5
1468 Awire|net@18|||1800|pin@3||-9|-9|nms2@0|g|-3|-9
1469 Awire|net@20|||900|pin@16||-5|7.5|PMOS@2|s|-5|6
1470 Awire|net@21|||1800|pin@23||-9|4|PMOS@2|g|-8|4
1471 Awire|net@22|||2700|pin@21||-5|0|PMOS@2|d|-5|2
1472 Awire|net@23|||2700|PMOS@3|s|4.5|6|pin@15||4.5|7.5
1473 Awire|net@24|||1800|PMOS@3|g|7.5|4|pin@18||9|4
1474 Awire|net@25|||2700|pin@19||4.5|0|PMOS@3|d|4.5|2
1475 Awire|net@36|||2700|pin@22||9|-5|pin@18||9|4
1476 Awire|net@38|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
1477 Awire|net@39|||0|pin@15||4.5|7.5|pin@16||-5|7.5
1478 Awire|net@42|||1800|pin@22||9|-5|conn@1|y|17.5|-5
1479 Awire|net@43|||2700|pin@3||-9|-9|pin@26||-9|-1
1480 Awire|net@44|||2700|pin@26||-9|-1|pin@23||-9|4
1481 Awire|net@45|||1800|conn@2|y|-19.5|-1|pin@26||-9|-1
1482 Awire|net@46|||0|conn@0|a|13.5|0|pin@19||4.5|0
1483 Eina||D5G2;|conn@2|a|I
1484 Einb||D5G2;|conn@1|a|I
1485 Eout||D5G2;|conn@0|y|O
1486 X
1487
1488 # Cell nand2HLT_sy;1{ic}
1489 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1490 Ngeneric:Facet-Center|art@0||0|0||||AV
1491 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1492 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1493 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1494 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1495 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1496 NPin|pin@1||-1.5|-1|1|1||
1497 NPin|pin@2||-2.5|-1||||
1498 NPin|pin@3||-0.5|2|1|1||
1499 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1500 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1501 NPin|pin@6||-1.5|2|1|1||
1502 NPin|pin@7||-1.5|-2|1|1||
1503 NPin|pin@8||-0.5|-2|1|1||
1504 NPin|pin@9||-2.5|1||||
1505 NPin|pin@10||-1.5|1|1|1||
1506 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1507 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1508 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1509 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1510 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1511 Eina||D5G1;|pin@0||I
1512 Einb||D5G1;|pin@4||I
1513 Eout||D5G1;|pin@5||O
1514 X
1515
1516 # Cell nand2HLT_sy;1{sch}
1517 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-20;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1518 IPMOS;1{ic}|PMOS@2||6|3.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1519 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1520 Ngeneric:Facet-Center|art@0||0|0||||AV
1521 NOff-Page|conn@0||-17|-9.75||||
1522 NOff-Page|conn@1||21|-5.75|||RR|
1523 NOff-Page|conn@2||22.5|0||||
1524 Inand2HLT_sy;1{ic}|nand2HLT@0||38|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1525 Inms2_sy;1{ic}|nms2_sy@0||0|-9.75|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1526 Ngeneric:Invisible-Pin|pin@18||32|-14|||||ART_message(D5G2;)S[X is drive strength,The pull-down is 1.5 times as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1527 NWire_Pin|pin@19||-9.5|4||||
1528 NWire_Pin|pin@20||-5|0||||
1529 NWire_Pin|pin@21||-9.5|-9.75||||
1530 NWire_Pin|pin@22||6|0||||
1531 NWire_Pin|pin@23||10.25|3.25||||
1532 NWire_Pin|pin@24||10.25|-5.75||||
1533 Ngeneric:Invisible-Pin|pin@25||3.5|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1534 NWire_Pin|pin@26||-5|7.5||||
1535 NWire_Pin|pin@27||6|7.5||||
1536 Ngeneric:Invisible-Pin|pin@28||2|20|||||ART_message(D5G2;)S[high-LO-threshold NAND]
1537 Ngeneric:Invisible-Pin|pin@29||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1538 Ngeneric:Invisible-Pin|pin@30||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1539 NWire_Pin|pin@31||0|0||||
1540 NPower|pwr@0||-5|10.5||||
1541 Awire|net@30|||2700|pin@21||-9.5|-9.75|pin@19||-9.5|4
1542 Awire|net@31|||2700|pin@24||10.25|-5.75|pin@23||10.25|3.25
1543 Awire|net@32|||0|pin@27||6|7.5|pin@26||-5|7.5
1544 Awire|net@33|||2700|pin@26||-5|7.5|pwr@0||-5|10.5
1545 Awire|net@34|||2700|pin@22||6|0|PMOS@2|d|6|1.25
1546 Awire|net@35|||1800|PMOS@2|g|9|3.25|pin@23||10.25|3.25
1547 Awire|net@36|||2700|PMOS@2|s|6|5.25|pin@27||6|7.5
1548 Awire|net@37|||2700|pin@20||-5|0|PMOS@3|d|-5|2
1549 Awire|net@38|||1800|pin@19||-9.5|4|PMOS@3|g|-8|4
1550 Awire|net@39|||900|pin@26||-5|7.5|PMOS@3|s|-5|6
1551 Awire|net@40|||0|pin@31||0|0|pin@20||-5|0
1552 Awire|net@41|||0|pin@22||6|0|pin@31||0|0
1553 Awire|net@42|||900|pin@31||0|0|nms2_sy@0|d|0|-3.75
1554 Awire|net@43|||0|pin@24||10.25|-5.75|nms2_sy@0|g2|3|-5.75
1555 Awire|net@44|||0|nms2_sy@0|g|-3|-9.75|pin@21||-9.5|-9.75
1556 Awire|net@45|||0|conn@2|a|20.5|0|pin@22||6|0
1557 Awire|net@46|||0|conn@1|y|19|-5.75|pin@24||10.25|-5.75
1558 Awire|net@47|||0|pin@21||-9.5|-9.75|conn@0|y|-15|-9.75
1559 Eina||D5G2;|conn@0|a|I
1560 Einb||D5G2;|conn@1|a|I
1561 Eout||D5G2;|conn@2|y|O
1562 X
1563
1564 # Cell nand2HT;1{ic}
1565 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1566 Ngeneric:Facet-Center|art@0||0|0||||AV
1567 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1568 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1569 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1570 NPin|pin@0||-0.25|-2|1|1||
1571 NPin|pin@1||-1.5|-0.75|1|1||
1572 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1573 NPin|pin@3||-1.5|-1|1|1||
1574 NPin|pin@4||-2.5|-1||||
1575 NPin|pin@5||-0.5|2|1|1||
1576 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1577 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1578 NPin|pin@8||-1.5|2|1|1||
1579 NPin|pin@9||-1.5|-2|1|1||
1580 NPin|pin@10||-0.5|-2|1|1||
1581 NPin|pin@11||-2.5|1||||
1582 NPin|pin@12||-1.5|1|1|1||
1583 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1584 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1585 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1586 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1587 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1588 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1589 Eina||D5G1;|pin@2||I
1590 Einb||D5G1;|pin@6||I
1591 Eout||D5G1;|pin@7||O
1592 X
1593
1594 # Cell nand2HT;1{sch}
1595 Cnand2HT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1596 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S2.*@X
1597 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1598 Ngeneric:Facet-Center|art@0||0|0||||AV
1599 NOff-Page|conn@0||-14|-1||||
1600 NOff-Page|conn@1||16.5|-5|||RR|
1601 NOff-Page|conn@2||21|0|||Y|
1602 Inand2HT;1{ic}|nand2HT@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1603 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1604 NWire_Pin|pin@0||0|8||||
1605 NWire_Pin|pin@1||-5|8||||
1606 NWire_Pin|pin@2||4.5|8||||
1607 Ngeneric:Invisible-Pin|pin@3||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1608 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1609 NWire_Pin|pin@5||-9|4||||
1610 NWire_Pin|pin@6||0|0||||
1611 NWire_Pin|pin@7||-5|0||||
1612 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1613 NWire_Pin|pin@9||-9|-1||||
1614 NWire_Pin|pin@10||4.5|0||||
1615 NWire_Pin|pin@11||9|4||||
1616 NWire_Pin|pin@12||9|-5||||
1617 Ngeneric:Invisible-Pin|pin@13||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1618 NWire_Pin|pin@14||-9|-9||||
1619 NPower|pwr@0||0|11.5||||
1620 Awire|net@0|||0|nms2@0|g|-3|-9|pin@14||-9|-9
1621 Awire|net@1|||1800|nms2@0|g2|3|-5|pin@12||9|-5
1622 Awire|net@2|||2700|pin@0||0|8|pwr@0||0|11.5
1623 Awire|net@3|||1800|pin@1||-5|8|pin@0||0|8
1624 Awire|net@4|||1800|pin@0||0|8|pin@2||4.5|8
1625 Awire|net@5|||1800|pin@10||4.5|0|conn@2|a|19|0
1626 Awire|net@6|||2700|PMOS@2|s|-5|6|pin@1||-5|8
1627 Awire|net@7|||900|pin@2||4.5|8|PMOS@3|s|4.5|6
1628 Awire|net@8|||1800|pin@5||-9|4|PMOS@2|g|-8|4
1629 Awire|net@9|||2700|pin@7||-5|0|PMOS@2|d|-5|2
1630 Awire|net@10|||2700|pin@10||4.5|0|PMOS@3|d|4.5|2
1631 Awire|net@11|||0|pin@11||9|4|PMOS@3|g|7.5|4
1632 Awire|net@12|||2700|pin@9||-9|-1|pin@5||-9|4
1633 Awire|net@13|||900|pin@6||0|0|nms2@0|d|0|-3
1634 Awire|net@14|||0|pin@10||4.5|0|pin@6||0|0
1635 Awire|net@15|||0|pin@6||0|0|pin@7||-5|0
1636 Awire|net@16|||0|pin@9||-9|-1|conn@0|y|-12|-1
1637 Awire|net@17|||2700|pin@12||9|-5|pin@11||9|4
1638 Awire|net@18|||1800|pin@12||9|-5|conn@1|y|14.5|-5
1639 Awire|net@19|||2700|pin@14||-9|-9|pin@9||-9|-1
1640 Eina||D5G2;|conn@0|a|I
1641 Einb||D5G2;|conn@1|a|I
1642 Eout||D5G2;|conn@2|y|O
1643 X
1644
1645 # Cell nand2HTen;1{ic}
1646 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1647 Ngeneric:Facet-Center|art@0||0|0||||AV
1648 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1649 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1650 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1651 Ngeneric:Invisible-Pin|pin@0||-0.38|-1.25|||||ART_message(D5G1.5;)S[en]
1652 NPin|pin@1||-1.5|1|1|1||
1653 NPin|pin@2||-2.5|1||||
1654 NPin|pin@3||-0.5|-2|1|1||
1655 NPin|pin@4||-1.5|-2|1|1||
1656 NPin|pin@5||-1.5|2|1|1||
1657 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1658 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1659 NPin|pin@8||-0.5|2|1|1||
1660 NPin|pin@9||-2.5|-1||||
1661 NPin|pin@10||-1.5|-1|1|1||
1662 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1663 NPin|pin@12||-1.5|-0.75|1|1||
1664 NPin|pin@13||-0.25|-2|1|1||
1665 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1666 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1667 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1668 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1669 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1670 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1671 Eina||D5G1;|pin@11||I
1672 Einb||D5G1;|pin@7||I
1673 Eout||D5G1;|pin@6||O
1674 X
1675
1676 # Cell nand2HTen;1{sch}
1677 Cnand2HTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1678 IPMOS;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1679 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/5., 5./6.)
1680 Ngeneric:Facet-Center|art@0||0|0||||AV
1681 NOff-Page|conn@0||21|0|||Y|
1682 NOff-Page|conn@1||16.5|-5|||RR|
1683 NOff-Page|conn@2||-14|-1||||
1684 Inand2HTen;1{ic}|nand2HTe@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1685 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1686 NWire_Pin|pin@0||-9|-9||||
1687 Ngeneric:Invisible-Pin|pin@1||9|6|||||ART_message(D5G1;)S[fixed size]
1688 Ngeneric:Invisible-Pin|pin@2||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1689 NWire_Pin|pin@3||9|-5||||
1690 NWire_Pin|pin@4||9|4||||
1691 NWire_Pin|pin@5||4.5|0||||
1692 NWire_Pin|pin@6||-9|-1||||
1693 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND where ina is enable (DC) input]
1694 NWire_Pin|pin@8||-5|0||||
1695 NWire_Pin|pin@9||0|0||||
1696 NWire_Pin|pin@10||-9|4||||
1697 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1698 Ngeneric:Invisible-Pin|pin@12||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1699 NWire_Pin|pin@13||4.5|8||||
1700 NWire_Pin|pin@14||-5|8||||
1701 NWire_Pin|pin@15||0|8||||
1702 NPower|pwr@0||0|11.5||||
1703 Awire|net@0|||2700|pin@0||-9|-9|pin@6||-9|-1
1704 Awire|net@1|||1800|pin@3||9|-5|conn@1|y|14.5|-5
1705 Awire|net@2|||2700|pin@3||9|-5|pin@4||9|4
1706 Awire|net@3|||0|pin@6||-9|-1|conn@2|y|-12|-1
1707 Awire|net@4|||0|pin@9||0|0|pin@8||-5|0
1708 Awire|net@5|||0|pin@5||4.5|0|pin@9||0|0
1709 Awire|net@6|||900|pin@9||0|0|nms2@0|d|0|-3
1710 Awire|net@7|||2700|pin@6||-9|-1|pin@10||-9|4
1711 Awire|net@8|||0|pin@4||9|4|PMOS@2|g|7.5|4
1712 Awire|net@9|||2700|pin@5||4.5|0|PMOS@2|d|4.5|2
1713 Awire|net@10|||2700|pin@8||-5|0|PMOS@3|d|-5|2
1714 Awire|net@11|||1800|pin@10||-9|4|PMOS@3|g|-8|4
1715 Awire|net@12|||900|pin@13||4.5|8|PMOS@2|s|4.5|6
1716 Awire|net@13|||2700|PMOS@3|s|-5|6|pin@14||-5|8
1717 Awire|net@14|||1800|pin@5||4.5|0|conn@0|a|19|0
1718 Awire|net@15|||1800|pin@15||0|8|pin@13||4.5|8
1719 Awire|net@16|||1800|pin@14||-5|8|pin@15||0|8
1720 Awire|net@17|||2700|pin@15||0|8|pwr@0||0|11.5
1721 Awire|net@18|||1800|nms2@0|g2|3|-5|pin@3||9|-5
1722 Awire|net@19|||0|nms2@0|g|-3|-9|pin@0||-9|-9
1723 Eina||D5G2;|conn@2|a|I
1724 Einb||D5G2;|conn@1|a|I
1725 Eout||D5G2;|conn@0|y|O
1726 X
1727
1728 # Cell nand2LT;1{ic}
1729 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1730 Ngeneric:Facet-Center|art@0||0|0||||AV
1731 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1732 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1733 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1734 NPin|pin@0||-0.25|-2|0.5|0.5||
1735 NPin|pin@1||-1.5|-0.75|0.5|0.5||
1736 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1737 NPin|pin@3||-1.5|-1|1|1||
1738 NPin|pin@4||-2.5|-1||||
1739 NPin|pin@5||-0.5|2|1|1||
1740 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1741 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1742 NPin|pin@8||-1.5|2|1|1||
1743 NPin|pin@9||-1.5|-2|1|1||
1744 NPin|pin@10||-0.5|-2|1|1||
1745 NPin|pin@11||-2.5|1||||
1746 NPin|pin@12||-1.5|1|1|1||
1747 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1748 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1749 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1750 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1751 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1752 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1753 Eina||D5G1;|pin@2||I
1754 Einb||D5G1;|pin@6||I
1755 Eout||D5G1;|pin@7||O
1756 X
1757
1758 # Cell nand2LT;1{sch}
1759 Cnand2LT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1760 IPMOS;1{ic}|PMOS@2||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1761 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1762 Ngeneric:Facet-Center|art@0||0|0||||AV
1763 NOff-Page|conn@0||-14.5|-9||||
1764 NOff-Page|conn@1||17|-5|||RR|
1765 NOff-Page|conn@2||17|0||||
1766 Inand2LT;1{ic}|nand2LT@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1767 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1768 Ngeneric:Invisible-Pin|pin@0||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1769 NWire_Pin|pin@1||-9.5|4||||
1770 NWire_Pin|pin@2||-5|0||||
1771 NWire_Pin|pin@3||-9.5|-9||||
1772 NWire_Pin|pin@4||6|0||||
1773 NWire_Pin|pin@5||11|4||||
1774 NWire_Pin|pin@6||11|-5||||
1775 Ngeneric:Invisible-Pin|pin@7||3.5|25|||||ART_message(D5G6;)S[nand2LT]
1776 NWire_Pin|pin@8||-5|7.5||||
1777 NWire_Pin|pin@9||6|7.5||||
1778 Ngeneric:Invisible-Pin|pin@10||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1779 Ngeneric:Invisible-Pin|pin@11||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1780 Ngeneric:Invisible-Pin|pin@12||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1781 NWire_Pin|pin@13||0|0||||
1782 NPower|pwr@0||-5|10.5||||
1783 Awire|net@0|||0|pin@6||11|-5|nms2@0|g2|3|-5
1784 Awire|net@1|||900|pin@13||0|0|nms2@0|d|0|-3
1785 Awire|net@2|||0|nms2@0|g|-3|-9|pin@3||-9.5|-9
1786 Awire|net@3|||2700|pin@3||-9.5|-9|pin@1||-9.5|4
1787 Awire|net@4|||0|pin@3||-9.5|-9|conn@0|y|-12.5|-9
1788 Awire|net@5|||2700|pin@6||11|-5|pin@5||11|4
1789 Awire|net@6|||1800|pin@6||11|-5|conn@1|y|15|-5
1790 Awire|net@7|||0|pin@9||6|7.5|pin@8||-5|7.5
1791 Awire|net@8|||2700|pin@8||-5|7.5|pwr@0||-5|10.5
1792 Awire|net@9|||1800|pin@4||6|0|conn@2|a|15|0
1793 Awire|net@10|||2700|pin@4||6|0|PMOS@2|d|6|2
1794 Awire|net@11|||1800|PMOS@2|g|9|4|pin@5||11|4
1795 Awire|net@12|||2700|PMOS@2|s|6|6|pin@9||6|7.5
1796 Awire|net@13|||2700|pin@2||-5|0|PMOS@3|d|-5|2
1797 Awire|net@14|||1800|pin@1||-9.5|4|PMOS@3|g|-8|4
1798 Awire|net@15|||900|pin@8||-5|7.5|PMOS@3|s|-5|6
1799 Awire|net@16|||0|pin@13||0|0|pin@2||-5|0
1800 Awire|net@17|||0|pin@4||6|0|pin@13||0|0
1801 Eina||D5G2;|conn@0|a|I
1802 Einb||D5G2;|conn@1|a|I
1803 Eout||D5G2;|conn@2|y|O
1804 X
1805
1806 # Cell nand2LT_sy;1{ic}
1807 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1808 Ngeneric:Facet-Center|art@0||0|0||||AV
1809 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1810 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1811 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1812 NPin|pin@0||-1.5|1|1|1||
1813 NPin|pin@1||-2.5|1||||
1814 NPin|pin@2||-0.5|-2|1|1||
1815 NPin|pin@3||-1.5|-2|1|1||
1816 NPin|pin@4||-1.5|2|1|1||
1817 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1818 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1819 NPin|pin@7||-0.5|2|1|1||
1820 NPin|pin@8||-2.5|-1||||
1821 NPin|pin@9||-1.5|-1|1|1||
1822 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1823 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1824 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1825 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1826 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1827 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1828 Eina||D5G1;|pin@10||I
1829 Einb||D5G1;|pin@6||I
1830 Eout||D5G1;|pin@5||O
1831 X
1832
1833 # Cell nand2LT_sy;1{sch}
1834 Cnand2LT_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1835 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1836 IPMOS;1{ic}|PMOS@3||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1837 Ngeneric:Facet-Center|art@0||0|0||||AV
1838 NOff-Page|conn@0||17|0||||
1839 NOff-Page|conn@1||17|-5|||RR|
1840 NOff-Page|conn@2||-14.5|-9||||
1841 Inand2LT_sy;1{ic}|nand2LT_@0||38.5|19|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1842 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1843 NWire_Pin|pin@0||0|0||||
1844 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1845 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1846 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1847 NWire_Pin|pin@4||6|7.5||||
1848 NWire_Pin|pin@5||-5|7.5||||
1849 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LT_sy]
1850 NWire_Pin|pin@7||11|-5||||
1851 NWire_Pin|pin@8||11|4||||
1852 NWire_Pin|pin@9||6|0||||
1853 NWire_Pin|pin@10||-9.5|-9||||
1854 NWire_Pin|pin@11||-5|0||||
1855 NWire_Pin|pin@12||-9.5|4||||
1856 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1857 NPower|pwr@0||-5|10.5||||
1858 Awire|net@0|||0|nms2_sy@0|g|-3|-9|pin@10||-9.5|-9
1859 Awire|net@1|||0|pin@7||11|-5|nms2_sy@0|g2|3|-5
1860 Awire|net@2|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1861 Awire|net@3|||0|pin@9||6|0|pin@0||0|0
1862 Awire|net@4|||0|pin@0||0|0|pin@11||-5|0
1863 Awire|net@5|||900|pin@5||-5|7.5|PMOS@2|s|-5|6
1864 Awire|net@6|||1800|pin@12||-9.5|4|PMOS@2|g|-8|4
1865 Awire|net@7|||2700|pin@11||-5|0|PMOS@2|d|-5|2
1866 Awire|net@8|||2700|PMOS@3|s|6|6|pin@4||6|7.5
1867 Awire|net@9|||1800|PMOS@3|g|9|4|pin@8||11|4
1868 Awire|net@10|||2700|pin@9||6|0|PMOS@3|d|6|2
1869 Awire|net@11|||1800|pin@9||6|0|conn@0|a|15|0
1870 Awire|net@12|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1871 Awire|net@13|||0|pin@4||6|7.5|pin@5||-5|7.5
1872 Awire|net@14|||1800|pin@7||11|-5|conn@1|y|15|-5
1873 Awire|net@15|||2700|pin@7||11|-5|pin@8||11|4
1874 Awire|net@16|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1875 Awire|net@17|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1876 Eina||D5G2;|conn@2|a|I
1877 Einb||D5G2;|conn@1|a|I
1878 Eout||D5G2;|conn@0|y|O
1879 X
1880
1881 # Cell nand2LTen;1{ic}
1882 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1883 Ngeneric:Facet-Center|art@0||0|0||||AV
1884 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1885 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1886 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1887 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1888 NPin|pin@1||-1.5|1|1|1||
1889 NPin|pin@2||-2.5|1||||
1890 NPin|pin@3||-0.5|-2|1|1||
1891 NPin|pin@4||-1.5|-2|1|1||
1892 NPin|pin@5||-1.5|2|1|1||
1893 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1894 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1895 NPin|pin@8||-0.5|2|1|1||
1896 NPin|pin@9||-2.5|-1||||
1897 NPin|pin@10||-1.5|-1|1|1||
1898 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1899 NPin|pin@12||-1.5|-0.75|0.5|0.5||
1900 NPin|pin@13||-0.25|-2|0.5|0.5||
1901 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1902 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1903 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1904 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1905 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1906 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1907 Eina||D5G1;|pin@11||I
1908 Einb||D5G1;|pin@7||I
1909 Eout||D5G1;|pin@6||O
1910 X
1911
1912 # Cell nand2LTen;1{sch}
1913 Cnand2LTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1914 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/20., 0.5)
1915 IPMOS;1{ic}|PMOS@3||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1916 Ngeneric:Facet-Center|art@0||0|0||||AV
1917 NOff-Page|conn@0||17|0||||
1918 NOff-Page|conn@1||17|-5|||RR|
1919 NOff-Page|conn@2||-14.5|-9||||
1920 Inand2LTen;1{ic}|nand2LTe@0||42|18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1921 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1922 NWire_Pin|pin@0||0|0||||
1923 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1924 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1925 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND where ina is enable (DC) input]
1926 NWire_Pin|pin@4||6|7.5||||
1927 NWire_Pin|pin@5||-5|7.5||||
1928 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LTen]
1929 NWire_Pin|pin@7||11|-5||||
1930 NWire_Pin|pin@8||11|4||||
1931 NWire_Pin|pin@9||6|0||||
1932 NWire_Pin|pin@10||-9.5|-9||||
1933 NWire_Pin|pin@11||-5|0||||
1934 NWire_Pin|pin@12||-9.5|4||||
1935 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1936 NPower|pwr@0||-5|10.5||||
1937 Awire|net@0|||0|pin@9||6|0|pin@0||0|0
1938 Awire|net@1|||0|pin@0||0|0|pin@11||-5|0
1939 Awire|net@2|||900|pin@5||-5|7.5|PMOS@2|s|-5|6
1940 Awire|net@3|||1800|pin@12||-9.5|4|PMOS@2|g|-8|4
1941 Awire|net@4|||2700|pin@11||-5|0|PMOS@2|d|-5|2
1942 Awire|net@5|||2700|PMOS@3|s|6|6|pin@4||6|7.5
1943 Awire|net@6|||1800|PMOS@3|g|9|4|pin@8||11|4
1944 Awire|net@7|||2700|pin@9||6|0|PMOS@3|d|6|2
1945 Awire|net@8|||1800|pin@9||6|0|conn@0|a|15|0
1946 Awire|net@9|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1947 Awire|net@10|||0|pin@4||6|7.5|pin@5||-5|7.5
1948 Awire|net@11|||1800|pin@7||11|-5|conn@1|y|15|-5
1949 Awire|net@12|||2700|pin@7||11|-5|pin@8||11|4
1950 Awire|net@13|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1951 Awire|net@14|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1952 Awire|net@15|||0|nms2@0|g|-3|-9|pin@10||-9.5|-9
1953 Awire|net@16|||900|pin@0||0|0|nms2@0|d|0|-3
1954 Awire|net@17|||0|pin@7||11|-5|nms2@0|g2|3|-5
1955 Eina||D5G2;|conn@2|a|I
1956 Einb||D5G2;|conn@1|a|I
1957 Eout||D5G2;|conn@0|y|O
1958 X
1959
1960 # Cell nand2_sy;1{ic}
1961 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1962 Ngeneric:Facet-Center|art@0||0|0||||AV
1963 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1964 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1965 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1966 NPin|pin@1||-1.5|-1|1|1||
1967 NPin|pin@2||-2.5|-1||||
1968 NPin|pin@3||-0.5|2|1|1||
1969 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1970 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1971 NPin|pin@6||-1.5|2|1|1||
1972 NPin|pin@7||-1.5|-2|1|1||
1973 NPin|pin@8||-0.5|-2|1|1||
1974 NPin|pin@9||-2.5|1||||
1975 NPin|pin@10||-1.5|1|1|1||
1976 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1977 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1978 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1979 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1980 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1981 Eina||D5G1;|pin@0||I
1982 Einb||D5G1;|pin@4||I
1983 Eout||D5G1;|pin@5||O
1984 X
1985
1986 # Cell nand2_sy;1{sch}
1987 Cnand2_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-17.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-17.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX25;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1988 IPMOS;1{ic}|PMOS@2||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1989 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1990 Ngeneric:Facet-Center|art@0||0|0||||AV
1991 NOff-Page|conn@0||-23.5|-9||||
1992 NOff-Page|conn@1||19.5|-5|||RR|
1993 NOff-Page|conn@2||19.5|0||||
1994 Inand2_sy;1{ic}|nand2_sy@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1995 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1996 NWire_Pin|pin@4||13.5|-5||||
1997 NWire_Pin|pin@5||0|0||||
1998 Ngeneric:Invisible-Pin|pin@6||35|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1999 Ngeneric:Invisible-Pin|pin@7||3.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2000 NWire_Pin|pin@8||-9.5|4||||
2001 NWire_Pin|pin@9||-5|0||||
2002 Ngeneric:Invisible-Pin|pin@10||3.5|20|||||ART_message(D5G2;)S[one-parameter symmetric NAND]
2003 NWire_Pin|pin@11||-9.5|-9||||
2004 NWire_Pin|pin@12||5.5|0||||
2005 NWire_Pin|pin@13||13.5|4||||
2006 Ngeneric:Invisible-Pin|pin@14||3.5|25|||||ART_message(D5G6;)S[nand2_sy]
2007 NWire_Pin|pin@15||-5|7.5||||
2008 NWire_Pin|pin@16||5.5|7.5||||
2009 NPower|pwr@0||-5|10.5||||
2010 Awire|net@8|||1800|pin@12||5.5|0|conn@2|a|17.5|0
2011 Awire|net@9|||2700|pin@4||13.5|-5|pin@13||13.5|4
2012 Awire|net@10|||0|conn@1|y|17.5|-5|pin@4||13.5|-5
2013 Awire|net@11|||0|nms2_sy@0|g|-3|-9|pin@11||-9.5|-9
2014 Awire|net@12|||900|pin@5||0|0|nms2_sy@0|d|0|-3
2015 Awire|net@13|||1800|pin@9||-5|0|pin@5||0|0
2016 Awire|net@14|||1800|pin@5||0|0|pin@12||5.5|0
2017 Awire|net@15|||2700|PMOS@2|s|5.5|6|pin@16||5.5|7.5
2018 Awire|net@16|||1800|PMOS@2|g|8.5|4|pin@13||13.5|4
2019 Awire|net@17|||2700|pin@12||5.5|0|PMOS@2|d|5.5|2
2020 Awire|net@18|||900|pin@15||-5|7.5|PMOS@3|s|-5|6
2021 Awire|net@19|||1800|pin@8||-9.5|4|PMOS@3|g|-8|4
2022 Awire|net@20|||2700|pin@9||-5|0|PMOS@3|d|-5|2
2023 Awire|net@21|||2700|pin@11||-9.5|-9|pin@8||-9.5|4
2024 Awire|net@22|||0|pin@16||5.5|7.5|pin@15||-5|7.5
2025 Awire|net@23|||2700|pin@15||-5|7.5|pwr@0||-5|10.5
2026 Awire|net@30|||1800|nms2_sy@0|g2|3|-5|pin@4||13.5|-5
2027 Awire|net@31|||1800|conn@0|y|-21.5|-9|pin@11||-9.5|-9
2028 Eina||D5G2;|conn@0|a|I
2029 Einb||D5G2;|conn@1|a|I
2030 Eout||D5G2;|conn@2|y|O
2031 X
2032
2033 # Cell nand2en;1{ic}
2034 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2035 Ngeneric:Facet-Center|art@0||0|0||||AV
2036 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2037 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2038 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2039 NPin|pin@1||-0.25|-2|1|1||
2040 NPin|pin@2||-1.5|-0.75|1|1||
2041 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2042 NPin|pin@4||-1.5|-1|1|1||
2043 NPin|pin@5||-2.5|-1||||
2044 NPin|pin@6||-0.5|2|1|1||
2045 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2046 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2047 NPin|pin@9||-1.5|2|1|1||
2048 NPin|pin@10||-1.5|-2|1|1||
2049 NPin|pin@11||-0.5|-2|1|1||
2050 NPin|pin@12||-2.5|1||||
2051 NPin|pin@13||-1.5|1|1|1||
2052 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I10
2053 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
2054 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@9||-1.5|2|ART_color()I10
2055 AThicker|net@3|||FS2700|pin@10||-1.5|-2|pin@9||-1.5|2|ART_color()I10
2056 AThicker|net@4|||FS0|pin@11||-0.5|-2|pin@10||-1.5|-2|ART_color()I10
2057 AThicker|net@5|||FS0|pin@13||-1.5|1|pin@12||-2.5|1|ART_color()I10
2058 Eina||D5G1;|pin@3||I
2059 Einb||D5G1;|pin@7||I
2060 Eout||D5G1;|pin@8||O
2061 X
2062
2063 # Cell nand2en;1{sch}
2064 Cnand2en;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2065 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/10., 5.2/6.)
2066 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X
2067 Ngeneric:Facet-Center|art@0||0|0||||AV
2068 NOff-Page|conn@0||-14|-1||||
2069 NOff-Page|conn@1||14|-5|||RR|
2070 NOff-Page|conn@2||14|0||||
2071 Inand2en;1{ic}|nand2en@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2072 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
2073 NWire_Pin|pin@0||4.5|0||||
2074 NWire_Pin|pin@1||-9|-9||||
2075 NWire_Pin|pin@2||0|0||||
2076 Ngeneric:Invisible-Pin|pin@3||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2077 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2078 NWire_Pin|pin@5||-9|4||||
2079 NWire_Pin|pin@6||-5|0||||
2080 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2081 NWire_Pin|pin@8||-9|-1||||
2082 NWire_Pin|pin@9||9|4||||
2083 NWire_Pin|pin@10||9|-5||||
2084 Ngeneric:Invisible-Pin|pin@11||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2085 NWire_Pin|pin@12||-5|7.5||||
2086 NWire_Pin|pin@13||4.5|7.5||||
2087 NPower|pwr@0||-5|10.5||||
2088 Awire|net@0|||900|pin@12||-5|7.5|PMOS@2|s|-5|6
2089 Awire|net@1|||1800|pin@5||-9|4|PMOS@2|g|-8|4
2090 Awire|net@2|||2700|pin@6||-5|0|PMOS@2|d|-5|2
2091 Awire|net@3|||900|pin@13||4.5|7.5|PMOS@3|s|4.5|6
2092 Awire|net@4|||0|pin@9||9|4|PMOS@3|g|7.5|4
2093 Awire|net@5|||2700|pin@0||4.5|0|PMOS@3|d|4.5|2
2094 Awire|net@6|||0|pin@10||9|-5|nms2@0|g2|3|-5
2095 Awire|net@7|||0|conn@2|a|12|0|pin@0||4.5|0
2096 Awire|net@8|||0|pin@0||4.5|0|pin@2||0|0
2097 Awire|net@9|||1800|pin@1||-9|-9|nms2@0|g|-3|-9
2098 Awire|net@10|||2700|pin@1||-9|-9|pin@8||-9|-1
2099 Awire|net@11|||900|pin@2||0|0|nms2@0|d|0|-3
2100 Awire|net@12|||1800|pin@6||-5|0|pin@2||0|0
2101 Awire|net@13|||2700|pin@8||-9|-1|pin@5||-9|4
2102 Awire|net@14|||0|pin@8||-9|-1|conn@0|y|-12|-1
2103 Awire|net@15|||2700|pin@10||9|-5|pin@9||9|4
2104 Awire|net@16|||1800|pin@10||9|-5|conn@1|y|12|-5
2105 Awire|net@17|||0|pin@13||4.5|7.5|pin@12||-5|7.5
2106 Awire|net@18|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2107 Eina||D5G2;|conn@0|a|I
2108 Einb||D5G2;|conn@1|a|I
2109 Eout||D5G2;|conn@2|y|O
2110 X
2111
2112 # Cell nand2en_3n;1{ic}
2113 Cnand2en_3n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2114 Ngeneric:Facet-Center|art@0||0|0||||AV
2115 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2116 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2117 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[3n]
2118 NPin|pin@1||-1.5|1|1|1||
2119 NPin|pin@2||-2.5|1||||
2120 NPin|pin@3||-0.5|-2|1|1||
2121 NPin|pin@4||-1.5|-2|1|1||
2122 NPin|pin@5||-1.5|2|1|1||
2123 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2124 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2125 NPin|pin@8||-0.5|2|1|1||
2126 NPin|pin@9||-2.5|-1||||
2127 NPin|pin@10||-1.5|-1|1|1||
2128 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2129 NPin|pin@12||-1.5|-0.75|1|1||
2130 NPin|pin@13||-0.25|-2|1|1||
2131 Ngeneric:Invisible-Pin|pin@14||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2132 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
2133 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
2134 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
2135 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
2136 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
2137 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
2138 Eina||D5G1;|pin@11||I
2139 Einb||D5G1;|pin@7||I
2140 Eout||D5G1;|pin@6||O
2141 X
2142
2143 # Cell nand2en_3n;1{sch}
2144 Cnand2en_3n;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-20;Y-5.5;)I100|ATTR_X(D5G1;HNPX-20;Y-4.5;)I1|ATTR_drive0(D5G1;HNPTX-20;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2145 IPMOS;1{ic}|PMOS@2||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2146 IPMOS;1{ic}|PMOS@3||-9|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./6.)
2147 Ngeneric:Facet-Center|art@0||0|0||||AV
2148 NOff-Page|conn@0||15|0||||
2149 NOff-Page|conn@1||15|-5|||RR|
2150 NOff-Page|conn@2||-18|-1||||
2151 Inand2en_3n;1{ic}|nand2en_@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2152 Inms2b;1{ic}|nms2@0||-2|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2153 Inms2b;1{ic}|nms2@1||5.5|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2154 Inms2b;1{ic}|nms2@2||-9|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2155 NWire_Pin|pin@0||-2|0||||
2156 Ngeneric:Invisible-Pin|pin@1||-0.5|15|||||ART_message(D5G2;)S[3 n-stacks for larger sizes]
2157 NWire_Pin|pin@2||5.5|7.5||||
2158 NWire_Pin|pin@3||-9|7.5||||
2159 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en_3n]
2160 NWire_Pin|pin@5||10|-5||||
2161 NWire_Pin|pin@6||10|4||||
2162 NWire_Pin|pin@7||-13|-1||||
2163 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2164 NWire_Pin|pin@9||-9|0||||
2165 NWire_Pin|pin@10||-13|4||||
2166 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2167 Ngeneric:Invisible-Pin|pin@12||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2168 NWire_Pin|pin@13||-13|-9||||
2169 NWire_Pin|pin@14||5.5|0||||
2170 NPower|pwr@0||-9|10.5||||
2171 Awire|net@0|||2700|nms2@1|d|5.5|-3|pin@14||5.5|0
2172 Awire|net@1|||1800|nms2@1|g2|8.5|-5|pin@5||10|-5
2173 Awire|net@2|||1800|nms2@0|g2|1|-5|nms2@1|g2|8.5|-5
2174 Awire|net@3|||900|pin@0||-2|0|nms2@0|d|-2|-3
2175 Awire|net@4|||0|pin@14||5.5|0|pin@0||-2|0
2176 Awire|net@5|||0|pin@0||-2|0|pin@9||-9|0
2177 Awire|net@6|||1800|nms2@2|g2|-6|-5|nms2@0|g2|1|-5
2178 Awire|net@7|||0|nms2@1|g|2.5|-9|nms2@0|g|-5|-9
2179 Awire|net@8|||1800|nms2@2|g|-12|-9|nms2@0|g|-5|-9
2180 Awire|net@9|||900|pin@9||-9|0|nms2@2|d|-9|-3
2181 Awire|net@10|||2700|pin@3||-9|7.5|pwr@0||-9|10.5
2182 Awire|net@11|||0|pin@2||5.5|7.5|pin@3||-9|7.5
2183 Awire|net@12|||1800|pin@5||10|-5|conn@1|y|13|-5
2184 Awire|net@13|||2700|pin@5||10|-5|pin@6||10|4
2185 Awire|net@14|||0|pin@7||-13|-1|conn@2|y|-16|-1
2186 Awire|net@15|||2700|pin@7||-13|-1|pin@10||-13|4
2187 Awire|net@16|||2700|pin@13||-13|-9|pin@7||-13|-1
2188 Awire|net@17|||1800|pin@13||-13|-9|nms2@2|g|-12|-9
2189 Awire|net@18|||0|conn@0|a|13|0|pin@14||5.5|0
2190 Awire|net@19|||2700|pin@14||5.5|0|PMOS@2|d|5.5|2
2191 Awire|net@20|||0|pin@6||10|4|PMOS@2|g|8.5|4
2192 Awire|net@21|||900|pin@2||5.5|7.5|PMOS@2|s|5.5|6
2193 Awire|net@22|||2700|pin@9||-9|0|PMOS@3|d|-9|2
2194 Awire|net@23|||1800|pin@10||-13|4|PMOS@3|g|-12|4
2195 Awire|net@24|||900|pin@3||-9|7.5|PMOS@3|s|-9|6
2196 Eina||D5G2;|conn@2|a|I
2197 Einb||D5G2;|conn@1|a|I
2198 Eout||D5G2;|conn@0|y|O
2199 X
2200
2201 # Cell nand2n;1{ic}
2202 Cnand2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2203 Ngeneric:Facet-Center|art@0||0|0||||AV
2204 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I10
2205 NThick-Circle|art@2||-1|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2206 NThick-Circle|art@3||-1|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2207 NThick-Circle|art@4||-3.25|0|6|6|3200||ART_color()I10|ART_degrees()I800
2208 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
2209 NPin|pin@0||2|0||||
2210 NPin|pin@1||2.5|0|1|1||
2211 NPin|pin@2||-0.5|-1.25|1|1||
2212 NPin|pin@3||0|-1.75|1|1||
2213 Nschematic:Bus_Pin|pin@4||-2.5|-1|-2|-2||
2214 NPin|pin@5||-1.5|-1|1|1||
2215 NPin|pin@6||-2.5|-1||||
2216 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2217 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2218 NPin|pin@9||-2.5|1||||
2219 NPin|pin@10||-1.5|1|1|1||
2220 AThicker|net@0|||FS0|pin@1||2.5|0|pin@0||2|0|ART_color()I10
2221 AThicker|net@1|||FS3150|pin@3||0|-1.75|pin@2||-0.5|-1.25|ART_color()I10
2222 AThicker|net@2|||FS0|pin@5||-1.5|-1|pin@6||-2.5|-1|ART_color()I10
2223 AThicker|net@3|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
2224 Eina||D5G1;|pin@4||I
2225 Einb||D5G1;|pin@7||I
2226 Eout||D5G1;|pin@8||O
2227 X
2228
2229 # Cell nand2n;1{sch}
2230 Cnand2n;1{sch}||schematic|1021415734000|1157998157812||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
2231 Ngeneric:Facet-Center|art@0||0|0||||AV
2232 NOff-Page|conn@0||-9.5|-1||||
2233 NOff-Page|conn@1||-9.5|1||||
2234 NOff-Page|conn@2||9|0||||
2235 Inand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2236 Inand2n;1{ic}|nand2n@0||24|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2237 Ngeneric:Invisible-Pin|pin@0||22|-9.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2238 Ngeneric:Invisible-Pin|pin@1||-2.5|11|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2239 Ngeneric:Invisible-Pin|pin@2||-2.5|13.5|||||ART_message(D5G2;)S[one-parameter NAND (NOR rep)]
2240 Ngeneric:Invisible-Pin|pin@3||-2.5|18.5|||||ART_message(D5G6;)S[nand2n]
2241 Awire|net@0|||1800|nand2@0|out|2.5|0|conn@2|a|7|0
2242 Awire|net@1|||0|nand2@0|inb|-2.5|1|conn@1|y|-7.5|1
2243 Awire|net@2|||1800|conn@0|y|-7.5|-1|nand2@0|ina|-2.5|-1
2244 Eina||D5G2;|conn@0|a|I
2245 Einb||D5G2;|conn@1|a|I
2246 Eout||D5G2;|conn@2|y|O
2247 X
2248
2249 # Cell nand2n_sy;1{ic}
2250 Cnand2n_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX2;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2251 Ngeneric:Facet-Center|art@0||0|0||||AV
2252 NThick-Circle|art@1||-1.5|1|1|1|||ART_color()I10
2253 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2254 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2255 NThick-Circle|art@4||-1.5|-1|1|1|||ART_color()I10
2256 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
2257 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
2258 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
2259 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2260 NPin|pin@3||-2|1|1|1||
2261 NPin|pin@4||-2.5|1||||
2262 NPin|pin@5||1.5|0|1|1||
2263 NPin|pin@6||2.5|0||||
2264 NPin|pin@7||-2.5|-1||||
2265 NPin|pin@8||-2|-1|1|1||
2266 NPin|pin@9||-1|-1.25|1|1||
2267 AThicker|net@0|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I10
2268 AThicker|net@1|||FS0|pin@6||2.5|0|pin@5||1.5|0|ART_color()I10
2269 AThicker|net@2|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I10
2270 AThicker|net@3|||FS2700|pin@9||-1|-1.25|pin@9||-1|-1.25|ART_color()I78
2271 Eina||D5G1;|pin@2||I
2272 Einb||D5G1;|pin@1||I
2273 Eout||D5G1;|pin@0||O
2274 X
2275
2276 # Cell nand2n_sy;1{sch}
2277 Cnand2n_sy;1{sch}||schematic|1021415734000|1224793363940||ATTR_Delay(D5G1;HNPX-16.5;Y-6.5;)I100|ATTR_X(D5FLeave alone;G1;HNPX-16.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPTX-16.5;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-8.5;)Sstrong1|prototype_center()I[0,0]
2278 Ngeneric:Facet-Center|art@0||0|0||||AV
2279 NOff-Page|conn@0||-11.5|-1||||
2280 NOff-Page|conn@1||10|0||||
2281 NOff-Page|conn@2||-11.5|1||||
2282 Inand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1|ATTR_LEPARALLGRP()I-1|ATTR_su(OJT)S@su
2283 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2284 Ngeneric:Invisible-Pin|pin@0||-9|18.5|||||ART_message(D5G6;)S[nand2n_sy]
2285 Ngeneric:Invisible-Pin|pin@1||-10|13.5|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2286 Awire|net@0|||0|nand2_sy@0|inb|-2.5|1|conn@2|y|-9.5|1
2287 Awire|net@1|||0|nand2_sy@0|ina|-2.5|-1|conn@0|y|-9.5|-1
2288 Awire|net@2|||0|conn@1|a|8|0|nand2_sy@0|out|2.5|0
2289 Eina||D5G2;|conn@0|a|I
2290 Einb||D5G2;|conn@2|a|I
2291 Eout||D5G2;|conn@1|y|O
2292 X
2293
2294 # Cell nand3;1{ic}
2295 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2296 Ngeneric:Facet-Center|art@0||0|0||||AV
2297 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2298 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2299 NPin|pin@0||-0.25|-3|1|1||
2300 NPin|pin@1||-1.5|-1.75|1|1||
2301 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2302 NPin|pin@3||-1.5|-2|1|1||
2303 NPin|pin@4||-2.5|-2||||
2304 NPin|pin@5||-0.5|3|1|1||
2305 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2306 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2307 NPin|pin@8||-1.5|3|1|1||
2308 NPin|pin@9||-1.5|-3|1|1||
2309 NPin|pin@10||-0.5|-3|1|1||
2310 NPin|pin@11||-2.5|0||||
2311 NPin|pin@12||-1.5|0|1|1||
2312 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2313 NPin|pin@14||-1.5|2|1|1||
2314 NPin|pin@15||-2.5|2||||
2315 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2316 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I10
2317 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I10
2318 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I10
2319 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2320 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I10
2321 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I10
2322 Eina||D5G1;|pin@2||I
2323 Einb||D5G1;|pin@6||I
2324 Einc||D5G1;|pin@13||I
2325 Eout||D5G1;|pin@7||O
2326 X
2327
2328 # Cell nand3;1{sch}
2329 Cnand3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2330 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2331 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2332 IPMOS;1{ic}|PMOS@5||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2333 Ngeneric:Facet-Center|art@0||0|0||||AV
2334 NOff-Page|conn@0||-15|-12||||
2335 NOff-Page|conn@1||14|-1|||RR|
2336 NOff-Page|conn@2||0|14.5|||R|
2337 NOff-Page|conn@3||-22|4||||
2338 Inand3;1{ic}|nand3@0||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2339 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2340 NWire_Pin|pin@0||-5|7.5||||
2341 NWire_Pin|pin@1||-14|7.5||||
2342 NWire_Pin|pin@2||4.5|7.5||||
2343 Ngeneric:Invisible-Pin|pin@3||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2344 Ngeneric:Invisible-Pin|pin@4||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2345 NWire_Pin|pin@5||-9|4||||
2346 NWire_Pin|pin@6||0|0||||
2347 NWire_Pin|pin@7||-5|0||||
2348 Ngeneric:Invisible-Pin|pin@8||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2349 NWire_Pin|pin@9||-9|-12||||
2350 NWire_Pin|pin@10||4.5|0||||
2351 NWire_Pin|pin@11||9|4||||
2352 NWire_Pin|pin@12||9|-1||||
2353 Ngeneric:Invisible-Pin|pin@13||-0.5|27|||||ART_message(D5G6;)S[nand3]
2354 NWire_Pin|pin@14||-14|0||||
2355 NWire_Pin|pin@15||-18|4||||
2356 NWire_Pin|pin@16||-18|-4||||
2357 NWire_Pin|pin@17||9|-8||||
2358 NPower|pwr@0||-5|11.5||||
2359 Awire|net@0|||2700|pin@17||9|-8|pin@12||9|-1
2360 Awire|net@1|||0|nms3@0|g|-3|-12|pin@9||-9|-12
2361 Awire|net@2|||2700|pin@0||-5|7.5|pwr@0||-5|11.5
2362 Awire|net@3|||900|pin@0||-5|7.5|PMOS@5|s|-5|6
2363 Awire|net@4|||1800|pin@1||-14|7.5|pin@0||-5|7.5
2364 Awire|net@5|||1800|pin@0||-5|7.5|pin@2||4.5|7.5
2365 Awire|net@6|||2700|PMOS@3|s|-14|6|pin@1||-14|7.5
2366 Awire|net@7|||900|pin@2||4.5|7.5|PMOS@4|s|4.5|6
2367 Awire|net@8|||0|PMOS@3|g|-17|4|pin@15||-18|4
2368 Awire|net@9|||2700|pin@14||-14|0|PMOS@3|d|-14|2
2369 Awire|net@10|||1800|PMOS@4|g|7.5|4|pin@11||9|4
2370 Awire|net@11|||2700|pin@10||4.5|0|PMOS@4|d|4.5|2
2371 Awire|net@12|||1800|pin@5||-9|4|PMOS@5|g|-8|4
2372 Awire|net@13|||2700|pin@7||-5|0|PMOS@5|d|-5|2
2373 Awire|net@14|||2700|pin@9||-9|-12|pin@5||-9|4
2374 Awire|net@15|||2700|pin@6||0|0|conn@2|a|0|12.5
2375 Awire|net@16|||0|pin@10||4.5|0|pin@6||0|0
2376 Awire|net@17|||0|pin@6||0|0|pin@7||-5|0
2377 Awire|net@18|||0|pin@9||-9|-12|conn@0|y|-13|-12
2378 Awire|net@19|||2700|pin@12||9|-1|pin@11||9|4
2379 Awire|net@20|||1800|pin@12||9|-1|conn@1|y|12|-1
2380 Awire|net@21|||900|pin@6||0|0|nms3@0|d|0|-2
2381 Awire|net@22|||0|pin@7||-5|0|pin@14||-14|0
2382 Awire|net@23|||0|pin@15||-18|4|conn@3|y|-20|4
2383 Awire|net@24|||2700|pin@16||-18|-4|pin@15||-18|4
2384 Awire|net@25|||0|nms3@0|g3|-3|-4|pin@16||-18|-4
2385 Awire|net@26|||0|pin@17||9|-8|nms3@0|g2|3|-8
2386 Eina||D5G2;|conn@0|a|I
2387 Einb||D5G2;|conn@1|a|I
2388 Einc||D5G2;|conn@3|y|I
2389 Eout||D5G2;|conn@2|y|O
2390 X
2391
2392 # Cell nand3LT;1{ic}
2393 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2394 Ngeneric:Facet-Center|art@0||0|0||||AV
2395 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2396 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2397 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2398 NPin|pin@0||-0.25|-3|1|1||
2399 NPin|pin@1||-1.5|-1.75|1|1||
2400 NPin|pin@2||-2.5|2||||
2401 NPin|pin@3||-1.5|2|1|1||
2402 Ngeneric:Invisible-Pin|pin@4||-2.5|2||||
2403 NPin|pin@5||-1.5|0|1|1||
2404 NPin|pin@6||-2.5|0||||
2405 NPin|pin@7||-0.5|-3|1|1||
2406 NPin|pin@8||-1.5|-3|1|1||
2407 NPin|pin@9||-1.5|3|1|1||
2408 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
2409 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
2410 NPin|pin@12||-0.5|3|1|1||
2411 NPin|pin@13||-2.5|-2||||
2412 NPin|pin@14||-1.5|-2|1|1||
2413 Nschematic:Bus_Pin|pin@15||-2.5|-2|-2|-2||
2414 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2415 AThicker|net@1|||FS0|pin@3||-1.5|2|pin@2||-2.5|2|ART_color()I10
2416 AThicker|net@2|||FS0|pin@5||-1.5|0|pin@6||-2.5|0|ART_color()I10
2417 AThicker|net@3|||FS0|pin@7||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2418 AThicker|net@4|||FS2700|pin@8||-1.5|-3|pin@9||-1.5|3|ART_color()I10
2419 AThicker|net@5|||FS0|pin@12||-0.5|3|pin@9||-1.5|3|ART_color()I10
2420 AThicker|net@6|||FS0|pin@14||-1.5|-2|pin@13||-2.5|-2|ART_color()I10
2421 Eina||D5G1;|pin@15||I
2422 Einb||D5G1;|pin@11||I
2423 Einc||D5G1;|pin@4||I
2424 Eout||D5G1;|pin@10||O
2425 X
2426
2427 # Cell nand3LT;1{sch}
2428 Cnand3LT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2429 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2430 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2431 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2432 Ngeneric:Facet-Center|art@0||0|0||||AV
2433 NOff-Page|conn@0||-28|4||||
2434 NOff-Page|conn@1||0|11|||R|
2435 NOff-Page|conn@2||17|4|||RR|
2436 NOff-Page|conn@3||-27.5|-2.5||||
2437 Inand3LT;1{ic}|nand3LT@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2438 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2439 NWire_Pin|pin@0||-14|0||||
2440 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2441 NWire_Pin|pin@2||10.5|4||||
2442 NWire_Pin|pin@3||4.5|0||||
2443 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2444 NWire_Pin|pin@5||-5|0||||
2445 NWire_Pin|pin@6||0|0||||
2446 NWire_Pin|pin@7||-9|4||||
2447 Ngeneric:Invisible-Pin|pin@8||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2448 Ngeneric:Invisible-Pin|pin@9||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2449 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2450 NWire_Pin|pin@11||-14|7.5||||
2451 NWire_Pin|pin@12||4.5|7.5||||
2452 NWire_Pin|pin@13||-5|7.5||||
2453 NWire_Pin|pin@14||-9|-2.5||||
2454 NWire_Pin|pin@15||-21.5|-2.5||||
2455 NWire_Pin|pin@16||-19.5|4||||
2456 NWire_Pin|pin@17||10.5|-12.5||||
2457 NWire_Pin|pin@18||-21.5|-16.5||||
2458 NWire_Pin|pin@19||-19.5|-8.5||||
2459 NPower|pwr@0||-5|10.5||||
2460 Awire|net@0|||2700|nms3@0|d|-5|-6.5|pin@5||-5|0
2461 Awire|net@1|||1800|pin@19||-19.5|-8.5|nms3@0|g3|-8|-8.5
2462 Awire|net@2|||0|pin@17||10.5|-12.5|nms3@0|g2|-2|-12.5
2463 Awire|net@3|||1800|pin@18||-21.5|-16.5|nms3@0|g|-8|-16.5
2464 Awire|net@4|||0|pin@5||-5|0|pin@0||-14|0
2465 Awire|net@5|||1800|pin@2||10.5|4|conn@2|y|15|4
2466 Awire|net@6|||0|pin@6||0|0|pin@5||-5|0
2467 Awire|net@7|||0|pin@3||4.5|0|pin@6||0|0
2468 Awire|net@8|||2700|pin@6||0|0|conn@1|a|0|9
2469 Awire|net@9|||0|pin@13||-5|7.5|pin@11||-14|7.5
2470 Awire|net@10|||0|pin@12||4.5|7.5|pin@13||-5|7.5
2471 Awire|net@11|||2700|pin@13||-5|7.5|pwr@0||-5|10.5
2472 Awire|net@12|||2700|pin@14||-9|-2.5|pin@7||-9|4
2473 Awire|net@13|||0|pin@15||-21.5|-2.5|conn@3|y|-25.5|-2.5
2474 Awire|net@14|||0|pin@14||-9|-2.5|pin@15||-21.5|-2.5
2475 Awire|net@15|||1800|conn@0|y|-26|4|pin@16||-19.5|4
2476 Awire|net@16|||2700|pin@3||4.5|0|PMOS@3|d|4.5|2
2477 Awire|net@17|||0|pin@2||10.5|4|PMOS@3|g|7.5|4
2478 Awire|net@18|||2700|PMOS@3|s|4.5|6|pin@12||4.5|7.5
2479 Awire|net@19|||2700|pin@5||-5|0|PMOS@4|d|-5|2
2480 Awire|net@20|||1800|pin@7||-9|4|PMOS@4|g|-8|4
2481 Awire|net@21|||900|pin@13||-5|7.5|PMOS@4|s|-5|6
2482 Awire|net@22|||2700|pin@0||-14|0|PMOS@5|d|-14|2
2483 Awire|net@23|||1800|pin@16||-19.5|4|PMOS@5|g|-17|4
2484 Awire|net@24|||900|pin@11||-14|7.5|PMOS@5|s|-14|6
2485 Awire|net@25|||2700|pin@17||10.5|-12.5|pin@2||10.5|4
2486 Awire|net@26|||900|pin@15||-21.5|-2.5|pin@18||-21.5|-16.5
2487 Awire|net@27|||900|pin@16||-19.5|4|pin@19||-19.5|-8.5
2488 Eina||D5G2;|conn@3|a|I
2489 Einb||D5G2;|conn@2|a|I
2490 Einc||D5G2;|conn@0|y|I
2491 Eout||D5G2;|conn@1|y|O
2492 X
2493
2494 # Cell nand3LT_sy3;1{ic}
2495 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2496 Ngeneric:Facet-Center|art@0||0|0||||AV
2497 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2498 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2499 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2500 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2501 NPin|pin@1||-1.5|-2|1|1||
2502 NPin|pin@2||-2.5|-2||||
2503 NPin|pin@3||-0.5|3|1|1||
2504 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2505 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2506 NPin|pin@6||-1.5|3|1|1||
2507 NPin|pin@7||-1.5|-3|1|1||
2508 NPin|pin@8||-0.5|-3|1|1||
2509 NPin|pin@9||-2.5|0||||
2510 NPin|pin@10||-1.5|0|1|1||
2511 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2512 NPin|pin@12||-1.5|2|1|1||
2513 NPin|pin@13||-2.5|2||||
2514 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2515 AThicker|net@0|||FS0|pin@1||-1.5|-2|pin@2||-2.5|-2|ART_color()I10
2516 AThicker|net@1|||FS0|pin@3||-0.5|3|pin@6||-1.5|3|ART_color()I10
2517 AThicker|net@2|||FS2700|pin@7||-1.5|-3|pin@6||-1.5|3|ART_color()I10
2518 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
2519 AThicker|net@4|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I10
2520 AThicker|net@5|||FS0|pin@12||-1.5|2|pin@13||-2.5|2|ART_color()I10
2521 Eina||D5G1;|pin@0||I
2522 Einb||D5G1;|pin@4||I
2523 Einc||D5G1;|pin@11||I
2524 Eout||D5G1;|pin@5||O
2525 X
2526
2527 # Cell nand3LT_sy3;1{sch}
2528 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2529 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2530 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2531 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2532 Ngeneric:Facet-Center|art@0||0|0||||AV
2533 NOff-Page|conn@0||-34.5|-2.5||||
2534 NOff-Page|conn@1||24|-12.5|||RR|
2535 NOff-Page|conn@2||27|0||||
2536 NOff-Page|conn@3||-35|4||||
2537 Inand3LT_sy3;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2538 Inms3_sy3;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-8.5;Y1;)S@X
2539 NWire_Pin|pin@10||-19.5|-8.5||||
2540 NWire_Pin|pin@11||-21.5|-16.5||||
2541 NWire_Pin|pin@12||10.5|-12.5||||
2542 NWire_Pin|pin@13||-19.5|4||||
2543 NWire_Pin|pin@14||-21.5|-2.5||||
2544 NWire_Pin|pin@15||-9|-2.5||||
2545 NWire_Pin|pin@16||-5|7.5||||
2546 NWire_Pin|pin@17||4.5|7.5||||
2547 NWire_Pin|pin@18||-14|7.5||||
2548 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2549 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2550 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2551 NWire_Pin|pin@22||-9|4||||
2552 NWire_Pin|pin@23||-5|0||||
2553 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2554 NWire_Pin|pin@25||4.5|0||||
2555 NWire_Pin|pin@26||10.5|4||||
2556 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
2557 NWire_Pin|pin@28||-14|0||||
2558 NPower|pwr@0||-5|10.5||||
2559 Awire|net@16|||1800|pin@23||-5|0|pin@25||4.5|0
2560 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-13|-8.5
2561 Awire|net@26|||900|pin@13||-19.5|4|pin@10||-19.5|-8.5
2562 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-13|-16.5
2563 Awire|net@28|||900|pin@14||-21.5|-2.5|pin@11||-21.5|-16.5
2564 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|1.5|-12.5
2565 Awire|net@30|||2700|pin@12||10.5|-12.5|pin@26||10.5|4
2566 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2567 Awire|net@32|||900|pin@18||-14|7.5|PMOS@3|s|-14|6
2568 Awire|net@33|||1800|pin@13||-19.5|4|PMOS@3|g|-17|4
2569 Awire|net@34|||2700|pin@28||-14|0|PMOS@3|d|-14|2
2570 Awire|net@35|||900|pin@16||-5|7.5|PMOS@4|s|-5|6
2571 Awire|net@36|||1800|pin@22||-9|4|PMOS@4|g|-8|4
2572 Awire|net@37|||2700|pin@23||-5|0|PMOS@4|d|-5|2
2573 Awire|net@38|||2700|PMOS@5|s|4.5|6|pin@17||4.5|7.5
2574 Awire|net@39|||0|pin@26||10.5|4|PMOS@5|g|7.5|4
2575 Awire|net@40|||2700|pin@25||4.5|0|PMOS@5|d|4.5|2
2576 Awire|net@41|||0|pin@15||-9|-2.5|pin@14||-21.5|-2.5
2577 Awire|net@42|||2700|pin@15||-9|-2.5|pin@22||-9|4
2578 Awire|net@43|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
2579 Awire|net@44|||0|pin@17||4.5|7.5|pin@16||-5|7.5
2580 Awire|net@45|||0|pin@16||-5|7.5|pin@18||-14|7.5
2581 Awire|net@46|||0|pin@23||-5|0|pin@28||-14|0
2582 Awire|net@47|||0|conn@2|a|25|0|pin@25||4.5|0
2583 Awire|net@48|||0|conn@1|y|22|-12.5|pin@12||10.5|-12.5
2584 Awire|net@49|||0|pin@13||-19.5|4|conn@3|y|-33|4
2585 Awire|net@50|||1800|conn@0|y|-32.5|-2.5|pin@14||-21.5|-2.5
2586 Eina||D5G2;|conn@0|a|I
2587 Einb||D5G2;|conn@1|a|I
2588 Einc||D5G2;|conn@3|y|I
2589 Eout||D5G2;|conn@2|y|O
2590 X
2591
2592 # Cell nand3LT_sy6;1{ic}
2593 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2594 Ngeneric:Facet-Center|art@0||0|0||||AV
2595 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2596 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2597 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2598 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2599 NPin|pin@1||-1.5|-2|1|1||
2600 NPin|pin@2||-2.5|-2||||
2601 NPin|pin@3||-0.5|3|1|1||
2602 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2603 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2604 NPin|pin@6||-1.5|3|1|1||
2605 NPin|pin@7||-1.5|-3|1|1||
2606 NPin|pin@8||-0.5|-3|1|1||
2607 NPin|pin@9||-2.5|0||||
2608 NPin|pin@10||-1.5|0|1|1||
2609 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2610 NPin|pin@12||-1.5|2|1|1||
2611 NPin|pin@13||-2.5|2||||
2612 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2613 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
2614 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
2615 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
2616 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
2617 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
2618 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
2619 Eina||D5G1;|pin@0||I
2620 Einb||D5G1;|pin@4||I
2621 Einc||D5G1;|pin@11||I
2622 Eout||D5G1;|pin@5||O
2623 X
2624
2625 # Cell nand3LT_sy6;1{sch}
2626 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2627 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2628 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2629 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2630 Ngeneric:Facet-Center|art@0||0|0||||AV
2631 NOff-Page|conn@1||24|-12.5|||RR|
2632 NOff-Page|conn@2||27|0||||
2633 NOff-Page|conn@3||-35|4||||
2634 NOff-Page|conn@4||-35|-2.5||||
2635 Inand3LT_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2636 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
2637 NWire_Pin|pin@10||-19.5|-8.5||||
2638 NWire_Pin|pin@11||-21.5|-16.5||||
2639 NWire_Pin|pin@12||10.5|-12.5||||
2640 NWire_Pin|pin@13||-19.5|4||||
2641 NWire_Pin|pin@14||-21.5|-2.5||||
2642 NWire_Pin|pin@15||-9|-2.5||||
2643 NWire_Pin|pin@16||-5|7.5||||
2644 NWire_Pin|pin@17||4.5|7.5||||
2645 NWire_Pin|pin@18||-14|7.5||||
2646 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2647 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2648 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2649 NWire_Pin|pin@22||-9|4||||
2650 NWire_Pin|pin@23||-5|0||||
2651 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2652 NWire_Pin|pin@25||4.5|0||||
2653 NWire_Pin|pin@26||10.5|4||||
2654 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
2655 NWire_Pin|pin@28||-14|0||||
2656 NPower|pwr@0||-5|10.5||||
2657 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
2658 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
2659 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
2660 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
2661 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
2662 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
2663 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
2664 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2665 Awire|net@32|||2700|PMOS@3|s|-14|6|pin@18||-14|7.5
2666 Awire|net@33|||0|PMOS@3|g|-17|4|pin@13||-19.5|4
2667 Awire|net@34|||900|PMOS@3|d|-14|2|pin@28||-14|0
2668 Awire|net@35|||2700|PMOS@4|s|-5|6|pin@16||-5|7.5
2669 Awire|net@36|||0|PMOS@4|g|-8|4|pin@22||-9|4
2670 Awire|net@37|||900|PMOS@4|d|-5|2|pin@23||-5|0
2671 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@5|s|4.5|6
2672 Awire|net@39|||1800|PMOS@5|g|7.5|4|pin@26||10.5|4
2673 Awire|net@40|||900|PMOS@5|d|4.5|2|pin@25||4.5|0
2674 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
2675 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
2676 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
2677 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
2678 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
2679 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
2680 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
2681 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
2682 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
2683 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
2684 Eina||D5G2;|conn@4|y|I
2685 Einb||D5G2;|conn@1|a|I
2686 Einc||D5G2;|conn@3|y|I
2687 Eout||D5G2;|conn@2|y|O
2688 X
2689
2690 # Cell nand3LTen;1{ic}
2691 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2692 Ngeneric:Facet-Center|art@0||0|0||||AV
2693 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2694 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2695 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2696 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2697 Nschematic:Bus_Pin|pin@1||-2.5|-2|-2|-2||
2698 NPin|pin@2||-1.5|-2|1|1||
2699 NPin|pin@3||-2.5|-2||||
2700 NPin|pin@4||-0.5|3|1|1||
2701 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
2702 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2703 NPin|pin@7||-1.5|3|1|1||
2704 NPin|pin@8||-1.5|-3|1|1||
2705 NPin|pin@9||-0.5|-3|1|1||
2706 NPin|pin@10||-2.5|0||||
2707 NPin|pin@11||-1.5|0|1|1||
2708 Ngeneric:Invisible-Pin|pin@12||-2.5|2||||
2709 NPin|pin@13||-1.5|2|1|1||
2710 NPin|pin@14||-2.5|2||||
2711 NPin|pin@15||-1.5|-1.75|1|1||
2712 NPin|pin@16||-0.25|-3|1|1||
2713 AThicker|net@0|||FS0|pin@2||-1.5|-2|pin@3||-2.5|-2|ART_color()I10
2714 AThicker|net@1|||FS0|pin@4||-0.5|3|pin@7||-1.5|3|ART_color()I10
2715 AThicker|net@2|||FS2700|pin@8||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2716 AThicker|net@3|||FS0|pin@9||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2717 AThicker|net@4|||FS0|pin@11||-1.5|0|pin@10||-2.5|0|ART_color()I10
2718 AThicker|net@5|||FS0|pin@13||-1.5|2|pin@14||-2.5|2|ART_color()I10
2719 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
2720 Eina||D5G1;|pin@1||I
2721 Einb||D5G1;|pin@5||I
2722 Einc||D5G1;|pin@12||I
2723 Eout||D5G1;|pin@6||O
2724 X
2725
2726 # Cell nand3LTen;1{sch}
2727 Cnand3LTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2728 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX1.5;Y2.5;)Smax(@X/20., 5./6.)
2729 IPMOS;1{ic}|PMOS@4||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2730 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2731 Ngeneric:Facet-Center|art@0||0|0||||AV
2732 NOff-Page|conn@0||-27.5|-2.5||||
2733 NOff-Page|conn@1||17|4|||RR|
2734 NOff-Page|conn@2||0|11|||R|
2735 NOff-Page|conn@3||-28|4||||
2736 Inand3LTen;1{ic}|nand3LTe@0||44|9|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2737 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2738 Ngeneric:Invisible-Pin|pin@0||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable)"]
2739 NWire_Pin|pin@1||-19.5|-8.5||||
2740 NWire_Pin|pin@2||-21.5|-16.5||||
2741 NWire_Pin|pin@3||10.5|-12.5||||
2742 NWire_Pin|pin@4||-19.5|4||||
2743 NWire_Pin|pin@5||-21.5|-2.5||||
2744 NWire_Pin|pin@6||-9|-2.5||||
2745 NWire_Pin|pin@7||-5|7.5||||
2746 NWire_Pin|pin@8||4.5|7.5||||
2747 NWire_Pin|pin@9||-14|7.5||||
2748 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2749 Ngeneric:Invisible-Pin|pin@11||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2750 Ngeneric:Invisible-Pin|pin@12||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2751 NWire_Pin|pin@13||-9|4||||
2752 NWire_Pin|pin@14||0|0||||
2753 NWire_Pin|pin@15||-5|0||||
2754 NWire_Pin|pin@16||4.5|0||||
2755 NWire_Pin|pin@17||10.5|4||||
2756 Ngeneric:Invisible-Pin|pin@18||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
2757 NWire_Pin|pin@19||-14|0||||
2758 NPower|pwr@0||-5|10.5||||
2759 Awire|net@0|||900|pin@7||-5|7.5|PMOS@3|s|-5|6
2760 Awire|net@1|||1800|pin@13||-9|4|PMOS@3|g|-8|4
2761 Awire|net@2|||2700|pin@15||-5|0|PMOS@3|d|-5|2
2762 Awire|net@3|||900|pin@15||-5|0|nms3@0|d|-5|-6.5
2763 Awire|net@4|||900|pin@4||-19.5|4|pin@1||-19.5|-8.5
2764 Awire|net@5|||900|pin@5||-21.5|-2.5|pin@2||-21.5|-16.5
2765 Awire|net@6|||2700|pin@3||10.5|-12.5|pin@17||10.5|4
2766 Awire|net@7|||900|pin@9||-14|7.5|PMOS@4|s|-14|6
2767 Awire|net@8|||1800|pin@4||-19.5|4|PMOS@4|g|-17|4
2768 Awire|net@9|||2700|pin@19||-14|0|PMOS@4|d|-14|2
2769 Awire|net@10|||2700|PMOS@5|s|4.5|6|pin@8||4.5|7.5
2770 Awire|net@11|||0|pin@17||10.5|4|PMOS@5|g|7.5|4
2771 Awire|net@12|||2700|pin@16||4.5|0|PMOS@5|d|4.5|2
2772 Awire|net@13|||1800|conn@3|y|-26|4|pin@4||-19.5|4
2773 Awire|net@14|||0|pin@6||-9|-2.5|pin@5||-21.5|-2.5
2774 Awire|net@15|||0|pin@5||-21.5|-2.5|conn@0|y|-25.5|-2.5
2775 Awire|net@16|||2700|pin@6||-9|-2.5|pin@13||-9|4
2776 Awire|net@17|||2700|pin@7||-5|7.5|pwr@0||-5|10.5
2777 Awire|net@18|||0|pin@8||4.5|7.5|pin@7||-5|7.5
2778 Awire|net@19|||0|pin@7||-5|7.5|pin@9||-14|7.5
2779 Awire|net@20|||2700|pin@14||0|0|conn@2|a|0|9
2780 Awire|net@21|||0|pin@16||4.5|0|pin@14||0|0
2781 Awire|net@22|||0|pin@14||0|0|pin@15||-5|0
2782 Awire|net@23|||1800|pin@17||10.5|4|conn@1|y|15|4
2783 Awire|net@24|||0|pin@15||-5|0|pin@19||-14|0
2784 Awire|net@25|||1800|pin@2||-21.5|-16.5|nms3@0|g|-8|-16.5
2785 Awire|net@26|||0|pin@3||10.5|-12.5|nms3@0|g2|-2|-12.5
2786 Awire|net@27|||1800|pin@1||-19.5|-8.5|nms3@0|g3|-8|-8.5
2787 Eina||D5G2;|conn@0|a|I
2788 Einb||D5G2;|conn@1|a|I
2789 Einc||D5G2;|conn@3|y|I
2790 Eout||D5G2;|conn@2|y|O
2791 X
2792
2793 # Cell nand3LTen_sy;1{ic}
2794 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2795 Ngeneric:Facet-Center|art@0||0|0||||AV
2796 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2797 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2798 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2799 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2800 NPin|pin@1||-0.25|-3|1|1||
2801 NPin|pin@2||-1.5|-1.75|1|1||
2802 NPin|pin@3||-2.5|2||||
2803 NPin|pin@4||-1.5|2|1|1||
2804 Ngeneric:Invisible-Pin|pin@5||-2.5|2||||
2805 NPin|pin@6||-1.5|0|1|1||
2806 NPin|pin@7||-2.5|0||||
2807 NPin|pin@8||-0.5|-3|1|1||
2808 NPin|pin@9||-1.5|-3|1|1||
2809 NPin|pin@10||-1.5|3|1|1||
2810 Nschematic:Bus_Pin|pin@11||2.5|0|-2|-2||
2811 Nschematic:Bus_Pin|pin@12||-2.5|0|-2|-2||
2812 NPin|pin@13||-0.5|3|1|1||
2813 NPin|pin@14||-2.5|-2||||
2814 NPin|pin@15||-1.5|-2|1|1||
2815 Nschematic:Bus_Pin|pin@16||-2.5|-2|-2|-2||
2816 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2817 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
2818 AThicker|net@1|||FS0|pin@4||-1.5|2|pin@3||-2.5|2|ART_color()I10
2819 AThicker|net@2|||FS0|pin@6||-1.5|0|pin@7||-2.5|0|ART_color()I10
2820 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2821 AThicker|net@4|||FS2700|pin@9||-1.5|-3|pin@10||-1.5|3|ART_color()I10
2822 AThicker|net@5|||FS0|pin@13||-0.5|3|pin@10||-1.5|3|ART_color()I10
2823 AThicker|net@6|||FS0|pin@15||-1.5|-2|pin@14||-2.5|-2|ART_color()I10
2824 Eina||D5G1;|pin@16||I
2825 Einb||D5G1;|pin@12||I
2826 Einc||D5G1;|pin@5||I
2827 Eout||D5G1;|pin@11||O
2828 X
2829
2830 # Cell nand3LTen_sy;1{sch}
2831 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2832 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/20., 5./6.)
2833 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2834 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2835 Ngeneric:Facet-Center|art@0||0|0||||AV
2836 NOff-Page|conn@0||-28|4||||
2837 NOff-Page|conn@1||0|11|||R|
2838 NOff-Page|conn@2||17|4|||RR|
2839 NOff-Page|conn@3||-27.5|-2.5||||
2840 Inand3LTen_sy;1{ic}|nand3LTe@0||40.5|8.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2841 Inms3_2sy;1{ic}|nms3_2sy@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
2842 NWire_Pin|pin@0||-14|0||||
2843 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
2844 NWire_Pin|pin@2||10.5|4||||
2845 NWire_Pin|pin@3||4.5|0||||
2846 NWire_Pin|pin@4||-5|0||||
2847 NWire_Pin|pin@5||0|0||||
2848 NWire_Pin|pin@6||-9|4||||
2849 Ngeneric:Invisible-Pin|pin@7||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2850 Ngeneric:Invisible-Pin|pin@8||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2851 Ngeneric:Invisible-Pin|pin@9||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2852 NWire_Pin|pin@10||-14|7.5||||
2853 NWire_Pin|pin@11||4.5|7.5||||
2854 NWire_Pin|pin@12||-5|7.5||||
2855 NWire_Pin|pin@13||-9|-2.5||||
2856 NWire_Pin|pin@14||-21.5|-2.5||||
2857 NWire_Pin|pin@15||-19.5|4||||
2858 NWire_Pin|pin@16||10.5|-12.5||||
2859 NWire_Pin|pin@17||-21.5|-16.5||||
2860 NWire_Pin|pin@18||-19.5|-8.5||||
2861 Ngeneric:Invisible-Pin|pin@19||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric"]
2862 NPower|pwr@0||-5|10.5||||
2863 Awire|net@0|||900|pin@12||-5|7.5|PMOS@3|s|-5|6
2864 Awire|net@1|||1800|pin@6||-9|4|PMOS@3|g|-8|4
2865 Awire|net@2|||2700|pin@4||-5|0|PMOS@3|d|-5|2
2866 Awire|net@3|||900|pin@4||-5|0|nms3_2sy@0|d|-5|-6.5
2867 Awire|net@4|||0|nms3_2sy@0|g|-7.25|-16.5|pin@17||-21.5|-16.5
2868 Awire|net@5|||1800|nms3_2sy@0|g2|-2|-12.5|pin@16||10.5|-12.5
2869 Awire|net@6|||0|nms3_2sy@0|g3|-8|-8.5|pin@18||-19.5|-8.5
2870 Awire|net@7|||0|pin@4||-5|0|pin@0||-14|0
2871 Awire|net@8|||1800|pin@2||10.5|4|conn@2|y|15|4
2872 Awire|net@9|||0|pin@5||0|0|pin@4||-5|0
2873 Awire|net@10|||0|pin@3||4.5|0|pin@5||0|0
2874 Awire|net@11|||2700|pin@5||0|0|conn@1|a|0|9
2875 Awire|net@12|||0|pin@12||-5|7.5|pin@10||-14|7.5
2876 Awire|net@13|||0|pin@11||4.5|7.5|pin@12||-5|7.5
2877 Awire|net@14|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2878 Awire|net@15|||2700|pin@13||-9|-2.5|pin@6||-9|4
2879 Awire|net@16|||0|pin@14||-21.5|-2.5|conn@3|y|-25.5|-2.5
2880 Awire|net@17|||0|pin@13||-9|-2.5|pin@14||-21.5|-2.5
2881 Awire|net@18|||1800|conn@0|y|-26|4|pin@15||-19.5|4
2882 Awire|net@19|||2700|pin@3||4.5|0|PMOS@4|d|4.5|2
2883 Awire|net@20|||0|pin@2||10.5|4|PMOS@4|g|7.5|4
2884 Awire|net@21|||2700|PMOS@4|s|4.5|6|pin@11||4.5|7.5
2885 Awire|net@22|||2700|pin@0||-14|0|PMOS@5|d|-14|2
2886 Awire|net@23|||1800|pin@15||-19.5|4|PMOS@5|g|-17|4
2887 Awire|net@24|||900|pin@10||-14|7.5|PMOS@5|s|-14|6
2888 Awire|net@25|||2700|pin@16||10.5|-12.5|pin@2||10.5|4
2889 Awire|net@26|||900|pin@14||-21.5|-2.5|pin@17||-21.5|-16.5
2890 Awire|net@27|||900|pin@15||-19.5|4|pin@18||-19.5|-8.5
2891 Eina||D5G2;|conn@3|a|I
2892 Einb||D5G2;|conn@2|a|I
2893 Einc||D5G2;|conn@0|y|I
2894 Eout||D5G2;|conn@1|y|O
2895 X
2896
2897 # Cell nand3MLT;1{ic}
2898 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2899 Ngeneric:Facet-Center|art@0||0|0||||AV
2900 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I10|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
2901 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2902 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2903 NThick-Circle|art@4||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2904 NPin|pin@0||-2.5|2||||
2905 NPin|pin@1||-1.5|2|1|1||
2906 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2907 NPin|pin@3||-1.5|0|1|1||
2908 NPin|pin@4||-2.5|0||||
2909 NPin|pin@5||-0.5|-3|1|1||
2910 NPin|pin@6||-1.5|-3|1|1||
2911 NPin|pin@7||-1.5|3|1|1||
2912 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2913 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2914 NPin|pin@10||-0.5|3|1|1||
2915 NPin|pin@11||-2.5|-2||||
2916 NPin|pin@12||-1.5|-2|1|1||
2917 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2918 NPin|pin@14||-1.5|-1.75|1|1||
2919 NPin|pin@15||-0.25|-3|1|1||
2920 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I10
2921 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I10
2922 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I10
2923 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2924 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I10
2925 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I10
2926 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I10
2927 Eina||D5G1;|pin@13||I
2928 Einb||D5G1;|pin@9||I
2929 Einc||D5G1;|pin@2||I
2930 Eout||D5G1;|pin@8||O
2931 X
2932
2933 # Cell nand3MLT;1{sch}
2934 Cnand3MLT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2935 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2936 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2937 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2938 Ngeneric:Facet-Center|art@0||0|0||||AV
2939 NOff-Page|conn@0||-34.5|4||||
2940 NOff-Page|conn@1||28.5|10||||
2941 NOff-Page|conn@2||23|-1|||RR|
2942 NOff-Page|conn@3||-23|-12||||
2943 Inand3MLT;1{ic}|nand3MLT@0||38|26|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2944 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2945 NWire_Pin|pin@15||0|10||||
2946 Ngeneric:Invisible-Pin|pin@26||33|-10.5|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2947 Ngeneric:Invisible-Pin|pin@27||0|21|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
2948 Ngeneric:Invisible-Pin|pin@28||-0.5|23|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2949 NWire_Pin|pin@29||9|-8||||
2950 NWire_Pin|pin@30||-18|-4||||
2951 NWire_Pin|pin@31||-18|4||||
2952 NWire_Pin|pin@32||-14|0||||
2953 Ngeneric:Invisible-Pin|pin@33||-0.5|30|||||ART_message(D5G6;)S[nand3MLT]
2954 NWire_Pin|pin@34||9|4||||
2955 NWire_Pin|pin@35||4.5|0||||
2956 Ngeneric:Invisible-Pin|pin@36||-0.5|25|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2957 NWire_Pin|pin@37||-5|0||||
2958 NWire_Pin|pin@38||0|0||||
2959 NWire_Pin|pin@39||-9|4||||
2960 NWire_Pin|pin@40||-9|-12||||
2961 NWire_Pin|pin@41||4.5|7.5||||
2962 NWire_Pin|pin@42||-14|7.5||||
2963 NWire_Pin|pin@43||-5|7.5||||
2964 NWire_Pin|pin@44||9|-1||||
2965 NPower|pwr@0||-5|11.5||||
2966 Awire|net@30|||2700|pin@40||-9|-12|pin@39||-9|4
2967 Awire|net@33|||2700|pin@38||0|0|pin@15||0|10
2968 Awire|net@42|||0|pin@29||9|-8|nms3@0|g2|3|-8
2969 Awire|net@43|||0|nms3@0|g3|-3|-4|pin@30||-18|-4
2970 Awire|net@44|||2700|pin@30||-18|-4|pin@31||-18|4
2971 Awire|net@45|||0|pin@37||-5|0|pin@32||-14|0
2972 Awire|net@46|||0|nms3@0|g|-3|-12|pin@40||-9|-12
2973 Awire|net@47|||900|pin@38||0|0|nms3@0|d|0|-2
2974 Awire|net@48|||0|pin@38||0|0|pin@37||-5|0
2975 Awire|net@49|||0|pin@35||4.5|0|pin@38||0|0
2976 Awire|net@50|||2700|pin@37||-5|0|PMOS@3|d|-5|2
2977 Awire|net@51|||1800|pin@39||-9|4|PMOS@3|g|-8|4
2978 Awire|net@52|||2700|pin@35||4.5|0|PMOS@4|d|4.5|2
2979 Awire|net@53|||1800|PMOS@4|g|7.5|4|pin@34||9|4
2980 Awire|net@54|||2700|pin@32||-14|0|PMOS@5|d|-14|2
2981 Awire|net@55|||0|PMOS@5|g|-17|4|pin@31||-18|4
2982 Awire|net@56|||900|pin@41||4.5|7.5|PMOS@4|s|4.5|6
2983 Awire|net@57|||2700|PMOS@5|s|-14|6|pin@42||-14|7.5
2984 Awire|net@58|||1800|pin@43||-5|7.5|pin@41||4.5|7.5
2985 Awire|net@59|||1800|pin@42||-14|7.5|pin@43||-5|7.5
2986 Awire|net@60|||900|pin@43||-5|7.5|PMOS@3|s|-5|6
2987 Awire|net@61|||2700|pin@43||-5|7.5|pwr@0||-5|11.5
2988 Awire|net@62|||900|pin@44||9|-1|pin@29||9|-8
2989 Awire|net@63|||900|pin@34||9|4|pin@44||9|-1
2990 Awire|net@64|||0|conn@2|y|21|-1|pin@44||9|-1
2991 Awire|net@65|||0|conn@1|a|26.5|10|pin@15||0|10
2992 Awire|net@70|||1800|conn@3|y|-21|-12|pin@40||-9|-12
2993 Awire|net@71|||1800|conn@0|y|-32.5|4|pin@31||-18|4
2994 Eina||D5G2;|conn@3|a|I
2995 Einb||D5G2;|conn@2|a|I
2996 Einc||D5G2;|conn@0|y|I
2997 Eout||D5G2;|conn@1|y|O
2998 X
2999
3000 # Cell nand3_sy6;1{ic}
3001 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3002 Ngeneric:Facet-Center|art@0||0|0||||AV
3003 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3004 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
3005 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
3006 NPin|pin@1||-1.5|-2|1|1||
3007 NPin|pin@2||-2.5|-2||||
3008 NPin|pin@3||-0.5|3|1|1||
3009 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
3010 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3011 NPin|pin@6||-1.5|3|1|1||
3012 NPin|pin@7||-1.5|-3|1|1||
3013 NPin|pin@8||-0.5|-3|1|1||
3014 NPin|pin@9||-2.5|0||||
3015 NPin|pin@10||-1.5|0|1|1||
3016 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
3017 NPin|pin@12||-1.5|2|1|1||
3018 NPin|pin@13||-2.5|2||||
3019 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
3020 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
3021 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
3022 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
3023 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
3024 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
3025 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
3026 Eina||D5G1;|pin@0||I
3027 Einb||D5G1;|pin@4||I
3028 Einc||D5G1;|pin@11||I
3029 Eout||D5G1;|pin@5||O
3030 X
3031
3032 # Cell nand3_sy6;1{sch}
3033 Cnand3_sy6;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3034 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3035 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3036 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3037 Ngeneric:Facet-Center|art@0||0|0||||AV
3038 NOff-Page|conn@1||24|-12.5|||RR|
3039 NOff-Page|conn@2||27|0||||
3040 NOff-Page|conn@3||-35|4||||
3041 NOff-Page|conn@4||-35|-2.5||||
3042 Inand3_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
3043 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
3044 NWire_Pin|pin@10||-19.5|-8.5||||
3045 NWire_Pin|pin@11||-21.5|-16.5||||
3046 NWire_Pin|pin@12||10.5|-12.5||||
3047 NWire_Pin|pin@13||-19.5|4||||
3048 NWire_Pin|pin@14||-21.5|-2.5||||
3049 NWire_Pin|pin@15||-9|-2.5||||
3050 NWire_Pin|pin@16||-5|7.5||||
3051 NWire_Pin|pin@17||4.5|7.5||||
3052 NWire_Pin|pin@18||-14|7.5||||
3053 Ngeneric:Invisible-Pin|pin@19||0|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
3054 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3055 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
3056 NWire_Pin|pin@22||-9|4||||
3057 NWire_Pin|pin@23||-5|0||||
3058 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3059 NWire_Pin|pin@25||4.5|0||||
3060 NWire_Pin|pin@26||10.5|4||||
3061 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
3062 NWire_Pin|pin@28||-14|0||||
3063 NPower|pwr@0||-5|10.5||||
3064 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
3065 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
3066 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
3067 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
3068 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
3069 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
3070 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
3071 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
3072 Awire|net@32|||2700|PMOS@3|s|-14|6|pin@18||-14|7.5
3073 Awire|net@33|||0|PMOS@3|g|-17|4|pin@13||-19.5|4
3074 Awire|net@34|||900|PMOS@3|d|-14|2|pin@28||-14|0
3075 Awire|net@35|||2700|PMOS@4|s|-5|6|pin@16||-5|7.5
3076 Awire|net@36|||0|PMOS@4|g|-8|4|pin@22||-9|4
3077 Awire|net@37|||900|PMOS@4|d|-5|2|pin@23||-5|0
3078 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@5|s|4.5|6
3079 Awire|net@39|||1800|PMOS@5|g|7.5|4|pin@26||10.5|4
3080 Awire|net@40|||900|PMOS@5|d|4.5|2|pin@25||4.5|0
3081 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
3082 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
3083 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
3084 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
3085 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
3086 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
3087 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
3088 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
3089 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
3090 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
3091 Eina||D5G2;|conn@4|y|I
3092 Einb||D5G2;|conn@1|a|I
3093 Einc||D5G2;|conn@3|y|I
3094 Eout||D5G2;|conn@2|y|O
3095 X
3096
3097 # Cell nand3en;1{ic}
3098 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3099 Ngeneric:Facet-Center|art@0||0|0||||AV
3100 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
3101 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3102 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3103 NPin|pin@1||-2.5|2||||
3104 NPin|pin@2||-1.5|2|1|1||
3105 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3106 NPin|pin@4||-1.5|0|1|1||
3107 NPin|pin@5||-2.5|0||||
3108 NPin|pin@6||-0.5|-3|1|1||
3109 NPin|pin@7||-1.5|-3|1|1||
3110 NPin|pin@8||-1.5|3|1|1||
3111 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3112 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3113 NPin|pin@11||-0.5|3|1|1||
3114 NPin|pin@12||-2.5|-2||||
3115 NPin|pin@13||-1.5|-2|1|1||
3116 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3117 NPin|pin@15||-1.5|-1.75|1|1||
3118 NPin|pin@16||-0.25|-3|1|1||
3119 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I10
3120 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I10
3121 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
3122 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I10
3123 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I10
3124 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I10
3125 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
3126 Eina||D5G1;|pin@14||I
3127 Einb||D5G1;|pin@10||I
3128 Einc||D5G1;|pin@3||I
3129 Eout||D5G1;|pin@9||O
3130 X
3131
3132 # Cell nand3en;1{sch}
3133 Cnand3en;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3134 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2;)Smax(@X/10., 5./6.)
3135 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3136 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3137 Ngeneric:Facet-Center|art@0||0|0||||AV
3138 NOff-Page|conn@0||-22|4||||
3139 NOff-Page|conn@1||0|14.5|||R|
3140 NOff-Page|conn@2||14|-1|||RR|
3141 NOff-Page|conn@3||-15|-12||||
3142 Inand3en;1{ic}|nand3en@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3143 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
3144 NWire_Pin|pin@0||9|-8||||
3145 NWire_Pin|pin@1||-18|-4||||
3146 NWire_Pin|pin@2||-18|4||||
3147 NWire_Pin|pin@3||-14|0||||
3148 Ngeneric:Invisible-Pin|pin@4||-0.5|27|||||ART_message(D5G6;)S[nand3en]
3149 NWire_Pin|pin@5||9|-1||||
3150 NWire_Pin|pin@6||9|4||||
3151 NWire_Pin|pin@7||4.5|0||||
3152 NWire_Pin|pin@8||-9|-12||||
3153 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
3154 NWire_Pin|pin@10||-5|0||||
3155 NWire_Pin|pin@11||0|0||||
3156 NWire_Pin|pin@12||-9|4||||
3157 Ngeneric:Invisible-Pin|pin@13||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3158 Ngeneric:Invisible-Pin|pin@14||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3159 NWire_Pin|pin@15||4.5|7.5||||
3160 NWire_Pin|pin@16||-14|7.5||||
3161 NWire_Pin|pin@17||-5|7.5||||
3162 NPower|pwr@0||-5|11.5||||
3163 Awire|net@0|||900|pin@17||-5|7.5|PMOS@3|s|-5|6
3164 Awire|net@1|||1800|pin@12||-9|4|PMOS@3|g|-8|4
3165 Awire|net@2|||2700|pin@10||-5|0|PMOS@3|d|-5|2
3166 Awire|net@3|||1800|pin@8||-9|-12|nms3@0|g|-3|-12
3167 Awire|net@4|||900|pin@5||9|-1|pin@0||9|-8
3168 Awire|net@5|||0|pin@0||9|-8|nms3@0|g2|3|-8
3169 Awire|net@6|||0|nms3@0|g3|-3|-4|pin@1||-18|-4
3170 Awire|net@7|||2700|pin@1||-18|-4|pin@2||-18|4
3171 Awire|net@8|||0|pin@2||-18|4|conn@0|y|-20|4
3172 Awire|net@9|||0|pin@10||-5|0|pin@3||-14|0
3173 Awire|net@10|||900|pin@11||0|0|nms3@0|d|0|-2
3174 Awire|net@11|||1800|pin@5||9|-1|conn@2|y|12|-1
3175 Awire|net@12|||2700|pin@5||9|-1|pin@6||9|4
3176 Awire|net@13|||0|pin@8||-9|-12|conn@3|y|-13|-12
3177 Awire|net@14|||0|pin@11||0|0|pin@10||-5|0
3178 Awire|net@15|||0|pin@7||4.5|0|pin@11||0|0
3179 Awire|net@16|||2700|pin@11||0|0|conn@1|a|0|12.5
3180 Awire|net@17|||2700|pin@8||-9|-12|pin@12||-9|4
3181 Awire|net@18|||2700|pin@7||4.5|0|PMOS@4|d|4.5|2
3182 Awire|net@19|||1800|PMOS@4|g|7.5|4|pin@6||9|4
3183 Awire|net@20|||2700|pin@3||-14|0|PMOS@5|d|-14|2
3184 Awire|net@21|||0|PMOS@5|g|-17|4|pin@2||-18|4
3185 Awire|net@22|||900|pin@15||4.5|7.5|PMOS@4|s|4.5|6
3186 Awire|net@23|||2700|PMOS@5|s|-14|6|pin@16||-14|7.5
3187 Awire|net@24|||1800|pin@17||-5|7.5|pin@15||4.5|7.5
3188 Awire|net@25|||1800|pin@16||-14|7.5|pin@17||-5|7.5
3189 Awire|net@26|||2700|pin@17||-5|7.5|pwr@0||-5|11.5
3190 Eina||D5G2;|conn@3|a|I
3191 Einb||D5G2;|conn@2|a|I
3192 Einc||D5G2;|conn@0|y|I
3193 Eout||D5G2;|conn@1|y|O
3194 X
3195
3196 # Cell nand3en_sy;1{ic}
3197 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3198 Ngeneric:Facet-Center|art@0||0|0||||AV
3199 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3200 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
3201 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
3202 NPin|pin@1||-0.25|-3|1|1||
3203 NPin|pin@2||-1.5|-1.75|1|1||
3204 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
3205 NPin|pin@4||-1.5|-2|1|1||
3206 NPin|pin@5||-2.5|-2||||
3207 NPin|pin@6||-0.5|3|1|1||
3208 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
3209 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
3210 NPin|pin@9||-1.5|3|1|1||
3211 NPin|pin@10||-1.5|-3|1|1||
3212 NPin|pin@11||-0.5|-3|1|1||
3213 NPin|pin@12||-2.5|0||||
3214 NPin|pin@13||-1.5|0|1|1||
3215 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
3216 NPin|pin@15||-1.5|2|1|1||
3217 NPin|pin@16||-2.5|2||||
3218 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3219 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
3220 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I10
3221 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I10
3222 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I10
3223 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I10
3224 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I10
3225 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I10
3226 Eina||D5G1;|pin@3||I
3227 Einb||D5G1;|pin@7||I
3228 Einc||D5G1;|pin@14||I
3229 Eout||D5G1;|pin@8||O
3230 X
3231
3232 # Cell nand3en_sy;1{sch}
3233 Cnand3en_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3234 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/10., 5./6.)
3235 IPMOS;1{ic}|PMOS@4||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3236 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3237 Ngeneric:Facet-Center|art@0||0|0||||AV
3238 NOff-Page|conn@0||-15|-13||||
3239 NOff-Page|conn@1||14|-1|||RR|
3240 NOff-Page|conn@2||0|14.5|||R|
3241 NOff-Page|conn@3||-22|4||||
3242 Inand3en_sy;1{ic}|nand3en_@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3243 Inms3_2sy;1{ic}|nms3_2sy@0||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3244 Inms3_2sy;1{ic}|nms3_2sy@1||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3245 NWire_Pin|pin@0||-18|-5||||
3246 NWire_Pin|pin@1||-5|7.5||||
3247 NWire_Pin|pin@2||-14|7.5||||
3248 NWire_Pin|pin@3||4.5|7.5||||
3249 Ngeneric:Invisible-Pin|pin@4||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3250 Ngeneric:Invisible-Pin|pin@5||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3251 NWire_Pin|pin@6||-9|4||||
3252 NWire_Pin|pin@7||0|0||||
3253 NWire_Pin|pin@8||-5|0||||
3254 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
3255 NWire_Pin|pin@10||-9|-13||||
3256 NWire_Pin|pin@11||4.5|0||||
3257 NWire_Pin|pin@12||9|4||||
3258 NWire_Pin|pin@13||9|-1||||
3259 Ngeneric:Invisible-Pin|pin@14||-0.5|27|||||ART_message(D5G6;)S[nand3en_sy]
3260 NWire_Pin|pin@15||-14|0||||
3261 NWire_Pin|pin@16||-18|4||||
3262 NWire_Pin|pin@17||9|-9||||
3263 NPower|pwr@0||-5|11.5||||
3264 Awire|net@0|||900|pin@1||-5|7.5|PMOS@3|s|-5|6
3265 Awire|net@1|||1800|pin@6||-9|4|PMOS@3|g|-8|4
3266 Awire|net@2|||2700|pin@8||-5|0|PMOS@3|d|-5|2
3267 Awire|net@3|||900|pin@16||-18|4|pin@0||-18|-5
3268 Awire|net@4|||2700|pin@17||9|-9|pin@13||9|-1
3269 Awire|net@5|||1800|pin@10||-9|-13|nms3_2sy@0|g|-2.25|-13
3270 Awire|net@6|||1800|nms3_2sy@0|g2|3|-9|pin@17||9|-9
3271 Awire|net@8|||900|pin@7||0|0|nms3_2sy@0|d|0|-3
3272 Awire|net@9|||2700|pin@1||-5|7.5|pwr@0||-5|11.5
3273 Awire|net@10|||1800|pin@2||-14|7.5|pin@1||-5|7.5
3274 Awire|net@11|||1800|pin@1||-5|7.5|pin@3||4.5|7.5
3275 Awire|net@12|||2700|PMOS@4|s|-14|6|pin@2||-14|7.5
3276 Awire|net@13|||900|pin@3||4.5|7.5|PMOS@5|s|4.5|6
3277 Awire|net@14|||0|PMOS@4|g|-17|4|pin@16||-18|4
3278 Awire|net@15|||2700|pin@15||-14|0|PMOS@4|d|-14|2
3279 Awire|net@16|||1800|PMOS@5|g|7.5|4|pin@12||9|4
3280 Awire|net@17|||2700|pin@11||4.5|0|PMOS@5|d|4.5|2
3281 Awire|net@18|||2700|pin@10||-9|-13|pin@6||-9|4
3282 Awire|net@19|||2700|pin@7||0|0|conn@2|a|0|12.5
3283 Awire|net@20|||0|pin@11||4.5|0|pin@7||0|0
3284 Awire|net@21|||0|pin@7||0|0|pin@8||-5|0
3285 Awire|net@22|||0|pin@10||-9|-13|conn@0|y|-13|-13
3286 Awire|net@23|||2700|pin@13||9|-1|pin@12||9|4
3287 Awire|net@24|||1800|pin@13||9|-1|conn@1|y|12|-1
3288 Awire|net@25|||0|pin@8||-5|0|pin@15||-14|0
3289 Awire|net@26|||0|pin@16||-18|4|conn@3|y|-20|4
3290 Awire|net@27|||0|nms3_2sy@1|g3|-3|-5|pin@0||-18|-5
3291 Eina||D5G2;|conn@0|a|I
3292 Einb||D5G2;|conn@1|a|I
3293 Einc||D5G2;|conn@3|y|I
3294 Eout||D5G2;|conn@2|y|O
3295 X
3296
3297 # Cell nms1;2{ic}
3298 Cnms1;2{ic}||artwork|1021415734000|1228433765304|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3299 Ngeneric:Facet-Center|art@0||0|0||||AV
3300 NPin|pin@0||-1|-2|1|1||
3301 NPin|pin@1||0|-3||||
3302 NPin|pin@2||1|-2|1|1||
3303 NPin|pin@3||0|-2|1|1||
3304 NPin|pin@4||0|-2|1|1||
3305 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
3306 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3307 NPin|pin@8||-1.5|0|1|1|RR|
3308 NPin|pin@9||-3|0|||RR|
3309 NPin|pin@10||-1.5|1|1|1||
3310 NPin|pin@11||-1.5|-1|1|1||
3311 NPin|pin@12||0|-1|1|1||
3312 NPin|pin@13||-0.75|-1|1|1||
3313 NPin|pin@14||-0.75|1|1|1||
3314 NPin|pin@15||0|1|1|1||
3315 NPin|pin@16||0|2|1|1||
3316 NPin|pin@21||0|2|1|1|YRR|
3317 NPin|pin@24||0|2|1|1|YRR|
3318 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3319 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3320 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3321 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3322 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3323 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3324 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3325 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3326 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3327 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3328 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3329 AThicker|net@11|||FS900|pin@21||0|2|pin@24||0|2|ART_color()I10
3330 Ed||D5G1;|pin@5||O
3331 Eg||D5G1;|pin@6||I
3332 X
3333
3334 # Cell nms1;1{sch}
3335 Cnms1;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3336 INMOS;1{ic}|NMOS@2||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*1.0
3337 Ngeneric:Facet-Center|art@0||0|0||||AV
3338 NOff-Page|conn@0||-10|-11||||
3339 NOff-Page|conn@1||8|0|||Y|
3340 NGround|gnd@0||0|-19||||
3341 Inms1;2{ic}|nms1@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3342 NWire_Pin|pin@0||0|0||||
3343 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)Sone fixed-size N-type transistor to GND
3344 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)Snms1
3345 Awire|net@3|||2700|gnd@0||0|-17|NMOS@2|s|0|-13
3346 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@2|g|-3|-11
3347 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3348 Awire|net@6|||900|pin@0||0|0|NMOS@2|d|0|-9
3349 Ed||D5G2;|conn@1|y|O
3350 Eg||D5G2;|conn@0|a|I
3351 X
3352
3353 # Cell nms2;1{sch}
3354 Cnms2;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3355 INMOS;1{ic}|NMOS@2||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3356 INMOS;1{ic}|NMOS@3||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3357 Ngeneric:Facet-Center|art@0||0|0||||AV
3358 NOff-Page|conn@0||-10|-11||||
3359 NOff-Page|conn@1||8|0|||Y|
3360 NOff-Page|conn@2||8|-4|||YRR|
3361 NGround|gnd@0||0|-19||||
3362 Inms2b;1{ic}|nms2@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3363 Inms2a;2{ic}|nms2@1||29|-11|||D5G4;|ATTR_Delay(D5G1;NPX3.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-1.5;)I1
3364 NWire_Pin|pin@0||0|0||||
3365 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)S[two fixed-size N-type transistors to GND]
3366 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)S[nms2]
3367 Awire|net@0|||2700|NMOS@3|d|0|-9|NMOS@2|s|0|-6
3368 Awire|net@1|||900|pin@0||0|0|NMOS@2|d|0|-2
3369 Awire|net@2|||0|conn@2|y|6|-4|NMOS@2|g|3|-4
3370 Awire|net@3|||2700|gnd@0||0|-17|NMOS@3|s|0|-13
3371 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@3|g|-3|-11
3372 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3373 Ed||D5G2;|conn@1|y|O
3374 Eg||D5G2;|conn@0|a|I
3375 Eg2||D5G2;|conn@2|a|I
3376 X
3377
3378 # Cell nms2_sy;1{ic}
3379 Cnms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[0,0]
3380 Ngeneric:Facet-Center|art@0||0|0||||AV
3381 Nschematic:Bus_Pin|pin@0||0|6|-2|-2||
3382 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
3383 Nschematic:Bus_Pin|pin@2||3|4|-2|-2||
3384 NPin|pin@3||-1.5|0|1|1|RR|
3385 NPin|pin@4||-3|0|||RR|
3386 NPin|pin@5||0|-2|1|1||
3387 NPin|pin@6||1|-2|1|1||
3388 NPin|pin@7||0|-3||||
3389 NPin|pin@8||-1|-2|1|1||
3390 NPin|pin@9||-1.5|1|1|1||
3391 NPin|pin@10||-1.5|-1|1|1||
3392 NPin|pin@11||0|-1|1|1||
3393 NPin|pin@12||-0.75|-1|1|1||
3394 NPin|pin@13||-0.75|1|1|1||
3395 NPin|pin@14||-0.25|1|1|1||
3396 NPin|pin@15||0|6|||RR|
3397 NPin|pin@16||0|5|1|1|YRR|
3398 NPin|pin@17||0.75|5|1|1|YRR|
3399 NPin|pin@18||0.75|3|1|1|YRR|
3400 NPin|pin@19||1.5|3|1|1|YRR|
3401 NPin|pin@20||1.5|5|1|1|YRR|
3402 NPin|pin@21||3|4||||
3403 NPin|pin@22||1.5|4|1|1|Y|
3404 NPin|pin@23||-0.75|5|1|1||
3405 NPin|pin@24||-0.75|3|1|1||
3406 NPin|pin@25||-1.5|4|1|1|RR|
3407 NPin|pin@26||-2.25|4|1|1|RR|
3408 NPin|pin@27||-1.5|5|1|1||
3409 NPin|pin@28||-1.5|3|1|1||
3410 NPin|pin@29||1.5|0|1|1|Y|
3411 NPin|pin@30||2.25|0|1|1|Y|
3412 NPin|pin@31||1.5|1|1|1|YRR|
3413 NPin|pin@32||1.5|-1|1|1|YRR|
3414 NPin|pin@33||0|-1|1|1|YRR|
3415 NPin|pin@34||0.75|-1|1|1|YRR|
3416 NPin|pin@35||0.75|1|1|1|YRR|
3417 NPin|pin@36||0.25|3|1|1||
3418 NPin|pin@37||-0.25|3|1|1||
3419 NPin|pin@38||0.25|1|1|1||
3420 NPin|pin@39||2.25|4|1|1||
3421 NPin|pin@40||-2.25|0|1|1||
3422 AThicker|net@0|||FS900|pin@13||-0.75|1|pin@12||-0.75|-1|ART_color()I10
3423 AThicker|net@1|||FS0|pin@14||-0.25|1|pin@13||-0.75|1|ART_color()I10
3424 AThicker|net@2|||FS1350|pin@8||-1|-2|pin@7||0|-3|ART_color()I10
3425 AThicker|net@3|||FS0|pin@5||0|-2|pin@8||-1|-2|ART_color()I10
3426 AThicker|net@4|||FS900|pin@9||-1.5|1|pin@10||-1.5|-1|ART_color()I10
3427 AThicker|net@5|||FS1800|pin@4||-3|0|pin@3||-1.5|0|ART_color()I10
3428 AThicker|net@6|||FS1800|pin@12||-0.75|-1|pin@11||0|-1|ART_color()I10
3429 AThicker|net@7|||FS900|pin@11||0|-1|pin@5||0|-2|ART_color()I10
3430 AThicker|net@8|||FS2250|pin@7||0|-3|pin@6||1|-2|ART_color()I10
3431 AThicker|net@9|||FS0|pin@6||1|-2|pin@5||0|-2|ART_color()I10
3432 AThicker|net@10|||FS900|pin@15||0|6|pin@16||0|5|ART_color()I10
3433 AThicker|net@11|||FS900|pin@20||1.5|5|pin@19||1.5|3|ART_color()I10
3434 AThicker|net@12|||FS1800|pin@16||0|5|pin@17||0.75|5|ART_color()I10
3435 AThicker|net@13|||FS900|pin@17||0.75|5|pin@18||0.75|3|ART_color()I10
3436 AThicker|net@14|||FS1800|pin@23||-0.75|5|pin@16||0|5|ART_color()I10
3437 AThicker|net@15|||FS2700|pin@24||-0.75|3|pin@23||-0.75|5|ART_color()I10
3438 AThicker|net@16|||FS1800|pin@26||-2.25|4|pin@25||-1.5|4|ART_color()I10
3439 AThicker|net@17|||FS900|pin@27||-1.5|5|pin@28||-1.5|3|ART_color()I10
3440 AThicker|net@18|||FS0|pin@30||2.25|0|pin@29||1.5|0|ART_color()I10
3441 AThicker|net@19|||FS900|pin@35||0.75|1|pin@34||0.75|-1|ART_color()I10
3442 AThicker|net@20|||FS0|pin@34||0.75|-1|pin@33||0|-1|ART_color()I10
3443 AThicker|net@21|||FS900|pin@31||1.5|1|pin@32||1.5|-1|ART_color()I10
3444 AThicker|net@22|||FS760|pin@36||0.25|3|pin@14||-0.25|1|ART_color()I10
3445 AThicker|net@23|||FS0|pin@18||0.75|3|pin@36||0.25|3|ART_color()I10
3446 AThicker|net@24|||FS0|pin@37||-0.25|3|pin@24||-0.75|3|ART_color()I10
3447 AThicker|net@25|||FS2840|pin@38||0.25|1|pin@37||-0.25|3|ART_color()I10
3448 AThicker|net@26|||FS0|pin@35||0.75|1|pin@38||0.25|1|ART_color()I10
3449 AThicker|net@27|||FS0|pin@21||3|4|pin@22||1.5|4|ART_color()I10
3450 AThicker|net@28|||FS900|pin@39||2.25|4|pin@30||2.25|0|ART_color()I10
3451 AThicker|net@29|||FS2700|pin@40||-2.25|0|pin@26||-2.25|4|ART_color()I10
3452 Ed||D5G1;|pin@0||O
3453 Eg||D5G1;|pin@1||I
3454 Eg2||D5G1;|pin@2||I
3455 X
3456
3457 # Cell nms2_sy;1{sch}
3458 Cnms2_sy;1{sch}||schematic|1021415734000|1157998666994||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3459 Ngeneric:Facet-Center|art@0||0|0||||AV
3460 NOff-Page|conn@0||16.5|0||||
3461 NOff-Page|conn@1||16|-5.5|||YRR|
3462 NOff-Page|conn@2||-15|-5.5||||
3463 Inms2b;1{ic}|nms2@0||8|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3464 Inms2b;1{ic}|nms2@1||-6.5|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3465 Inms2_sy;1{ic}|nms2_sy@0||25|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOLPX-3.75;Y2.5;)S1
3466 Ngeneric:Invisible-Pin|pin@0||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3467 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric fixed-size N-type two-stack]
3468 NWire_Pin|pin@2||-6.5|0||||
3469 NWire_Pin|pin@3||-1|-9.5||||
3470 NWire_Pin|pin@4||3|-5.5||||
3471 NWire_Pin|pin@5||3|-9.5||||
3472 NWire_Pin|pin@6||-1|-5.5||||
3473 NWire_Pin|pin@7||8|0||||
3474 Awire|net@0|||2250|pin@3||-1|-9.5|pin@4||3|-5.5
3475 Awire|net@1|||3150|pin@5||3|-9.5|pin@6||-1|-5.5
3476 Awire|net@2|||0|pin@6||-1|-5.5|nms2@1|g2|-3.5|-5.5
3477 Awire|net@3|||0|nms2@0|g|5|-9.5|pin@5||3|-9.5
3478 Awire|net@4|||0|nms2@0|g2|11|-5.5|pin@4||3|-5.5
3479 Awire|net@5|||0|conn@1|y|14|-5.5|nms2@0|g2|11|-5.5
3480 Awire|net@6|||1800|nms2@1|g|-9.5|-9.5|pin@3||-1|-9.5
3481 Awire|net@7|||2700|nms2@1|d|-6.5|-3.5|pin@2||-6.5|0
3482 Awire|net@8|||1800|pin@7||8|0|conn@0|a|14.5|0
3483 Awire|net@9|||1800|pin@2||-6.5|0|pin@7||8|0
3484 Awire|net@10|||900|pin@7||8|0|nms2@0|d|8|-3.5
3485 Awire|net@11|||1800|conn@2|y|-13|-5.5|nms2@1|g2|-3.5|-5.5
3486 Ed||D5G2;|conn@0|y|O
3487 Eg||D5G2;|conn@2|a|I
3488 Eg2||D5G2;|conn@1|a|I
3489 X
3490
3491 # Cell nms2a;2{ic}
3492 Cnms2a;2{ic}|nms2|artwork|1021415734000|1228433108557|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3493 Ngeneric:Facet-Center|art@0||0|0||||AV
3494 NPin|pin@0||-1|-2|1|1||
3495 NPin|pin@1||0|-3||||
3496 NPin|pin@2||1|-2|1|1||
3497 NPin|pin@3||0|-2|1|1||
3498 NPin|pin@4||0|-2|1|1||
3499 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3500 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3501 Nschematic:Bus_Pin|pin@7||-3|4|-2|-2||
3502 NPin|pin@8||-1.5|0|1|1|RR|
3503 NPin|pin@9||-3|0|||RR|
3504 NPin|pin@10||-1.5|1|1|1||
3505 NPin|pin@11||-1.5|-1|1|1||
3506 NPin|pin@12||0|-1|1|1||
3507 NPin|pin@13||-0.75|-1|1|1||
3508 NPin|pin@14||-0.75|1|1|1||
3509 NPin|pin@15||0|1|1|1||
3510 NPin|pin@16||0|2|1|1||
3511 NPin|pin@17||0|6|||RR|
3512 NPin|pin@18||0|5|1|1|YRR|
3513 NPin|pin@19||-0.75|5|1|1|YRR|
3514 NPin|pin@20||-0.75|3|1|1|YRR|
3515 NPin|pin@21||0|3|1|1|YRR|
3516 NPin|pin@22||-1.5|3|1|1|YRR|
3517 NPin|pin@23||-1.5|5|1|1|YRR|
3518 NPin|pin@24||0|2|1|1|YRR|
3519 NPin|pin@25||-3|4||||
3520 NPin|pin@26||-1.5|4|1|1|Y|
3521 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3522 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3523 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3524 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3525 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3526 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3527 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3528 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3529 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3530 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3531 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3532 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3533 AThicker|net@12|||FS1800|pin@20||-0.75|3|pin@21||0|3|ART_color()I10
3534 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3535 AThicker|net@14|||FS0|pin@18||0|5|pin@19||-0.75|5|ART_color()I10
3536 AThicker|net@15|||FS900|pin@23||-1.5|5|pin@22||-1.5|3|ART_color()I10
3537 AThicker|net@16|||FS900|pin@19||-0.75|5|pin@20||-0.75|3|ART_color()I10
3538 AThicker|net@17|||FS1800|pin@25||-3|4|pin@26||-1.5|4|ART_color()I10
3539 Ed||D5G1;|pin@5||O
3540 Eg||D5G1;|pin@6||I
3541 Eg2||D5G1;|pin@7||I
3542 X
3543
3544 # Cell nms2b;1{ic}
3545 Cnms2b;1{ic}|nms2|artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3546 Ngeneric:Facet-Center|art@0||0|0||||AV
3547 NPin|pin@0||-1|-2|1|1||
3548 NPin|pin@1||0|-3||||
3549 NPin|pin@2||1|-2|1|1||
3550 NPin|pin@3||0|-2|1|1||
3551 NPin|pin@4||0|-2|1|1||
3552 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3553 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3554 Nschematic:Bus_Pin|pin@7||3|4|-2|-2||
3555 NPin|pin@8||-1.5|0|1|1|RR|
3556 NPin|pin@9||-3|0|||RR|
3557 NPin|pin@10||-1.5|1|1|1||
3558 NPin|pin@11||-1.5|-1|1|1||
3559 NPin|pin@12||0|-1|1|1||
3560 NPin|pin@13||-0.75|-1|1|1||
3561 NPin|pin@14||-0.75|1|1|1||
3562 NPin|pin@15||0|1|1|1||
3563 NPin|pin@16||0|2|1|1||
3564 NPin|pin@17||0|6|||RR|
3565 NPin|pin@18||0|5|1|1|YRR|
3566 NPin|pin@19||0.75|5|1|1|YRR|
3567 NPin|pin@20||0.75|3|1|1|YRR|
3568 NPin|pin@21||0|3|1|1|YRR|
3569 NPin|pin@22||1.5|3|1|1|YRR|
3570 NPin|pin@23||1.5|5|1|1|YRR|
3571 NPin|pin@24||0|2|1|1|YRR|
3572 NPin|pin@25||3|4||||
3573 NPin|pin@26||1.5|4|1|1|Y|
3574 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3575 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3576 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3577 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3578 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3579 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3580 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3581 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3582 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3583 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3584 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3585 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3586 AThicker|net@12|||FS0|pin@20||0.75|3|pin@21||0|3|ART_color()I10
3587 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3588 AThicker|net@14|||FS1800|pin@18||0|5|pin@19||0.75|5|ART_color()I10
3589 AThicker|net@15|||FS900|pin@23||1.5|5|pin@22||1.5|3|ART_color()I10
3590 AThicker|net@16|||FS900|pin@19||0.75|5|pin@20||0.75|3|ART_color()I10
3591 AThicker|net@17|||FS0|pin@25||3|4|pin@26||1.5|4|ART_color()I10
3592 Ed||D5G1;|pin@5||O
3593 Eg||D5G1;|pin@6||I
3594 Eg2||D5G1;|pin@7||I
3595 X
3596
3597 # Cell nms3;1{ic}
3598 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX-2;Y0.5;)S1|prototype_center()I[0,0]
3599 Ngeneric:Facet-Center|art@0||0|0||||AV
3600 NPin|pin@0||1.5|4|1|1|Y|
3601 NPin|pin@1||3|4||||
3602 NPin|pin@2||0|2|1|1|YRR|
3603 NPin|pin@3||1.5|5|1|1|YRR|
3604 NPin|pin@4||1.5|3|1|1|YRR|
3605 NPin|pin@5||0|3|1|1|YRR|
3606 NPin|pin@6||0.75|3|1|1|YRR|
3607 NPin|pin@7||0.75|5|1|1|YRR|
3608 NPin|pin@8||0|5|1|1|YRR|
3609 NPin|pin@9||0|6|||RR|
3610 NPin|pin@10||0|2|1|1||
3611 NPin|pin@11||0|1|1|1||
3612 NPin|pin@12||-0.75|1|1|1||
3613 NPin|pin@13||-0.75|-1|1|1||
3614 NPin|pin@14||0|-1|1|1||
3615 NPin|pin@15||-1.5|-1|1|1||
3616 NPin|pin@16||-1.5|1|1|1||
3617 NPin|pin@17||-1|-2|1|1||
3618 NPin|pin@18||0|-3||||
3619 NPin|pin@19||1|-2|1|1||
3620 NPin|pin@20||0|-2|1|1||
3621 NPin|pin@21||-3|0|||RR|
3622 NPin|pin@22||-1.5|0|1|1|RR|
3623 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3624 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3625 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
3626 Ngeneric:Invisible-Pin|pin@26||-3|8||||
3627 NPin|pin@27||-1.5|8|1|1|RR|
3628 NPin|pin@28||-3|8|||RR|
3629 NPin|pin@29||0|6|1|1||
3630 NPin|pin@30||-1.5|9|1|1||
3631 NPin|pin@31||-1.5|7|1|1||
3632 NPin|pin@32||0|7|1|1||
3633 NPin|pin@33||-0.75|7|1|1||
3634 NPin|pin@34||-0.75|9|1|1||
3635 NPin|pin@35||0|9|1|1||
3636 NPin|pin@36||0|10||||
3637 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
3638 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
3639 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
3640 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
3641 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
3642 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
3643 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
3644 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
3645 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
3646 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
3647 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
3648 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
3649 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
3650 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3651 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
3652 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
3653 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
3654 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
3655 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
3656 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
3657 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
3658 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
3659 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
3660 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
3661 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
3662 Ed||D5G1;|pin@25||O
3663 Eg||D5G1;|pin@24||I
3664 Eg2||D5G1;|pin@23||I
3665 Eg3||D5G1;|pin@26||I
3666 X
3667
3668 # Cell nms3;1{sch}
3669 Cnms3;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
3670 INMOS;1{ic}|NMOS@3||0|2.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3671 INMOS;1{ic}|NMOS@4||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3672 INMOS;1{ic}|NMOS@5||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3673 Ngeneric:Facet-Center|art@0||0|0||||AV
3674 NOff-Page|conn@0||8|-4|||YRR|
3675 NOff-Page|conn@1||5|6||||
3676 NOff-Page|conn@2||-10|-11||||
3677 NOff-Page|conn@3||-10|2.5||||
3678 NGround|gnd@0||0|-19||||
3679 Inms3;1{ic}|nms3@0||34|1|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NOLPX-2;Y0.5;)S1
3680 Inms3a;1{ic}|nms3a@0||35|-15|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-0.5;)I100|ATTR_X(D5G1;NOLPX4.5;Y0.5;)S1
3681 Inms3b;1{ic}|nms3b@0||24.5|-17|||D5G4;|ATTR_Delay(D5G1;NPX-3.25;Y0.5;)I100|ATTR_X(D5G1;NOLPX-3.25;Y1.5;)S1
3682 Inms3c;1{ic}|nms3c@0||24.5|1.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-3;)I100|ATTR_X(D5G1;NOLPX-3.5;Y-2;)S1
3683 Ngeneric:Invisible-Pin|pin@0||0|13.5|||||ART_message(D5G6;)S[nms3]
3684 Ngeneric:Invisible-Pin|pin@1||0|9|||||ART_message(D5G2;)S[three fixed-size N-type transistors to GND]
3685 NWire_Pin|pin@2||0|6||||
3686 Awire|net@0|||1800|pin@2||0|6|conn@1|a|3|6
3687 Awire|net@1|||0|NMOS@3|g|-3|2.5|conn@3|y|-8|2.5
3688 Awire|net@2|||2700|NMOS@3|d|0|4.5|pin@2||0|6
3689 Awire|net@3|||1800|conn@2|y|-8|-11|NMOS@4|g|-3|-11
3690 Awire|net@4|||2700|gnd@0||0|-17|NMOS@4|s|0|-13
3691 Awire|net@5|||0|conn@0|y|6|-4|NMOS@5|g|3|-4
3692 Awire|net@6|||2700|NMOS@5|d|0|-2|NMOS@3|s|0|0.5
3693 Awire|net@7|||2700|NMOS@4|d|0|-9|NMOS@5|s|0|-6
3694 Ed||D5G2;|conn@1|y|O
3695 Eg||D5G2;|conn@2|a|I
3696 Eg2||D5G2;|conn@0|a|I
3697 Eg3||D5G2;|conn@3|y|I
3698 X
3699
3700 # Cell nms3_2sy;1{ic}
3701 Cnms3_2sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[26000,-36000]
3702 Ngeneric:Facet-Center|art@0||0|0||||AV
3703 Nschematic:Bus_Pin|pin@0||-3|8||||
3704 NPin|pin@2||-3|8|||RR|
3705 NPin|pin@3||2.25|4|1|1|Y|
3706 NPin|pin@4||3|4|1|1|Y|
3707 NPin|pin@5||-1.5|0|1|1|RR|
3708 NPin|pin@6||-2.25|0|1|1|RR|
3709 NPin|pin@7||0|-2|1|1||
3710 NPin|pin@8||-0.75|-1|1|1||
3711 NPin|pin@9||0|-1|1|1|YRR|
3712 NPin|pin@10||0|1|1|1|YRR|
3713 NPin|pin@11||-0.75|1|1|1||
3714 NPin|pin@12||-0.75|-1|1|1||
3715 NPin|pin@13||-1.5|1|1|1||
3716 NPin|pin@14||-1.5|-1|1|1||
3717 NPin|pin@15||-2.25|4|1|1||
3718 NPin|pin@16||2.25|8|1|1||
3719 NPin|pin@17||0.25|5|1|1||
3720 NPin|pin@18||-0.25|7|1|1||
3721 NPin|pin@19||0.25|7|1|1||
3722 NPin|pin@20||0.75|5|1|1|YRR|
3723 NPin|pin@21||0.75|3|1|1|YRR|
3724 NPin|pin@22||0|3|1|1|YRR|
3725 NPin|pin@23||1.5|3|1|1|YRR|
3726 NPin|pin@24||1.5|5|1|1|YRR|
3727 NPin|pin@25||2.25|4|1|1|Y|
3728 NPin|pin@26||1.5|4|1|1|Y|
3729 NPin|pin@27||-1.5|7|1|1||
3730 NPin|pin@28||-1.5|9|1|1||
3731 NPin|pin@29||-2.25|8|1|1|RR|
3732 NPin|pin@30||-1.5|8|1|1|RR|
3733 NPin|pin@31||-0.75|7|1|1||
3734 NPin|pin@32||-0.75|9|1|1||
3735 NPin|pin@33||1.5|8|1|1|Y|
3736 NPin|pin@34||2.25|8||||
3737 NPin|pin@35||1.5|9|1|1|YRR|
3738 NPin|pin@36||1.5|7|1|1|YRR|
3739 NPin|pin@37||0.75|7|1|1|YRR|
3740 NPin|pin@38||0.75|9|1|1|YRR|
3741 NPin|pin@39||0|9|1|1|YRR|
3742 NPin|pin@40||0|10|||RR|
3743 NPin|pin@41||-0.25|5|1|1||
3744 NPin|pin@42||-0.75|5|1|1||
3745 NPin|pin@43||-0.75|3|1|1||
3746 NPin|pin@44||0|3|1|1||
3747 NPin|pin@45||-1.5|3|1|1||
3748 NPin|pin@46||-1.5|5|1|1||
3749 NPin|pin@47||-1|-2|1|1||
3750 NPin|pin@48||0|-3||||
3751 NPin|pin@49||1|-2|1|1||
3752 NPin|pin@50||-2.25|4|||RR|
3753 NPin|pin@51||-1.5|4|1|1|RR|
3754 Nschematic:Bus_Pin|pin@52||3|4|-2|-2||
3755 Nschematic:Bus_Pin|pin@53||-2.25|0|-2|-2||
3756 Nschematic:Bus_Pin|pin@54||0|10|-2|-2||
3757 NPin|pin@55||-3|8|1|1|RR|
3758 NPin|pin@56||-2.25|8|1|1|RR|
3759 AThicker|net@1|||FS0|pin@4||3|4|pin@3||2.25|4|ART_color()I10
3760 AThicker|net@2|||FS1800|pin@6||-2.25|0|pin@5||-1.5|0|ART_color()I10
3761 AThicker|net@3|||FS2700|pin@7||0|-2|pin@9||0|-1|ART_color()I10
3762 AThicker|net@4|||FS1800|pin@47||-1|-2|pin@7||0|-2|ART_color()I10
3763 AThicker|net@5|||FS1800|pin@7||0|-2|pin@49||1|-2|ART_color()I10
3764 AThicker|net@6|||FS1800|pin@8||-0.75|-1|pin@9||0|-1|ART_color()I10
3765 AThicker|net@7|||FS2700|pin@10||0|1|pin@44||0|3|ART_color()I10
3766 AThicker|net@8|||FS900|pin@13||-1.5|1|pin@14||-1.5|-1|ART_color()I10
3767 AThicker|net@9|||FS2700|pin@12||-0.75|-1|pin@11||-0.75|1|ART_color()I10
3768 AThicker|net@10|||FS1800|pin@11||-0.75|1|pin@10||0|1|ART_color()I10
3769 AThicker|net@11|||FS2700|pin@15||-2.25|4|pin@29||-2.25|8|ART_color()I10
3770 AThicker|net@12|||FS900|pin@16||2.25|8|pin@25||2.25|4|ART_color()I10
3771 AThicker|net@13|||FS0|pin@34||2.25|8|pin@33||1.5|8|ART_color()I10
3772 AThicker|net@14|||FS0|pin@20||0.75|5|pin@17||0.25|5|ART_color()I10
3773 AThicker|net@15|||FS2840|pin@17||0.25|5|pin@18||-0.25|7|ART_color()I10
3774 AThicker|net@16|||FS0|pin@18||-0.25|7|pin@31||-0.75|7|ART_color()I10
3775 AThicker|net@17|||FS0|pin@37||0.75|7|pin@19||0.25|7|ART_color()I10
3776 AThicker|net@18|||FS760|pin@19||0.25|7|pin@41||-0.25|5|ART_color()I10
3777 AThicker|net@19|||FS900|pin@24||1.5|5|pin@23||1.5|3|ART_color()I10
3778 AThicker|net@20|||FS0|pin@21||0.75|3|pin@22||0|3|ART_color()I10
3779 AThicker|net@21|||FS900|pin@20||0.75|5|pin@21||0.75|3|ART_color()I10
3780 AThicker|net@22|||FS0|pin@25||2.25|4|pin@26||1.5|4|ART_color()I10
3781 AThicker|net@23|||FS900|pin@28||-1.5|9|pin@27||-1.5|7|ART_color()I10
3782 AThicker|net@24|||FS1800|pin@29||-2.25|8|pin@30||-1.5|8|ART_color()I10
3783 AThicker|net@25|||FS2700|pin@31||-0.75|7|pin@32||-0.75|9|ART_color()I10
3784 AThicker|net@26|||FS1800|pin@32||-0.75|9|pin@39||0|9|ART_color()I10
3785 AThicker|net@27|||FS900|pin@38||0.75|9|pin@37||0.75|7|ART_color()I10
3786 AThicker|net@28|||FS1800|pin@39||0|9|pin@38||0.75|9|ART_color()I10
3787 AThicker|net@29|||FS900|pin@35||1.5|9|pin@36||1.5|7|ART_color()I10
3788 AThicker|net@30|||FS900|pin@40||0|10|pin@39||0|9|ART_color()I10
3789 AThicker|net@31|||FS2250|pin@48||0|-3|pin@49||1|-2|ART_color()I10
3790 AThicker|net@32|||FS1800|pin@43||-0.75|3|pin@44||0|3|ART_color()I10
3791 AThicker|net@33|||FS1800|pin@50||-2.25|4|pin@51||-1.5|4|ART_color()I10
3792 AThicker|net@34|||FS900|pin@46||-1.5|5|pin@45||-1.5|3|ART_color()I10
3793 AThicker|net@35|||FS1350|pin@47||-1|-2|pin@48||0|-3|ART_color()I10
3794 AThicker|net@36|||FS0|pin@41||-0.25|5|pin@42||-0.75|5|ART_color()I10
3795 AThicker|net@37|||FS900|pin@42||-0.75|5|pin@43||-0.75|3|ART_color()I10
3796 AThicker|net@38|||FS1800|pin@55||-3|8|pin@56||-2.25|8|ART_color()I10
3797 Ed||D5G1;|pin@54||O
3798 Eg||D5G1;|pin@53||I
3799 Eg2||D5G1;|pin@52||I
3800 Eg3||D5G1;|pin@0||I
3801 X
3802
3803 # Cell nms3_2sy;1{sch}
3804 Cnms3_2sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3805 INMOS;1{ic}|NMOS@5||8|-5.5|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3806 INMOS;1{ic}|NMOS@6||8|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3807 INMOS;1{ic}|NMOS@7||1.5|-19.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3.
3808 INMOS;1{ic}|NMOS@8||-6.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3809 INMOS;1{ic}|NMOS@9||-6.5|-11|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3810 Ngeneric:Facet-Center|art@0||0|0||||AV
3811 NOff-Page|conn@0||-14.5|-5.5||||
3812 NOff-Page|conn@1||-12.5|-19.5||||
3813 NOff-Page|conn@2||16|-5.5|||YRR|
3814 NOff-Page|conn@3||16.5|0||||
3815 NGround|gnd@0||1.5|-25||||
3816 Inms3_2sy;1{ic}|nms3_2sy@0||26.75|7.75|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S1
3817 NWire_Pin|pin@0||8|0||||
3818 NWire_Pin|pin@1||8|-15||||
3819 NWire_Pin|pin@2||-6.5|-15||||
3820 NWire_Pin|pin@3||1.5|-15||||
3821 NWire_Pin|pin@4||-2|-5.5||||
3822 NWire_Pin|pin@5||3.5|-11||||
3823 NWire_Pin|pin@6||3.5|-5.5||||
3824 NWire_Pin|pin@7||-2|-11||||
3825 NWire_Pin|pin@8||-6.5|0||||
3826 Ngeneric:Invisible-Pin|pin@9||-4|9|||||ART_message(D5G2;)S[fixed-size N-type three-stack where two inputs are symmetric]
3827 Ngeneric:Invisible-Pin|pin@10||-4|14|||||ART_message(D5G6;)S[nms3_2sy]
3828 Awire|net@0|||900|NMOS@7|s|1.5|-21.5|gnd@0||1.5|-23
3829 Awire|net@1|||0|NMOS@8|g|-9.5|-5.5|conn@0|y|-12.5|-5.5
3830 Awire|net@2|||1800|NMOS@5|g|11|-5.5|conn@2|y|14|-5.5
3831 Awire|net@3|||0|NMOS@7|g|-1.5|-19.5|conn@1|y|-10.5|-19.5
3832 Awire|net@4|||1800|NMOS@8|g|-9.5|-5.5|pin@4||-2|-5.5
3833 Awire|net@5|||0|NMOS@5|g|11|-5.5|pin@6||3.5|-5.5
3834 Awire|net@6|||2700|NMOS@6|d|8|-9|NMOS@5|s|8|-7.5
3835 Awire|net@7|||900|pin@0||8|0|NMOS@5|d|8|-3.5
3836 Awire|net@8|||0|conn@3|a|14.5|0|pin@0||8|0
3837 Awire|net@9|||0|pin@0||8|0|pin@8||-6.5|0
3838 Awire|net@10|||2700|pin@1||8|-15|NMOS@6|s|8|-13
3839 Awire|net@11|||0|NMOS@6|g|5|-11|pin@5||3.5|-11
3840 Awire|net@12|||0|pin@1||8|-15|pin@3||1.5|-15
3841 Awire|net@13|||900|NMOS@9|s|-6.5|-13|pin@2||-6.5|-15
3842 Awire|net@14|||1800|pin@2||-6.5|-15|pin@3||1.5|-15
3843 Awire|net@15|||900|pin@3||1.5|-15|NMOS@7|d|1.5|-17.5
3844 Awire|net@16|||900|pin@8||-6.5|0|NMOS@8|d|-6.5|-3.5
3845 Awire|net@17|||900|NMOS@8|s|-6.5|-7.5|NMOS@9|d|-6.5|-9
3846 Awire|net@18|||1800|NMOS@9|g|-3.5|-11|pin@7||-2|-11
3847 Awire|net@19|||3150|pin@5||3.5|-11|pin@4||-2|-5.5
3848 Awire|net@20|||2250|pin@7||-2|-11|pin@6||3.5|-5.5
3849 Ed||D5G2;|conn@3|y|O
3850 Eg||D5G2;|conn@1|a|I
3851 Eg2||D5G2;|conn@2|a|I
3852 Eg3||D5G2;|conn@0|a|I
3853 X
3854
3855 # Cell nms3_sy3;1{ic}
3856 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX-8.5;Y1;)S1|prototype_center()I[28000,-16000]
3857 Ngeneric:Facet-Center|art@0||0|0||||AV
3858 NPin|pin@0||10|8|1|1|Y|
3859 NPin|pin@1||7.75|8|1|1||
3860 NPin|pin@2||3.5|0|1|1|Y|
3861 NPin|pin@3||6|0|1|1|Y|
3862 NPin|pin@4||6|4|1|1||
3863 NPin|pin@5||3.5|4|1|1||
3864 NPin|pin@6||7.75|0|1|1|Y|
3865 NPin|pin@7||10|0|1|1||
3866 NPin|pin@8||10|4|1|1||
3867 NPin|pin@9||7.75|4|1|1|Y|
3868 NPin|pin@10||3.5|8|1|1||
3869 NPin|pin@11||6|8|1|1||
3870 NPin|pin@12||8.5|7|1|1||
3871 NPin|pin@13||8.5|5|1|1||
3872 NPin|pin@14||8.5|1|1|1||
3873 NPin|pin@15||8.5|3|1|1||
3874 NPin|pin@16||0|3|1|1||
3875 NPin|pin@17||0|1|1|1||
3876 NPin|pin@18||5|1|1|1||
3877 NPin|pin@19||5|3|1|1||
3878 NPin|pin@20||5|7|1|1||
3879 NPin|pin@21||5|5|1|1||
3880 NPin|pin@22||1|0|1|1|Y|
3881 NPin|pin@23||-1.5|0|1|1|Y|
3882 NPin|pin@24||2.75|8|1|1||
3883 NPin|pin@25||2.75|0|1|1|Y|
3884 NPin|pin@26||-1.5|4|1|1||
3885 NPin|pin@27||1|4|1|1||
3886 NPin|pin@28||1|8|1|1||
3887 NPin|pin@29||-1.5|8|1|1||
3888 NPin|pin@30||5|11||||
3889 NPin|pin@31||5|10|1|1||
3890 NPin|pin@32||5|-3|1|1||
3891 NPin|pin@33||0|-1|1|1|Y|
3892 NPin|pin@34||0|-2||||
3893 NPin|pin@35||5|-2||||
3894 NPin|pin@36||5|-1|1|1|Y|
3895 NPin|pin@37||8.5|-1|1|1|Y|
3896 NPin|pin@38||8.5|-2||||
3897 NPin|pin@39||8.5|-2|1|1|Y|
3898 NPin|pin@40||8.5|10|1|1||
3899 NPin|pin@41||8.5|10||||
3900 NPin|pin@42||8.5|9|1|1||
3901 NPin|pin@43||5|9|1|1||
3902 NPin|pin@44||5|10||||
3903 NPin|pin@45||0|5|1|1||
3904 NPin|pin@46||11.5|4|1|1|RR|
3905 NPin|pin@47||10|4|||RR|
3906 NPin|pin@48||10|8|||RR|
3907 NPin|pin@49||10.75|8|1|1|RR|
3908 NPin|pin@50||10.75|0||||
3909 NPin|pin@51||10|0|1|1|Y|
3910 NPin|pin@52||2.75|0|1|1|Y|
3911 NPin|pin@53||3.5|0||||
3912 NPin|pin@54||3.5|8||||
3913 NPin|pin@55||2.75|8|1|1|Y|
3914 NPin|pin@56||2.75|4|1|1|Y|
3915 NPin|pin@57||3.5|4||||
3916 NPin|pin@58||8.5|9|1|1|YRR|
3917 NPin|pin@59||9.25|9|1|1|YRR|
3918 NPin|pin@60||9.25|7|1|1|YRR|
3919 NPin|pin@61||8.5|7|1|1|YRR|
3920 NPin|pin@62||8.5|-1|1|1|YRR|
3921 NPin|pin@63||9.25|-1|1|1|YRR|
3922 NPin|pin@64||9.25|1|1|1|YRR|
3923 NPin|pin@65||8.5|1|1|1|YRR|
3924 NPin|pin@66||8.5|5|1|1||
3925 NPin|pin@67||9.25|5|1|1||
3926 NPin|pin@68||9.25|3|1|1||
3927 NPin|pin@69||8.5|3|1|1||
3928 NPin|pin@70||10|3|1|1||
3929 NPin|pin@71||10|5|1|1||
3930 NPin|pin@72||10|9|1|1||
3931 NPin|pin@73||10|7|1|1||
3932 NPin|pin@74||10|-1|1|1||
3933 NPin|pin@75||10|1|1|1||
3934 NPin|pin@76||3.5|1|1|1|YRR|
3935 NPin|pin@77||3.5|-1|1|1|YRR|
3936 NPin|pin@78||3.5|7|1|1|YRR|
3937 NPin|pin@79||3.5|9|1|1|YRR|
3938 NPin|pin@80||3.5|5|1|1|YRR|
3939 NPin|pin@81||3.5|3|1|1|YRR|
3940 NPin|pin@82||5|3|1|1|YRR|
3941 NPin|pin@83||4.25|3|1|1|YRR|
3942 NPin|pin@84||4.25|5|1|1|YRR|
3943 NPin|pin@85||5|5|1|1|YRR|
3944 NPin|pin@86||5|1|1|1||
3945 NPin|pin@87||4.25|1|1|1||
3946 NPin|pin@88||4.25|-1|1|1||
3947 NPin|pin@89||5|-1|1|1||
3948 NPin|pin@90||5|7|1|1||
3949 NPin|pin@91||4.25|7|1|1||
3950 NPin|pin@92||4.25|9|1|1||
3951 NPin|pin@93||5|9|1|1||
3952 NPin|pin@94||0|10||||
3953 NPin|pin@95||0|9|1|1||
3954 NPin|pin@96||-0.75|9|1|1||
3955 NPin|pin@97||-0.75|7|1|1||
3956 NPin|pin@98||0|7|1|1||
3957 NPin|pin@99||-1.5|7|1|1||
3958 NPin|pin@100||-1.5|9|1|1||
3959 NPin|pin@101||-3|8|||RR|
3960 NPin|pin@102||-1.5|8|1|1|RR|
3961 Ngeneric:Invisible-Pin|pin@103||-3|8||||
3962 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
3963 Nschematic:Bus_Pin|pin@105||-3|0|-2|-2||
3964 Nschematic:Bus_Pin|pin@106||11.5|4|-2|-2||
3965 NPin|pin@107||-1.5|0|1|1|RR|
3966 NPin|pin@108||-3|0|||RR|
3967 NPin|pin@109||6|-3|1|1||
3968 NPin|pin@110||5|-4||||
3969 NPin|pin@111||4|-3|1|1||
3970 NPin|pin@112||-1.5|1|1|1||
3971 NPin|pin@113||-1.5|-1|1|1||
3972 NPin|pin@114||0|-1|1|1||
3973 NPin|pin@115||-0.75|-1|1|1||
3974 NPin|pin@116||-0.75|1|1|1||
3975 NPin|pin@117||0|1|1|1||
3976 NPin|pin@118||0|5|1|1|YRR|
3977 NPin|pin@119||-0.75|5|1|1|YRR|
3978 NPin|pin@120||-0.75|3|1|1|YRR|
3979 NPin|pin@121||0|3|1|1|YRR|
3980 NPin|pin@122||-1.5|3|1|1|YRR|
3981 NPin|pin@123||-1.5|5|1|1|YRR|
3982 NPin|pin@124||-1.5|4||||
3983 NPin|pin@125||-2.25|4|1|1|Y|
3984 ASolid|net@0|||FS777|pin@1||7.75|8|pin@3||6|0|ART_color()I10
3985 ASolid|net@1|||FS0|pin@0||10|8|pin@1||7.75|8|ART_color()I10
3986 ASolid|net@2|||FS0|pin@3||6|0|pin@2||3.5|0|ART_color()I10
3987 ASolid|net@3|||FS2936|pin@6||7.75|0|pin@4||6|4|ART_color()I10
3988 ASolid|net@4|||FS0|pin@7||10|0|pin@6||7.75|0|ART_color()I10
3989 ASolid|net@5|||FS0|pin@4||6|4|pin@5||3.5|4|ART_color()I10
3990 ASolid|net@6|||FS0|pin@8||10|4|pin@9||7.75|4|ART_color()I10
3991 ASolid|net@7|||FS2936|pin@9||7.75|4|pin@11||6|8|ART_color()I10
3992 ASolid|net@8|||FS0|pin@11||6|8|pin@10||3.5|8|ART_color()I10
3993 AThicker|net@9|||FS2700|pin@13||8.5|5|pin@12||8.5|7|ART_color()I10
3994 AThicker|net@10|||FS2700|pin@14||8.5|1|pin@15||8.5|3|ART_color()I10
3995 AThicker|net@11|||FS2700|pin@17||0|1|pin@16||0|3|ART_color()I10
3996 AThicker|net@12|||FS2700|pin@18||5|1|pin@19||5|3|ART_color()I10
3997 AThicker|net@13|||FS2700|pin@21||5|5|pin@20||5|7|ART_color()I10
3998 ASolid|net@14|||FS0|pin@22||1|0|pin@23||-1.5|0|ART_color()I10
3999 ASolid|net@15|||FS777|pin@24||2.75|8|pin@22||1|0|ART_color()I10
4000 ASolid|net@16|||FS2936|pin@25||2.75|0|pin@27||1|4|ART_color()I10
4001 ASolid|net@17|||FS0|pin@27||1|4|pin@26||-1.5|4|ART_color()I10
4002 AThicker|net@18|||FS2700|pin@45||0|5|pin@98||0|7|ART_color()I10
4003 ASolid|net@19|||FS2936|pin@56||2.75|4|pin@28||1|8|ART_color()I10
4004 ASolid|net@20|||FS0|pin@28||1|8|pin@29||-1.5|8|ART_color()I10
4005 AThicker|net@21|||FS900|pin@30||5|11|pin@31||5|10|ART_color()I10
4006 AThicker|net@22|||FS2700|pin@32||5|-3|pin@35||5|-2|ART_color()I10
4007 AThicker|net@23|||FS1800|pin@111||4|-3|pin@32||5|-3|ART_color()I10
4008 AThicker|net@24|||FS1800|pin@32||5|-3|pin@109||6|-3|ART_color()I10
4009 AThicker|net@25|||FS1800|pin@34||0|-2|pin@39||8.5|-2|ART_color()I10
4010 AThicker|net@26|||FS2700|pin@38||8.5|-2|pin@37||8.5|-1|ART_color()I10
4011 AThicker|net@27|||FS2700|pin@34||0|-2|pin@33||0|-1|ART_color()I10
4012 AThicker|net@28|||FS2700|pin@35||5|-2|pin@36||5|-1|ART_color()I10
4013 AThicker|net@29|||FS1800|pin@94||0|10|pin@40||8.5|10|ART_color()I10
4014 AThicker|net@30|||FS900|pin@41||8.5|10|pin@42||8.5|9|ART_color()I10
4015 AThicker|net@31|||FS900|pin@44||5|10|pin@43||5|9|ART_color()I10
4016 AThicker|net@32|||FS1800|pin@47||10|4|pin@46||11.5|4|ART_color()I10
4017 AThicker|net@33|||FS1800|pin@48||10|8|pin@49||10.75|8|ART_color()I10
4018 AThicker|net@34|||FS0|pin@50||10.75|0|pin@51||10|0|ART_color()I10
4019 AThicker|net@35|||FS0|pin@53||3.5|0|pin@52||2.75|0|ART_color()I10
4020 AThicker|net@36|||FS0|pin@54||3.5|8|pin@55||2.75|8|ART_color()I10
4021 AThicker|net@37|||FS0|pin@57||3.5|4|pin@56||2.75|4|ART_color()I10
4022 AThicker|net@38|||FS0|pin@63||9.25|-1|pin@62||8.5|-1|ART_color()I10
4023 AThicker|net@39|||FS1800|pin@66||8.5|5|pin@67||9.25|5|ART_color()I10
4024 AThicker|net@40|||FS900|pin@72||10|9|pin@73||10|7|ART_color()I10
4025 AThicker|net@41|||FS1800|pin@58||8.5|9|pin@59||9.25|9|ART_color()I10
4026 AThicker|net@42|||FS900|pin@59||9.25|9|pin@60||9.25|7|ART_color()I10
4027 AThicker|net@43|||FS0|pin@60||9.25|7|pin@61||8.5|7|ART_color()I10
4028 AThicker|net@44|||FS900|pin@71||10|5|pin@70||10|3|ART_color()I10
4029 AThicker|net@45|||FS900|pin@67||9.25|5|pin@68||9.25|3|ART_color()I10
4030 AThicker|net@46|||FS0|pin@68||9.25|3|pin@69||8.5|3|ART_color()I10
4031 AThicker|net@47|||FS900|pin@75||10|1|pin@74||10|-1|ART_color()I10
4032 AThicker|net@48|||FS900|pin@64||9.25|1|pin@63||9.25|-1|ART_color()I10
4033 AThicker|net@49|||FS1800|pin@65||8.5|1|pin@64||9.25|1|ART_color()I10
4034 AThicker|net@50|||FS900|pin@76||3.5|1|pin@77||3.5|-1|ART_color()I10
4035 AThicker|net@51|||FS900|pin@79||3.5|9|pin@78||3.5|7|ART_color()I10
4036 AThicker|net@52|||FS900|pin@80||3.5|5|pin@81||3.5|3|ART_color()I10
4037 AThicker|net@53|||FS1800|pin@83||4.25|3|pin@82||5|3|ART_color()I10
4038 AThicker|net@54|||FS900|pin@92||4.25|9|pin@91||4.25|7|ART_color()I10
4039 AThicker|net@55|||FS1800|pin@91||4.25|7|pin@90||5|7|ART_color()I10
4040 AThicker|net@56|||FS0|pin@85||5|5|pin@84||4.25|5|ART_color()I10
4041 AThicker|net@57|||FS900|pin@84||4.25|5|pin@83||4.25|3|ART_color()I10
4042 AThicker|net@58|||FS0|pin@93||5|9|pin@92||4.25|9|ART_color()I10
4043 AThicker|net@59|||FS1800|pin@88||4.25|-1|pin@89||5|-1|ART_color()I10
4044 AThicker|net@60|||FS0|pin@86||5|1|pin@87||4.25|1|ART_color()I10
4045 AThicker|net@61|||FS900|pin@87||4.25|1|pin@88||4.25|-1|ART_color()I10
4046 AThicker|net@62|||FS900|pin@100||-1.5|9|pin@99||-1.5|7|ART_color()I10
4047 AThicker|net@63|||FS900|pin@94||0|10|pin@95||0|9|ART_color()I10
4048 AThicker|net@64|||FS0|pin@95||0|9|pin@96||-0.75|9|ART_color()I10
4049 AThicker|net@65|||FS1800|pin@97||-0.75|7|pin@98||0|7|ART_color()I10
4050 AThicker|net@66|||FS1800|pin@101||-3|8|pin@102||-1.5|8|ART_color()I10
4051 AThicker|net@67|||FS900|pin@96||-0.75|9|pin@97||-0.75|7|ART_color()I10
4052 AThicker|net@68|||FS900|pin@112||-1.5|1|pin@113||-1.5|-1|ART_color()I10
4053 AThicker|net@69|||FS1800|pin@115||-0.75|-1|pin@114||0|-1|ART_color()I10
4054 AThicker|net@70|||FS900|pin@116||-0.75|1|pin@115||-0.75|-1|ART_color()I10
4055 AThicker|net@71|||FS0|pin@117||0|1|pin@116||-0.75|1|ART_color()I10
4056 AThicker|net@72|||FS1350|pin@111||4|-3|pin@110||5|-4|ART_color()I10
4057 AThicker|net@73|||FS2250|pin@110||5|-4|pin@109||6|-3|ART_color()I10
4058 AThicker|net@74|||FS1800|pin@108||-3|0|pin@107||-1.5|0|ART_color()I10
4059 AThicker|net@75|||FS1800|pin@120||-0.75|3|pin@121||0|3|ART_color()I10
4060 AThicker|net@76|||FS0|pin@118||0|5|pin@119||-0.75|5|ART_color()I10
4061 AThicker|net@77|||FS900|pin@123||-1.5|5|pin@122||-1.5|3|ART_color()I10
4062 AThicker|net@78|||FS900|pin@119||-0.75|5|pin@120||-0.75|3|ART_color()I10
4063 AThicker|net@79|||FS0|pin@124||-1.5|4|pin@125||-2.25|4|ART_color()I10
4064 Ed||D5G1;|pin@104||O
4065 Eg||D5G1;|pin@105||I
4066 Eg2||D5G1;|pin@106||I
4067 Eg3||D5G1;|pin@103||I
4068 X
4069
4070 # Cell nms3_sy3;1{sch}
4071 Cnms3_sy3;1{sch}||schematic|1021415734000|1157754726659||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4072 Ngeneric:Facet-Center|art@0||0|0||||AV
4073 NOff-Page|conn@0||-7|0||||
4074 NOff-Page|conn@1||-7|-8||||
4075 NOff-Page|conn@2||42.5|4||||
4076 NOff-Page|conn@3||45.5|-4|||YRR|
4077 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4078 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4079 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4080 Inms3_sy3;1{ic}|nms3_sy3@0||45|13|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX-8.5;Y1;)S1
4081 NWire_Pin|pin@0||41|-4||||
4082 NWire_Pin|pin@1||41|0||||
4083 NWire_Pin|pin@2||36|4||||
4084 NWire_Pin|pin@3||32|-4||||
4085 NWire_Pin|pin@4||28|0||||
4086 NWire_Pin|pin@5||23.5|-4||||
4087 NWire_Pin|pin@6||27.5|-8||||
4088 NWire_Pin|pin@7||23.5|-8||||
4089 NWire_Pin|pin@8||31.5|0||||
4090 NWire_Pin|pin@9||19|4||||
4091 NWire_Pin|pin@10||2|4||||
4092 NWire_Pin|pin@11||14.5|0||||
4093 NWire_Pin|pin@12||6.5|-8||||
4094 NWire_Pin|pin@13||10.5|-8||||
4095 NWire_Pin|pin@14||6.5|-4||||
4096 NWire_Pin|pin@15||14|-4||||
4097 NWire_Pin|pin@16||10|0||||
4098 Ngeneric:Invisible-Pin|pin@17||8|12.5|||||ART_message(D5G2;)S[three 3-way symmetric fixed-size N-type transistors to GND]
4099 Ngeneric:Invisible-Pin|pin@18||8|17|||||ART_message(D5G6;)S[nms3_sy3]
4100 Awire|net@0|||0|conn@3|y|43.5|-4|pin@0||41|-4
4101 Awire|net@1|||2700|pin@0||41|-4|pin@1||41|0
4102 Awire|net@2|||0|pin@1||41|0|nms3@0|g3|33|0
4103 Awire|net@3|||1800|pin@2||36|4|conn@2|a|40.5|4
4104 Awire|net@4|||2700|nms3@0|d|36|2|pin@2||36|4
4105 Awire|net@5|||0|pin@2||36|4|pin@9||19|4
4106 Awire|net@6|||0|nms3@0|g2|39|-4|pin@3||32|-4
4107 Awire|net@7|||3150|pin@3||32|-4|pin@4||28|0
4108 Awire|net@8|||0|pin@4||28|0|nms3@1|g3|16|0
4109 Awire|net@9|||0|nms3@0|g3|33|0|pin@8||31.5|0
4110 Awire|net@10|||1800|pin@6||27.5|-8|nms3@0|g|33|-8
4111 Awire|net@11|||1800|nms3@1|g2|22|-4|pin@5||23.5|-4
4112 Awire|net@12|||3150|pin@6||27.5|-8|pin@5||23.5|-4
4113 Awire|net@13|||1800|nms3@1|g|16|-8|pin@7||23.5|-8
4114 Awire|net@14|||450|pin@8||31.5|0|pin@7||23.5|-8
4115 Awire|net@15|||2700|nms3@1|d|19|2|pin@9||19|4
4116 Awire|net@16|||0|pin@9||19|4|pin@10||2|4
4117 Awire|net@17|||900|pin@10||2|4|nms3@2|d|2|2
4118 Awire|net@18|||0|nms3@2|g3|-1|0|conn@0|y|-5|0
4119 Awire|net@19|||0|nms3@2|g|-1|-8|conn@1|y|-5|-8
4120 Awire|net@20|||0|nms3@1|g3|16|0|pin@11||14.5|0
4121 Awire|net@21|||450|pin@11||14.5|0|pin@12||6.5|-8
4122 Awire|net@22|||0|pin@12||6.5|-8|nms3@2|g|-1|-8
4123 Awire|net@23|||0|nms3@1|g|16|-8|pin@13||10.5|-8
4124 Awire|net@24|||3150|pin@13||10.5|-8|pin@14||6.5|-4
4125 Awire|net@25|||0|pin@14||6.5|-4|nms3@2|g2|5|-4
4126 Awire|net@26|||0|nms3@1|g2|22|-4|pin@15||14|-4
4127 Awire|net@27|||3150|pin@15||14|-4|pin@16||10|0
4128 Awire|net@28|||0|pin@16||10|0|nms3@2|g3|-1|0
4129 Ed||D5G2;|conn@2|y|O
4130 Eg||D5G2;|conn@1|a|I
4131 Eg2||D5G2;|conn@3|a|I
4132 Eg3||D5G2;|conn@0|y|I
4133 X
4134
4135 # Cell nms3_sy6;1{ic}
4136 Cnms3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOJPX-8.5;Y1;)SLE.getdrive()|prototype_center()I[28000,-16000]
4137 NPin|pin@18||5|1|1|1||
4138 NPin|pin@19||5|3|1|1||
4139 NPin|pin@20||5|7|1|1||
4140 NPin|pin@21||5|5|1|1||
4141 NPin|pin@30||5|11||||
4142 NPin|pin@31||5|10|1|1||
4143 NPin|pin@32||5|-3|1|1||
4144 NPin|pin@35||5|-2||||
4145 NPin|pin@36||5|-1|1|1|Y|
4146 NPin|pin@43||5|9|1|1||
4147 NPin|pin@44||5|10||||
4148 NPin|pin@52||2.5|0|1|1|Y|
4149 NPin|pin@53||3.5|0||||
4150 NPin|pin@54||3.5|8||||
4151 NPin|pin@55||2.5|8|1|1|Y|
4152 NPin|pin@56||7.5|4|1|1|XY|
4153 NPin|pin@57||6.5|4||||
4154 NPin|pin@76||3.5|1|1|1|YRR|
4155 NPin|pin@77||3.5|-1|1|1|YRR|
4156 NPin|pin@78||3.5|7|1|1|YRR|
4157 NPin|pin@79||3.5|9|1|1|YRR|
4158 NPin|pin@80||6.5|5|1|1|XYRR|
4159 NPin|pin@81||6.5|3|1|1|XYRR|
4160 NPin|pin@82||5|3|1|1|YRR|
4161 NPin|pin@83||5.75|3|1|1|YRR|
4162 NPin|pin@84||5.75|5|1|1|YRR|
4163 NPin|pin@85||5|5|1|1|YRR|
4164 NPin|pin@86||5|1|1|1||
4165 NPin|pin@87||4.25|1|1|1||
4166 NPin|pin@88||4.25|-1|1|1||
4167 NPin|pin@89||5|-1|1|1||
4168 NPin|pin@90||5|7|1|1||
4169 NPin|pin@91||4.25|7|1|1||
4170 NPin|pin@92||4.25|9|1|1||
4171 NPin|pin@93||5|9|1|1||
4172 Ngeneric:Invisible-Pin|pin@103||2.5|8||||
4173 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
4174 Nschematic:Bus_Pin|pin@105||2.5|0|-2|-2||
4175 Nschematic:Bus_Pin|pin@106||7.5|4|-2|-2||
4176 NPin|pin@109||6|-3|1|1||
4177 NPin|pin@110||5|-4||||
4178 NPin|pin@111||4|-3|1|1||
4179 Ngeneric:Invisible-Pin|pin@126||3.25|4|||||ART_message(D5G1;)S[6-way,sym]
4180 AThicker|net@12|||FS900|pin@19||5|3|pin@18||5|1|ART_color()I10
4181 AThicker|net@13|||FS900|pin@20||5|7|pin@21||5|5|ART_color()I10
4182 AThicker|net@21|||FS2700|pin@31||5|10|pin@30||5|11|ART_color()I10
4183 AThicker|net@22|||FS900|pin@35||5|-2|pin@32||5|-3|ART_color()I10
4184 AThicker|net@23|||FS0|pin@32||5|-3|pin@111||4|-3|ART_color()I10
4185 AThicker|net@24|||FS0|pin@109||6|-3|pin@32||5|-3|ART_color()I10
4186 AThicker|net@28|||FS900|pin@36||5|-1|pin@35||5|-2|ART_color()I10
4187 AThicker|net@31|||FS2700|pin@43||5|9|pin@44||5|10|ART_color()I10
4188 AThicker|net@35|||FS1800|pin@52||2.5|0|pin@53||3.5|0|ART_color()I10
4189 AThicker|net@36|||FS1800|pin@55||2.5|8|pin@54||3.5|8|ART_color()I10
4190 AThicker|net@37|||FS0|pin@56||7.5|4|pin@57||6.5|4|ART_color()I10
4191 AThicker|net@50|||FS2700|pin@77||3.5|-1|pin@76||3.5|1|ART_color()I10
4192 AThicker|net@51|||FS2700|pin@78||3.5|7|pin@79||3.5|9|ART_color()I10
4193 AThicker|net@52|||FS2700|pin@81||6.5|3|pin@80||6.5|5|ART_color()I10
4194 AThicker|net@53|||FS1800|pin@82||5|3|pin@83||5.75|3|ART_color()I10
4195 AThicker|net@54|||FS2700|pin@91||4.25|7|pin@92||4.25|9|ART_color()I10
4196 AThicker|net@55|||FS0|pin@90||5|7|pin@91||4.25|7|ART_color()I10
4197 AThicker|net@56|||FS0|pin@84||5.75|5|pin@85||5|5|ART_color()I10
4198 AThicker|net@57|||FS2700|pin@83||5.75|3|pin@84||5.75|5|ART_color()I10
4199 AThicker|net@58|||FS1800|pin@92||4.25|9|pin@93||5|9|ART_color()I10
4200 AThicker|net@59|||FS0|pin@89||5|-1|pin@88||4.25|-1|ART_color()I10
4201 AThicker|net@60|||FS1800|pin@87||4.25|1|pin@86||5|1|ART_color()I10
4202 AThicker|net@61|||FS2700|pin@88||4.25|-1|pin@87||4.25|1|ART_color()I10
4203 AThicker|net@72|||FS3150|pin@110||5|-4|pin@111||4|-3|ART_color()I10
4204 AThicker|net@73|||FS450|pin@109||6|-3|pin@110||5|-4|ART_color()I10
4205 Ed||D5G1;|pin@104||O
4206 Eg||D5G1;|pin@105||I
4207 Eg2||D5G1;|pin@106||I
4208 Eg3||D5G1;|pin@103||I
4209 X
4210
4211 # Cell nms3_sy6;1{sch}
4212 Cnms3_sy6;1{sch}||schematic|1021415734000|1112291892397||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOJPX-9.5;Y-15.5;)SLE.getdrive()|prototype_center()I[0,0]
4213 Ngeneric:Facet-Center|art@0||0|0||||AV
4214 NOff-Page|conn@0||-7|0||||
4215 NOff-Page|conn@1||-7|-8||||
4216 NOff-Page|conn@2||98.5|4||||
4217 NOff-Page|conn@3||98.5|-4|||YRR|
4218 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4219 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4220 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4221 Inms3;1{ic}|nms3@3||53|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4222 Inms3;1{ic}|nms3@4||70|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4223 Inms3;1{ic}|nms3@5||87|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4224 Inms3_sy6;1{ic}|nms3_sy3@0||69.5|13.5|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)SLE.getdrive()
4225 NWire_Pin|pin@0||93.5|-4||||
4226 NWire_Pin|pin@1||93.5|-8||||
4227 NWire_Pin|pin@2||36|4||||
4228 NWire_Pin|pin@3||32|-4||||
4229 NWire_Pin|pin@4||28|0||||
4230 NWire_Pin|pin@5||23.5|-4||||
4231 NWire_Pin|pin@6||27.5|-8||||
4232 NWire_Pin|pin@7||23.5|-8||||
4233 NWire_Pin|pin@8||31.5|0||||
4234 NWire_Pin|pin@9||19|4||||
4235 NWire_Pin|pin@10||2|4||||
4236 NWire_Pin|pin@11||14.5|0||||
4237 NWire_Pin|pin@12||6.5|-8||||
4238 NWire_Pin|pin@13||10.5|-8||||
4239 NWire_Pin|pin@14||6.5|-4||||
4240 NWire_Pin|pin@15||14|-4||||
4241 NWire_Pin|pin@16||10|0||||
4242 Ngeneric:Invisible-Pin|pin@17||32.5|13|||||ART_message(D5G2;)Sthree 6-way symmetric fixed-size N-type transistors to GND
4243 Ngeneric:Invisible-Pin|pin@18||32.5|17.5|||||ART_message(D5G6;)Snms3_sy6
4244 NWire_Pin|pin@23||46|-4||||
4245 NWire_Pin|pin@24||42|0||||
4246 NWire_Pin|pin@25||42|-4|||X|
4247 NWire_Pin|pin@26||46|0|||X|
4248 NWire_Pin|pin@27||53|4||||
4249 NWire_Pin|pin@28||59|-4|||X|
4250 NWire_Pin|pin@29||63|0|||X|
4251 NWire_Pin|pin@30||63|-4|||X|
4252 NWire_Pin|pin@31||59|-8|||X|
4253 NWire_Pin|pin@32||65|-8|||X|
4254 NWire_Pin|pin@33||57|0|||X|
4255 NWire_Pin|pin@34||70|4||||
4256 NWire_Pin|pin@35||87|4||||
4257 NWire_Pin|pin@36||80|-4|||X|
4258 NWire_Pin|pin@37||76|-8|||X|
4259 NWire_Pin|pin@38||80|0|||X|
4260 NWire_Pin|pin@39||76|-4|||X|
4261 NWire_Pin|pin@40||82.5|-8|||X|
4262 NWire_Pin|pin@41||74.5|0|||X|
4263 Awire|net@0|||1800|pin@0||93.5|-4|conn@3|y|96.5|-4
4264 Awire|net@1|||2700|pin@1||93.5|-8|pin@0||93.5|-4
4265 Awire|net@3|||0|pin@35||87|4|pin@34||70|4
4266 Awire|net@4|||900|pin@2||36|4|nms3@0|d|36|2
4267 Awire|net@5|||1800|pin@9||19|4|pin@2||36|4
4268 Awire|net@6|||1800|pin@3||32|-4|nms3@0|g2|39|-4
4269 Awire|net@7|||1350|pin@4||28|0|pin@3||32|-4
4270 Awire|net@8|||1800|nms3@1|g3|16|0|pin@4||28|0
4271 Awire|net@9|||1800|pin@8||31.5|0|nms3@0|g3|33|0
4272 Awire|net@10|||0|nms3@0|g|33|-8|pin@6||27.5|-8
4273 Awire|net@11|||0|pin@5||23.5|-4|nms3@1|g2|22|-4
4274 Awire|net@12|||1350|pin@5||23.5|-4|pin@6||27.5|-8
4275 Awire|net@13|||0|pin@7||23.5|-8|nms3@1|g|16|-8
4276 Awire|net@14|||2250|pin@7||23.5|-8|pin@8||31.5|0
4277 Awire|net@15|||900|pin@9||19|4|nms3@1|d|19|2
4278 Awire|net@16|||1800|pin@10||2|4|pin@9||19|4
4279 Awire|net@17|||2700|nms3@2|d|2|2|pin@10||2|4
4280 Awire|net@18|||1800|conn@0|y|-5|0|nms3@2|g3|-1|0
4281 Awire|net@19|||1800|conn@1|y|-5|-8|nms3@2|g|-1|-8
4282 Awire|net@20|||1800|pin@11||14.5|0|nms3@1|g3|16|0
4283 Awire|net@21|||2250|pin@12||6.5|-8|pin@11||14.5|0
4284 Awire|net@22|||1800|nms3@2|g|-1|-8|pin@12||6.5|-8
4285 Awire|net@23|||1800|pin@13||10.5|-8|nms3@1|g|16|-8
4286 Awire|net@24|||1350|pin@14||6.5|-4|pin@13||10.5|-8
4287 Awire|net@25|||1800|nms3@2|g2|5|-4|pin@14||6.5|-4
4288 Awire|net@26|||1800|pin@15||14|-4|nms3@1|g2|22|-4
4289 Awire|net@27|||1350|pin@16||10|0|pin@15||14|-4
4290 Awire|net@28|||1800|nms3@2|g3|-1|0|pin@16||10|0
4291 Awire|net@29|||1800|nms3@0|g|33|-8|nms3@3|g|50|-8
4292 Awire|net@34|||1350|pin@24||42|0|pin@23||46|-4
4293 Awire|net@35|||0|pin@24||42|0|nms3@0|g3|33|0
4294 Awire|net@36|||1800|pin@23||46|-4|nms3@3|g2|56|-4
4295 Awire|net@37|||450|pin@26||46|0|pin@25||42|-4
4296 Awire|net@38|||0|pin@25||42|-4|nms3@0|g2|39|-4
4297 Awire|net@39|||1800|pin@26||46|0|nms3@3|g3|50|0
4298 Awire|net@40|||0|pin@27||53|4|pin@2||36|4
4299 Awire|net@41|||2700|nms3@3|d|53|2|pin@27||53|4
4300 Awire|net@42|||450|pin@29||63|0|pin@28||59|-4
4301 Awire|net@43|||0|pin@28||59|-4|nms3@3|g2|56|-4
4302 Awire|net@44|||1800|pin@29||63|0|nms3@4|g3|67|0
4303 Awire|net@45|||450|pin@30||63|-4|pin@31||59|-8
4304 Awire|net@46|||0|pin@31||59|-8|nms3@3|g|50|-8
4305 Awire|net@47|||1800|pin@30||63|-4|nms3@4|g2|73|-4
4306 Awire|net@48|||3150|pin@32||65|-8|pin@33||57|0
4307 Awire|net@49|||1800|pin@32||65|-8|nms3@4|g|67|-8
4308 Awire|net@50|||0|pin@33||57|0|nms3@3|g3|50|0
4309 Awire|net@51|||0|pin@34||70|4|pin@27||53|4
4310 Awire|net@52|||2700|nms3@4|d|70|2|pin@34||70|4
4311 Awire|net@53|||0|conn@2|a|96.5|4|pin@35||87|4
4312 Awire|net@54|||2700|nms3@5|d|87|2|pin@35||87|4
4313 Awire|net@55|||450|pin@36||80|-4|pin@37||76|-8
4314 Awire|net@56|||0|pin@37||76|-8|nms3@4|g|67|-8
4315 Awire|net@57|||1800|pin@36||80|-4|nms3@5|g2|90|-4
4316 Awire|net@58|||450|pin@38||80|0|pin@39||76|-4
4317 Awire|net@59|||0|pin@39||76|-4|nms3@4|g2|73|-4
4318 Awire|net@60|||1800|pin@38||80|0|nms3@5|g3|84|0
4319 Awire|net@61|||3150|pin@40||82.5|-8|pin@41||74.5|0
4320 Awire|net@62|||1800|pin@40||82.5|-8|nms3@5|g|84|-8
4321 Awire|net@63|||0|pin@41||74.5|0|nms3@4|g3|67|0
4322 Awire|net@64|||0|pin@1||93.5|-8|nms3@5|g|84|-8
4323 Ed||D5G2;|conn@2|y|O
4324 Eg||D5G2;|conn@1|a|I
4325 Eg2||D5G2;|conn@3|a|I
4326 Eg3||D5G2;|conn@0|y|I
4327 X
4328
4329 # Cell nms3a;1{ic}
4330 Cnms3a;1{ic}|nms3|artwork|1021415734000|1228434950634|E|ATTR_Delay(D5G1;HNPX-7.5;Y-3.5;)I100|ATTR_X(D5G1;HNOLPX-7.5;Y-2.5;)S1|prototype_center()I[0,0]
4331 Ngeneric:Facet-Center|art@0||0|0||||AV
4332 NPin|pin@0||-3|4|1|1|Y|
4333 NPin|pin@1||-1.5|4||||
4334 NPin|pin@2||0|2|1|1|YRR|
4335 NPin|pin@3||-1.5|5|1|1|YRR|
4336 NPin|pin@4||-1.5|3|1|1|YRR|
4337 NPin|pin@5||0|3|1|1|YRR|
4338 NPin|pin@6||-0.75|3|1|1|YRR|
4339 NPin|pin@7||-0.75|5|1|1|YRR|
4340 NPin|pin@8||0|5|1|1|YRR|
4341 NPin|pin@9||0|6|||RR|
4342 NPin|pin@10||0|2|1|1||
4343 NPin|pin@11||0|1|1|1||
4344 NPin|pin@12||-0.75|1|1|1||
4345 NPin|pin@13||-0.75|-1|1|1||
4346 NPin|pin@14||0|-1|1|1||
4347 NPin|pin@15||-1.5|-1|1|1||
4348 NPin|pin@16||-1.5|1|1|1||
4349 NPin|pin@17||-1|-2|1|1||
4350 NPin|pin@18||0|-3||||
4351 NPin|pin@19||1|-2|1|1||
4352 NPin|pin@20||0|-2|1|1||
4353 NPin|pin@21||-3|0|||RR|
4354 NPin|pin@22||-1.5|0|1|1|RR|
4355 Nschematic:Bus_Pin|pin@23||-3|4|-2|-2||
4356 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4357 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4358 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4359 NPin|pin@27||-1.5|8|1|1|RR|
4360 NPin|pin@28||-3|8|||RR|
4361 NPin|pin@29||0|6|1|1||
4362 NPin|pin@30||-1.5|9|1|1||
4363 NPin|pin@31||-1.5|7|1|1||
4364 NPin|pin@32||0|7|1|1||
4365 NPin|pin@33||-0.75|7|1|1||
4366 NPin|pin@34||-0.75|9|1|1||
4367 NPin|pin@35||0|9|1|1||
4368 NPin|pin@36||0|10||||
4369 AThicker|net@0|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I10
4370 AThicker|net@1|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I10
4371 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I10
4372 AThicker|net@3|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I10
4373 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4374 AThicker|net@5|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I10
4375 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4376 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4377 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4378 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4379 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4380 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4381 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4382 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4383 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4384 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4385 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4386 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4387 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4388 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4389 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4390 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4391 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4392 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4393 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4394 Ed||D5G1;|pin@25||O
4395 Eg||D5G1;|pin@24||I
4396 Eg2||D5G1;|pin@23||I
4397 Eg3||D5G1;|pin@26||I
4398 X
4399
4400 # Cell nms3b;1{ic}
4401 Cnms3b;1{ic}|nms3|artwork|1021415734000|1228435116714|E|ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4402 Ngeneric:Facet-Center|art@0||0|0||||AV
4403 NPin|pin@0||1.5|4|1|1|Y|
4404 NPin|pin@1||3|4||||
4405 NPin|pin@2||0|2|1|1|YRR|
4406 NPin|pin@3||1.5|5|1|1|YRR|
4407 NPin|pin@4||1.5|3|1|1|YRR|
4408 NPin|pin@5||0|3|1|1|YRR|
4409 NPin|pin@6||0.75|3|1|1|YRR|
4410 NPin|pin@7||0.75|5|1|1|YRR|
4411 NPin|pin@8||0|5|1|1|YRR|
4412 NPin|pin@9||0|6|||RR|
4413 NPin|pin@10||0|2|1|1||
4414 NPin|pin@11||0|1|1|1||
4415 NPin|pin@12||-0.75|1|1|1||
4416 NPin|pin@13||-0.75|-1|1|1||
4417 NPin|pin@14||0|-1|1|1||
4418 NPin|pin@15||-1.5|-1|1|1||
4419 NPin|pin@16||-1.5|1|1|1||
4420 NPin|pin@17||-1|-2|1|1||
4421 NPin|pin@18||0|-3||||
4422 NPin|pin@19||1|-2|1|1||
4423 NPin|pin@20||0|-2|1|1||
4424 NPin|pin@21||-3|0|||RR|
4425 NPin|pin@22||-1.5|0|1|1|RR|
4426 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4427 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4428 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4429 Ngeneric:Invisible-Pin|pin@26||3|8||||
4430 NPin|pin@27||3|8|1|1|RR|
4431 NPin|pin@28||1.5|8|||RR|
4432 NPin|pin@29||0|6|1|1||
4433 NPin|pin@30||1.5|9|1|1||
4434 NPin|pin@31||1.5|7|1|1||
4435 NPin|pin@32||0|7|1|1||
4436 NPin|pin@33||0.75|7|1|1||
4437 NPin|pin@34||0.75|9|1|1||
4438 NPin|pin@35||0|9|1|1||
4439 NPin|pin@36||0|10||||
4440 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4441 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4442 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4443 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4444 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4445 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4446 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4447 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4448 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4449 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4450 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4451 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4452 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4453 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4454 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4455 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4456 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4457 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4458 AThicker|net@18|||FS900|pin@34||0.75|9|pin@33||0.75|7|ART_color()I10
4459 AThicker|net@19|||FS1800|pin@28||1.5|8|pin@27||3|8|ART_color()I10
4460 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4461 AThicker|net@21|||FS0|pin@33||0.75|7|pin@32||0|7|ART_color()I10
4462 AThicker|net@22|||FS1800|pin@35||0|9|pin@34||0.75|9|ART_color()I10
4463 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4464 AThicker|net@24|||FS900|pin@30||1.5|9|pin@31||1.5|7|ART_color()I10
4465 Ed||D5G1;|pin@25||O
4466 Eg||D5G1;|pin@24||I
4467 Eg2||D5G1;|pin@23||I
4468 Eg3||D5G1;|pin@26||I
4469 X
4470
4471 # Cell nms3c;1{ic}
4472 Cnms3c;1{ic}|nms3|artwork|1021415734000|1228435227802|E|ATTR_Delay(D5G1;HNPX-5;Y3;)I100|ATTR_X(D5G1;HNOLPX-5;Y4;)S1|prototype_center()I[0,0]
4473 Ngeneric:Facet-Center|art@0||0|0||||AV
4474 NPin|pin@0||1.5|4|1|1|Y|
4475 NPin|pin@1||3|4||||
4476 NPin|pin@2||0|2|1|1|YRR|
4477 NPin|pin@3||1.5|5|1|1|YRR|
4478 NPin|pin@4||1.5|3|1|1|YRR|
4479 NPin|pin@5||0|3|1|1|YRR|
4480 NPin|pin@6||0.75|3|1|1|YRR|
4481 NPin|pin@7||0.75|5|1|1|YRR|
4482 NPin|pin@8||0|5|1|1|YRR|
4483 NPin|pin@9||0|6|||RR|
4484 NPin|pin@10||0|2|1|1||
4485 NPin|pin@11||0|1|1|1||
4486 NPin|pin@12||0.75|1|1|1||
4487 NPin|pin@13||0.75|-1|1|1||
4488 NPin|pin@14||0|-1|1|1||
4489 NPin|pin@15||1.5|-1|1|1||
4490 NPin|pin@16||1.5|1|1|1||
4491 NPin|pin@17||-1|-2|1|1||
4492 NPin|pin@18||0|-3||||
4493 NPin|pin@19||1|-2|1|1||
4494 NPin|pin@20||0|-2|1|1||
4495 NPin|pin@21||1.5|0|||RR|
4496 NPin|pin@22||3|0|1|1|RR|
4497 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4498 Nschematic:Bus_Pin|pin@24||3|0|-2|-2||
4499 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4500 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4501 NPin|pin@27||-1.5|8|1|1|RR|
4502 NPin|pin@28||-3|8|||RR|
4503 NPin|pin@29||0|6|1|1||
4504 NPin|pin@30||-1.5|9|1|1||
4505 NPin|pin@31||-1.5|7|1|1||
4506 NPin|pin@32||0|7|1|1||
4507 NPin|pin@33||-0.75|7|1|1||
4508 NPin|pin@34||-0.75|9|1|1||
4509 NPin|pin@35||0|9|1|1||
4510 NPin|pin@36||0|10||||
4511 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4512 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4513 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4514 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4515 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4516 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4517 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4518 AThicker|net@7|||FS1800|pin@21||1.5|0|pin@22||3|0|ART_color()I10
4519 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4520 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4521 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4522 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4523 AThicker|net@12|||FS1800|pin@11||0|1|pin@12||0.75|1|ART_color()I10
4524 AThicker|net@13|||FS900|pin@12||0.75|1|pin@13||0.75|-1|ART_color()I10
4525 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4526 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4527 AThicker|net@16|||FS0|pin@13||0.75|-1|pin@14||0|-1|ART_color()I10
4528 AThicker|net@17|||FS900|pin@16||1.5|1|pin@15||1.5|-1|ART_color()I10
4529 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4530 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4531 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4532 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4533 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4534 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4535 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4536 Ed||D5G1;|pin@25||O
4537 Eg||D5G1;|pin@24||I
4538 Eg2||D5G1;|pin@23||I
4539 Eg3||D5G1;|pin@26||I
4540 X
4541
4542 # Cell nor2;1{ic}
4543 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4544 Ngeneric:Facet-Center|art@0||0|0||||AV
4545 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4546 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4547 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4548 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4549 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4550 NPin|pin@1||-1|-1|1|1||
4551 NPin|pin@2||-2.5|-1||||
4552 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4553 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4554 NPin|pin@5||-2.5|1||||
4555 NPin|pin@6||-1|1|1|1||
4556 NPin|pin@7||-1|-1.25|1|1||
4557 NPin|pin@8||-0.5|-1.75|1|1||
4558 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I10
4559 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I10
4560 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
4561 Eina||D5G1;|pin@0||I
4562 Einb||D5G1;|pin@3||I
4563 Eout||D5G1;|pin@4||O
4564 X
4565
4566 # Cell nor2;1{sch}
4567 Cnor2;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4568 INMOS;1{ic}|NMOS@2||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4569 INMOS;1{ic}|NMOS@3||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4570 Ngeneric:Facet-Center|art@0||0|0||||AV
4571 NOff-Page|conn@0||-15.5|0||||
4572 NOff-Page|conn@1||14|-8|||RR|
4573 NOff-Page|conn@2||14|0||||
4574 NGround|gnd@0||0|-15||||
4575 Inor2;1{ic}|nor2@0||24.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4576 Inor2nn;1{ic}|nor2nn@0||25|8|||D5G4;|ATTR_Delay(D5G1;NPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;NPX-18;Y-5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4577 NWire_Pin|pin@0||0|-11.5||||
4578 NWire_Pin|pin@1||-4|-11.5||||
4579 NWire_Pin|pin@2||4|-11.5||||
4580 NWire_Pin|pin@3||-9|-8||||
4581 Ngeneric:Invisible-Pin|pin@4||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR]
4582 NWire_Pin|pin@5||-9|0||||
4583 NWire_Pin|pin@6||9|4||||
4584 NWire_Pin|pin@7||9|-8||||
4585 Ngeneric:Invisible-Pin|pin@8||-2|19.5|||||ART_message(D5G6;)S[nor2]
4586 NWire_Pin|pin@9||-9|8||||
4587 NWire_Pin|pin@10||0|0||||
4588 NWire_Pin|pin@11||4|0||||
4589 NWire_Pin|pin@12||-4|0||||
4590 Ngeneric:Invisible-Pin|pin@13||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4591 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4592 Awire|net@0|||0|pin@7||9|-8|NMOS@3|g|7|-8
4593 Awire|net@1|||900|pin@0||0|-11.5|gnd@0||0|-13
4594 Awire|net@2|||0|pin@2||4|-11.5|pin@0||0|-11.5
4595 Awire|net@3|||0|pin@0||0|-11.5|pin@1||-4|-11.5
4596 Awire|net@4|||900|NMOS@2|s|-4|-10|pin@1||-4|-11.5
4597 Awire|net@5|||2700|pin@2||4|-11.5|NMOS@3|s|4|-10
4598 Awire|net@6|||900|pin@12||-4|0|NMOS@2|d|-4|-6
4599 Awire|net@7|||0|NMOS@2|g|-7|-8|pin@3||-9|-8
4600 Awire|net@8|||900|pin@11||4|0|NMOS@3|d|4|-6
4601 Awire|net@9|||2700|pin@3||-9|-8|pin@5||-9|0
4602 Awire|net@10|||0|pin@5||-9|0|conn@0|y|-13.5|0
4603 Awire|net@11|||2700|pin@7||9|-8|pin@6||9|4
4604 Awire|net@12|||1800|pin@7||9|-8|conn@1|y|12|-8
4605 Awire|net@13|||0|pin@6||9|4|pms2@0|g2|3|4
4606 Awire|net@14|||1800|pin@9||-9|8|pms2@0|g|-3|8
4607 Awire|net@15|||2700|pin@10||0|0|pms2@0|d|0|2
4608 Awire|net@16|||0|pin@11||4|0|pin@10||0|0
4609 Awire|net@17|||0|pin@10||0|0|pin@12||-4|0
4610 Awire|net@18|||2700|pin@5||-9|0|pin@9||-9|8
4611 Awire|net@19|||1800|pin@11||4|0|conn@2|a|12|0
4612 Eina||D5G2;|conn@0|a|I
4613 Einb||D5G2;|conn@1|a|I
4614 Eout||D5G2;|conn@2|y|O
4615 X
4616
4617 # Cell nor2HT_sy;1{ic}
4618 Cnor2HT_sy;1{ic}||artwork|1021415734000|1223674999787|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4619 Ngeneric:Facet-Center|art@0||0|0||||AV
4620 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4621 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4622 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4623 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4624 NOpened-Thicker-Polygon|art@5||0.2|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4625 NPin|pin@0||-1|-1.25|1|1||
4626 NPin|pin@1||-1|1|1|1||
4627 NPin|pin@2||-2.5|1||||
4628 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4629 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4630 NPin|pin@5||-2.5|-1||||
4631 NPin|pin@6||-1|-1|1|1||
4632 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4633 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4634 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4635 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4636 Eina||D5G1;|pin@7||I
4637 Einb||D5G1;|pin@4||I
4638 Eout||D5G1;|pin@3||O
4639 X
4640
4641 # Cell nor2HT_sy;1{sch}
4642 Cnor2HT_sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4643 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4644 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4645 Ngeneric:Facet-Center|art@0||0|0||||AV
4646 NOff-Page|conn@0||14|0||||
4647 NOff-Page|conn@1||14|-8|||RR|
4648 NOff-Page|conn@2||-15.5|0||||
4649 NGround|gnd@0||0|-15||||
4650 Inor2HT_sy;1{ic}|nor2HT_s@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
4651 Inor2HT_sya;2{ic}|nor2HT_s@1||30.5|9.5|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.75;Y2.75;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4652 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,Both pull-downs are,as strong as the pull-up]
4653 NWire_Pin|pin@1||-4|0||||
4654 NWire_Pin|pin@2||4|0||||
4655 NWire_Pin|pin@3||0|0||||
4656 NWire_Pin|pin@4||-9|8||||
4657 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)Snor2HT_sy
4658 NWire_Pin|pin@6||9|-8||||
4659 NWire_Pin|pin@7||9|4||||
4660 NWire_Pin|pin@8||-9|0||||
4661 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4662 NWire_Pin|pin@10||-9|-8||||
4663 NWire_Pin|pin@11||4|-11.5||||
4664 NWire_Pin|pin@12||-4|-11.5||||
4665 NWire_Pin|pin@13||0|-11.5||||
4666 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4667 Awire|net@0|||1800|NMOS@2|g|7|-8|pin@6||9|-8
4668 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4669 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4670 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4671 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4672 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4673 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4674 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4675 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4676 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4677 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4678 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4679 Awire|net@12|||900|pin@2||4|0|NMOS@2|d|4|-6
4680 Awire|net@13|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4681 Awire|net@14|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4682 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4683 Awire|net@16|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4684 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4685 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4686 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4687 Eina||D5G2;|conn@2|a|I
4688 Einb||D5G2;|conn@1|a|I
4689 Eout||D5G2;|conn@0|y|O
4690 X
4691
4692 # Cell nor2HT_sya;2{ic}
4693 Cnor2HT_sya;2{ic}|nor2HT_sy|artwork|1021415734000|1223675184366|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4694 Ngeneric:Facet-Center|art@0||0|0||||AV
4695 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
4696 NThick-Circle|art@6||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4697 NThick-Circle|art@7||-1|-1|1|1|||ART_color()I10
4698 NOpened-Thicker-Polygon|art@8||1|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4699 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4700 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4701 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4702 NPin|pin@8||0.5|-2|1|1||
4703 NPin|pin@9||-0.5|-2|1|1||
4704 NPin|pin@10||-0.5|2|1|1||
4705 NPin|pin@11||0.5|2|1|1||
4706 NPin|pin@15||-2.5|1|1|1||
4707 NPin|pin@16||-1.5|1|1|1||
4708 NPin|pin@22||-2.5|-1|1|1||
4709 NPin|pin@23||-1.5|-1|1|1||
4710 AThicker|net@3|||FS0|pin@16||-1.5|1|pin@15||-2.5|1|ART_color()I10
4711 AThicker|net@4|||FS0|pin@8||0.5|-2|pin@9||-0.5|-2|ART_color()I10
4712 AThicker|net@5|||FS2700|pin@9||-0.5|-2|pin@10||-0.5|2|ART_color()I10
4713 AThicker|net@6|||FS0|pin@11||0.5|2|pin@10||-0.5|2|ART_color()I10
4714 AThicker|net@13|||FS0|pin@23||-1.5|-1|pin@22||-2.5|-1|ART_color()I10
4715 Eina||D5G1;|pin@7||I
4716 Einb||D5G1;|pin@4||I
4717 Eout||D5G1;|pin@3||O
4718 X
4719
4720 # Cell nor2_sy;1{ic}
4721 Cnor2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4722 Ngeneric:Facet-Center|art@0||0|0||||AV
4723 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4724 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4725 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4726 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4727 NPin|pin@0||-1|-1.25|1|1||
4728 NPin|pin@1||-1|1|1|1||
4729 NPin|pin@2||-2.5|1||||
4730 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4731 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4732 NPin|pin@5||-2.5|-1||||
4733 NPin|pin@6||-1|-1|1|1||
4734 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4735 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4736 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4737 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4738 Eina||D5G1;|pin@7||I
4739 Einb||D5G1;|pin@4||I
4740 Eout||D5G1;|pin@3||O
4741 X
4742
4743 # Cell nor2_sy;1{sch}
4744 Cnor2_sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4745 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4746 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4747 Ngeneric:Facet-Center|art@0||0|0||||AV
4748 NOff-Page|conn@0||14|0||||
4749 NOff-Page|conn@1||14|-8|||RR|
4750 NOff-Page|conn@2||-15.5|0||||
4751 NGround|gnd@0||0|-15||||
4752 Inor2_sy;1{ic}|nor2_sy@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4753 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4754 NWire_Pin|pin@1||-4|0||||
4755 NWire_Pin|pin@2||4|0||||
4756 NWire_Pin|pin@3||0|0||||
4757 NWire_Pin|pin@4||-9|8||||
4758 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
4759 NWire_Pin|pin@6||9|-8||||
4760 NWire_Pin|pin@7||9|4||||
4761 NWire_Pin|pin@8||-9|0||||
4762 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4763 NWire_Pin|pin@10||-9|-8||||
4764 NWire_Pin|pin@11||4|-11.5||||
4765 NWire_Pin|pin@12||-4|-11.5||||
4766 NWire_Pin|pin@13||0|-11.5||||
4767 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4768 Awire|net@0|||1800|NMOS@2|g|7|-8|pin@6||9|-8
4769 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4770 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4771 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4772 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4773 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4774 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4775 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4776 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4777 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4778 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4779 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4780 Awire|net@12|||900|pin@2||4|0|NMOS@2|d|4|-6
4781 Awire|net@13|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4782 Awire|net@14|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4783 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4784 Awire|net@16|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4785 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4786 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4787 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4788 Eina||D5G2;|conn@2|a|I
4789 Einb||D5G2;|conn@1|a|I
4790 Eout||D5G2;|conn@0|y|O
4791 X
4792
4793 # Cell nor2en;1{ic}
4794 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4795 Ngeneric:Facet-Center|art@0||0|0||||AV
4796 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4797 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4798 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4799 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4800 Ngeneric:Invisible-Pin|pin@0||-0.25|-1|||||ART_message(D5G1;)S[en]
4801 NPin|pin@1||-0.5|-1.75|1|1||
4802 NPin|pin@2||-1|-1.25|1|1||
4803 NPin|pin@3||-1|1|1|1||
4804 NPin|pin@4||-2.5|1||||
4805 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4806 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
4807 NPin|pin@7||-2.5|-1||||
4808 NPin|pin@8||-1|-1|1|1||
4809 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
4810 AThicker|net@0|||FS3150|pin@1||-0.5|-1.75|pin@2||-1|-1.25|ART_color()I10
4811 AThicker|net@1|||FS0|pin@3||-1|1|pin@4||-2.5|1|ART_color()I10
4812 AThicker|net@2|||FS0|pin@8||-1|-1|pin@7||-2.5|-1|ART_color()I10
4813 Eina||D5G1;|pin@9||I
4814 Einb||D5G1;|pin@6||I
4815 Eout||D5G1;|pin@5||O
4816 X
4817
4818 # Cell nor2en;1{sch}
4819 Cnor2en;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4820 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y-0.5;)S@X
4821 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX4.5;Y1.5;)Smax(@X/3., 5./3.)
4822 Ngeneric:Facet-Center|art@0||0|0||||AV
4823 NOff-Page|conn@0||14|0||||
4824 NOff-Page|conn@1||14|-8|||RR|
4825 NOff-Page|conn@2||-15.5|0||||
4826 NGround|gnd@0||0|-15||||
4827 Inor2en;1{ic}|nor2en@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4828 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4829 NWire_Pin|pin@1||-4|0||||
4830 NWire_Pin|pin@2||4|0||||
4831 NWire_Pin|pin@3||0|0||||
4832 NWire_Pin|pin@4||-9|8||||
4833 Ngeneric:Invisible-Pin|pin@5||-2|21.5|||||ART_message(D5G6;)S[nor2en]
4834 NWire_Pin|pin@6||9|-8||||
4835 NWire_Pin|pin@7||9|4||||
4836 NWire_Pin|pin@8||-9|0||||
4837 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/12 for enable input)]
4838 NWire_Pin|pin@10||-9|-8||||
4839 NWire_Pin|pin@11||4|-11.5||||
4840 NWire_Pin|pin@12||-4|-11.5||||
4841 NWire_Pin|pin@13||0|-11.5||||
4842 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4843 Awire|net@0|||1800|pin@2||4|0|conn@0|a|12|0
4844 Awire|net@1|||2700|pin@8||-9|0|pin@4||-9|8
4845 Awire|net@2|||0|pin@3||0|0|pin@1||-4|0
4846 Awire|net@3|||0|pin@2||4|0|pin@3||0|0
4847 Awire|net@4|||2700|pin@3||0|0|pms2@0|d|0|2
4848 Awire|net@5|||1800|pin@4||-9|8|pms2@0|g|-3|8
4849 Awire|net@6|||0|pin@7||9|4|pms2@0|g2|3|4
4850 Awire|net@7|||1800|pin@6||9|-8|conn@1|y|12|-8
4851 Awire|net@8|||2700|pin@6||9|-8|pin@7||9|4
4852 Awire|net@9|||0|pin@8||-9|0|conn@2|y|-13.5|0
4853 Awire|net@10|||2700|pin@10||-9|-8|pin@8||-9|0
4854 Awire|net@11|||900|pin@2||4|0|NMOS@2|d|4|-6
4855 Awire|net@12|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4856 Awire|net@13|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4857 Awire|net@14|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4858 Awire|net@15|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4859 Awire|net@16|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4860 Awire|net@17|||0|pin@11||4|-11.5|pin@13||0|-11.5
4861 Awire|net@18|||900|pin@13||0|-11.5|gnd@0||0|-13
4862 Awire|net@19|||0|pin@6||9|-8|NMOS@2|g|7|-8
4863 Eina||D5G2;|conn@2|a|I
4864 Einb||D5G2;|conn@1|a|I
4865 Eout||D5G2;|conn@0|y|O
4866 X
4867
4868 # Cell nor2en_2p;1{ic}
4869 Cnor2en_2p;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX2.25;Y2.25;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4870 Ngeneric:Facet-Center|art@0||0|0||||AV
4871 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4872 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4873 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4874 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4875 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[2p]
4876 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
4877 NPin|pin@2||-1|-1|1|1||
4878 NPin|pin@3||-2.5|-1||||
4879 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4880 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4881 NPin|pin@6||-2.5|1||||
4882 NPin|pin@7||-1|1|1|1||
4883 NPin|pin@8||-1|-1.25|1|1||
4884 NPin|pin@9||-0.5|-1.75|1|1||
4885 Ngeneric:Invisible-Pin|pin@10||-0.25|-1|||||ART_message(D5G1;)S[en]
4886 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I10
4887 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I10
4888 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I10
4889 Eina||D5G1;|pin@1||I
4890 Einb||D5G1;|pin@4||I
4891 Eout||D5G1;|pin@5||O
4892 X
4893
4894 # Cell nor2en_2p;1{sch}
4895 Cnor2en_2p;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-24;Y-6;)I100|ATTR_X(D5G1;HNPX-24;Y-5;)I1|ATTR_drive0(D5G1;HNPTX-24;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-24;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4896 INMOS;1{ic}|NMOS@2||-10|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./3.)
4897 INMOS;1{ic}|NMOS@3||1|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4898 Ngeneric:Facet-Center|art@0||0|0||||AV
4899 NOff-Page|conn@0||-21.5|0||||
4900 NOff-Page|conn@1||10|-8|||RR|
4901 NOff-Page|conn@2||10|0||||
4902 NGround|gnd@0||-4.5|-15||||
4903 Inor2en_2p;1{ic}|nor2en_2@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4904 NWire_Pin|pin@0||-2.5|0||||
4905 Ngeneric:Invisible-Pin|pin@1||-1.5|14|||||ART_message(D5G2;)S[2 p-stacks for larger sizes]
4906 NWire_Pin|pin@2||-4.5|-11.5||||
4907 NWire_Pin|pin@3||-10|-11.5||||
4908 NWire_Pin|pin@4||1|-11.5||||
4909 NWire_Pin|pin@5||-15|-8||||
4910 Ngeneric:Invisible-Pin|pin@6||-2|17.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/10 for enable input)]
4911 NWire_Pin|pin@7||-15|0||||
4912 NWire_Pin|pin@8||6|4||||
4913 NWire_Pin|pin@9||6|-8||||
4914 Ngeneric:Invisible-Pin|pin@10||-2|24.5|||||ART_message(D5G6;)S[nor2en_2p]
4915 NWire_Pin|pin@11||-15|8||||
4916 NWire_Pin|pin@12||1|0||||
4917 NWire_Pin|pin@13||-10|0||||
4918 Ngeneric:Invisible-Pin|pin@14||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4919 Ipms2;1{ic}|pms2@0||-2.5|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4920 Ipms2;1{ic}|pms2@1||-10|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4921 Awire|net@0|||1800|pms2@0|g2|0.5|4|pin@8||6|4
4922 Awire|net@1|||2700|pin@0||-2.5|0|pms2@0|d|-2.5|2
4923 Awire|net@2|||1800|pin@13||-10|0|pin@0||-2.5|0
4924 Awire|net@3|||1800|pin@0||-2.5|0|pin@12||1|0
4925 Awire|net@4|||1800|pms2@1|g2|-7|4|pms2@0|g2|0.5|4
4926 Awire|net@5|||1800|pms2@1|g|-13|8|pms2@0|g|-5.5|8
4927 Awire|net@6|||2700|pin@13||-10|0|pms2@1|d|-10|2
4928 Awire|net@7|||0|pin@9||6|-8|NMOS@3|g|4|-8
4929 Awire|net@8|||900|pin@2||-4.5|-11.5|gnd@0||-4.5|-13
4930 Awire|net@9|||0|pin@4||1|-11.5|pin@2||-4.5|-11.5
4931 Awire|net@10|||0|pin@2||-4.5|-11.5|pin@3||-10|-11.5
4932 Awire|net@11|||900|NMOS@2|s|-10|-10|pin@3||-10|-11.5
4933 Awire|net@12|||2700|pin@4||1|-11.5|NMOS@3|s|1|-10
4934 Awire|net@13|||900|pin@13||-10|0|NMOS@2|d|-10|-6
4935 Awire|net@14|||0|NMOS@2|g|-13|-8|pin@5||-15|-8
4936 Awire|net@15|||900|pin@12||1|0|NMOS@3|d|1|-6
4937 Awire|net@16|||2700|pin@5||-15|-8|pin@7||-15|0
4938 Awire|net@17|||0|pin@7||-15|0|conn@0|y|-19.5|0
4939 Awire|net@18|||2700|pin@9||6|-8|pin@8||6|4
4940 Awire|net@19|||1800|pin@9||6|-8|conn@1|y|8|-8
4941 Awire|net@20|||1800|pin@11||-15|8|pms2@1|g|-13|8
4942 Awire|net@21|||2700|pin@7||-15|0|pin@11||-15|8
4943 Awire|net@22|||1800|pin@12||1|0|conn@2|a|8|0
4944 Eina||D5G2;|conn@0|a|I
4945 Einb||D5G2;|conn@1|a|I
4946 Eout||D5G2;|conn@2|y|O
4947 X
4948
4949 # Cell nor2n;1{ic}
4950 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4951 Ngeneric:Facet-Center|art@0||0|0||||AV
4952 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
4953 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4954 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
4955 NPin|pin@0||-0.5|-0.75|1|1||
4956 NPin|pin@1||0.75|-2|1|1||
4957 NPin|pin@2||0.5|-2|1|1||
4958 NPin|pin@3||-0.5|-2|1|1||
4959 NPin|pin@4||-0.5|2|1|1||
4960 NPin|pin@5||0.5|2|1|1||
4961 NPin|pin@6||-1.5|1|1|1||
4962 NPin|pin@7||-2.5|1||||
4963 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4964 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
4965 NPin|pin@10||-2.5|-1||||
4966 NPin|pin@11||-1.5|-1|1|1||
4967 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
4968 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
4969 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
4970 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
4971 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
4972 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
4973 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
4974 Eina||D5G1;|pin@12||I
4975 Einb||D5G1;|pin@9||I
4976 Eout||D5G1;|pin@8||O
4977 X
4978
4979 # Cell nor2n;1{sch}
4980 Cnor2n;1{sch}||schematic|1021415734000|1209125237179||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
4981 Ngeneric:Facet-Center|art@0||0|0||||AV
4982 NOff-Page|conn@0||10.5|0||||
4983 NOff-Page|conn@1||-15.5|2.5||||
4984 NOff-Page|conn@2||-15.5|-2.5||||
4985 Inor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4986 Inor2n;1{ic}|nor2n@0||24.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4987 NWire_Pin|pin@0||-7|-2.5||||
4988 NWire_Pin|pin@1||-7|-1||||
4989 NWire_Pin|pin@2||-7|1||||
4990 NWire_Pin|pin@3||-7|2.5||||
4991 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4992 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)S[nor2n]
4993 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR (AND rep)]
4994 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
4995 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
4996 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
4997 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
4998 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
4999 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5000 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5001 Eina||D5G2;|conn@2|a|I
5002 Einb||D5G2;|conn@1|a|I
5003 Eout||D5G2;|conn@0|y|O
5004 X
5005
5006 # Cell nor2n_sy;1{ic}
5007 Cnor2n_sy;1{ic}||artwork|1021415734000|1209125462708|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5008 Ngeneric:Facet-Center|art@0||0|0||||AV
5009 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5010 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5011 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5012 NPin|pin@2||0.5|-2|1|1||
5013 NPin|pin@3||-0.5|-2|1|1||
5014 NPin|pin@4||-0.5|2|1|1||
5015 NPin|pin@5||0.5|2|1|1||
5016 NPin|pin@6||-1.5|1|1|1||
5017 NPin|pin@7||-2.5|1||||
5018 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5019 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5020 NPin|pin@10||-2.5|-1||||
5021 NPin|pin@11||-1.5|-1|1|1||
5022 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5023 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5024 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5025 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5026 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5027 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5028 Eina||D5G1;|pin@12||I
5029 Einb||D5G1;|pin@9||I
5030 Eout||D5G1;|pin@8||O
5031 X
5032
5033 # Cell nor2n_sy;1{sch}
5034 Cnor2n_sy;1{sch}||schematic|1021415734000|1239967624447||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
5035 Ngeneric:Facet-Center|art@0||0|0||||AV
5036 NOff-Page|conn@0||10.5|0||||
5037 NOff-Page|conn@1||-15.5|2.5||||
5038 NOff-Page|conn@2||-15.5|-2.5||||
5039 Inor2_sy;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
5040 Inor2n_sy;1{ic}|nor2n@0||24|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5041 NWire_Pin|pin@0||-7|-2.5||||
5042 NWire_Pin|pin@1||-7|-1||||
5043 NWire_Pin|pin@2||-7|1||||
5044 NWire_Pin|pin@3||-7|2.5||||
5045 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
5046 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)Snor2n_sy
5047 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)Sone-parameter fixed-size symmetric NOR (AND rep)
5048 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
5049 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
5050 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
5051 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
5052 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
5053 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5054 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5055 Eina||D5G2;|conn@2|a|I
5056 Einb||D5G2;|conn@1|a|I
5057 Eout||D5G2;|conn@0|y|O
5058 X
5059
5060 # Cell nor2nn;1{ic}
5061 Cnor2nn;1{ic}|nor2|artwork|1021415734000|1231517756672|E|ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|prototype_center()I[6000,0]
5062 Ngeneric:Facet-Center|art@0||0|0||||AV
5063 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5064 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5065 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5066 NPin|pin@0||-0.5|-0.75|1|1||
5067 NPin|pin@1||0.75|-2|1|1||
5068 NPin|pin@2||0.5|-2|1|1||
5069 NPin|pin@3||-0.5|-2|1|1||
5070 NPin|pin@4||-0.5|2|1|1||
5071 NPin|pin@5||0.5|2|1|1||
5072 NPin|pin@6||-1.5|1|1|1||
5073 NPin|pin@7||-2.5|1||||
5074 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5075 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5076 NPin|pin@10||-2.5|-1||||
5077 NPin|pin@11||-1.5|-1|1|1||
5078 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5079 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
5080 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5081 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5082 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5083 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5084 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5085 Eina||D5G1;|pin@12||I
5086 Einb||D5G1;|pin@9||I
5087 Eout||D5G1;|pin@8||O
5088 X
5089
5090 # Cell passF;1{ic}
5091 CpassF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5092 Ngeneric:Facet-Center|art@0||0|0||||AV
5093 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5094 Nschematic:Bus_Pin|pin@0||2|0||||
5095 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5096 Nschematic:Bus_Pin|pin@6||-2|0||||
5097 NPin|pin@9||-1|1|1|1||
5098 NPin|pin@10||1|1|1|1||
5099 NPin|pin@11||1|-1|1|1||
5100 NPin|pin@12||-1|-1|1|1||
5101 NPin|pin@13||-1|-1|1|1||
5102 NPin|pin@14||1|0|1|1||
5103 NPin|pin@15||2|0|1|1||
5104 NPin|pin@16||-2|0|1|1||
5105 NPin|pin@17||-1|0|1|1||
5106 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5107 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5108 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5109 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5110 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5111 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5112 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5113 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5114 Edrn||D5G2;|pin@0||O
5115 EpassF||D5G2;|pin@2||I
5116 Esrc||D5G2;|pin@6||I
5117 X
5118
5119 # Cell passF;1{sch}
5120 CpassF;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5121 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5122 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5123 Ngeneric:Facet-Center|art@0||0|0||||AV
5124 NOff-Page|conn@0||-9|0||||
5125 NOff-Page|conn@1||16.5|0||||
5126 NOff-Page|conn@3||0|-11.5|||R|
5127 Iinv;1{ic}|inv@0||7|10|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5128 IpassF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5129 NWire_Pin|pin@0||0|-7||||
5130 NWire_Pin|pin@1||11|-7||||
5131 NWire_Pin|pin@2||11|10||||
5132 NWire_Pin|pin@3||0|10||||
5133 NWire_Pin|pin@4||-5|0||||
5134 NWire_Pin|pin@5||5|0||||
5135 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassF
5136 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only F control input
5137 NWire_Pin|pin@8||-5|2||||
5138 NWire_Pin|pin@9||-5|-2||||
5139 NWire_Pin|pin@10||5|-2||||
5140 NWire_Pin|pin@11||5|2||||
5141 Awire|net@0|||1800|pin@0||0|-7|pin@1||11|-7
5142 Awire|net@1|||900|pin@11||5|2|pin@5||5|0
5143 Awire|net@2|||0|pin@10||5|-2|PMOS@1|d|2|-2
5144 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@9||-5|-2
5145 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5146 Awire|net@5|||1800|pin@8||-5|2|NMOS@1|s|-2|2
5147 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5148 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5149 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5150 Awire|net@9|||1800|pin@5||5|0|conn@1|a|14.5|0
5151 Awire|net@11|||2700|conn@3|y|0|-9.5|pin@0||0|-7
5152 Awire|net@12|||2700|pin@0||0|-7|PMOS@1|g|0|-5
5153 Awire|net@13|||2700|pin@1||11|-7|pin@2||11|10
5154 Awire|net@14|||0|pin@2||11|10|inv@0|in|9.5|10
5155 Awire|net@15|||2700|NMOS@1|g|0|5|pin@3||0|10
5156 Awire|net@16|||1800|pin@3||0|10|inv@0|out|4.5|10
5157 Awire|net@17|||1800|NMOS@1|d|2|2|pin@11||5|2
5158 Edrn||D5G2;|conn@1|y|O
5159 EpassF||D5G2;|conn@3|a|I
5160 Esrc||D5G2;|conn@0|a|I
5161 X
5162
5163 # Cell passT;1{ic}
5164 CpassT;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5165 Ngeneric:Facet-Center|art@0||0|0||||AV
5166 Nschematic:Bus_Pin|pin@0||2|0||||
5167 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5168 Nschematic:Bus_Pin|pin@6||-2|0||||
5169 NPin|pin@9||-1|1|1|1||
5170 NPin|pin@10||1|1|1|1||
5171 NPin|pin@11||1|-1|1|1||
5172 NPin|pin@12||-1|-1|1|1||
5173 NPin|pin@13||-1|-1|1|1||
5174 NPin|pin@14||1|0|1|1||
5175 NPin|pin@15||2|0|1|1||
5176 NPin|pin@16||-2|0|1|1||
5177 NPin|pin@17||-1|0|1|1||
5178 NPin|pin@18||-0.5|-1|1|1|RRR|
5179 NPin|pin@19||-0.5|-2|1|1|RRR|
5180 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5181 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5182 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5183 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5184 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5185 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5186 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5187 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5188 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5189 Edrn||D5G2;|pin@0||O
5190 EpassT||D5G2;|pin@4||I
5191 Esrc||D5G2;|pin@6||I
5192 X
5193
5194 # Cell passT;1{sch}
5195 CpassT;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5196 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5197 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5198 Ngeneric:Facet-Center|art@0||0|0||||AV
5199 NOff-Page|conn@0||-9|0||||
5200 NOff-Page|conn@1||20.5|0||||
5201 NOff-Page|conn@2||0|12|||RRR|
5202 Iinv;1{ic}|inv@0||6|-9|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5203 IpassT;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5204 NWire_Pin|pin@0||11|-9||||
5205 NWire_Pin|pin@1||11|8||||
5206 NWire_Pin|pin@2||0|8||||
5207 NWire_Pin|pin@3||0|-9||||
5208 NWire_Pin|pin@4||-5|0||||
5209 NWire_Pin|pin@5||5|0||||
5210 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassT
5211 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only T control input
5212 NWire_Pin|pin@8||-5|2||||
5213 NWire_Pin|pin@9||-5|-2||||
5214 NWire_Pin|pin@10||5|-2||||
5215 NWire_Pin|pin@11||5|2||||
5216 Awire|net@0|||1800|inv@0|in|8.5|-9|pin@0||11|-9
5217 Awire|net@1|||2700|pin@0||11|-9|pin@1||11|8
5218 Awire|net@2|||0|pin@1||11|8|pin@2||0|8
5219 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@9||-5|-2
5220 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5221 Awire|net@5|||1800|pin@8||-5|2|NMOS@1|s|-2|2
5222 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5223 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5224 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5225 Awire|net@9|||1800|pin@5||5|0|conn@1|a|18.5|0
5226 Awire|net@10|||900|conn@2|y|0|10|pin@2||0|8
5227 Awire|net@11|||900|pin@2||0|8|NMOS@1|g|0|5
5228 Awire|net@12|||900|PMOS@1|g|0|-5|pin@3||0|-9
5229 Awire|net@13|||1800|pin@3||0|-9|inv@0|out|3.5|-9
5230 Awire|net@14|||900|pin@11||5|2|pin@5||5|0
5231 Awire|net@15|||0|pin@10||5|-2|PMOS@1|d|2|-2
5232 Awire|net@16|||1800|NMOS@1|d|2|2|pin@11||5|2
5233 Edrn||D5G2;|conn@1|y|O
5234 EpassT||D5G2;|conn@2|a|I
5235 Esrc||D5G2;|conn@0|a|I
5236 X
5237
5238 # Cell passTF;1{ic}
5239 CpassTF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|prototype_center()I[0,0]
5240 Ngeneric:Facet-Center|art@0||0|0||||AV
5241 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5242 Nschematic:Bus_Pin|pin@0||2|0||||
5243 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5244 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5245 Nschematic:Bus_Pin|pin@6||-2|0||||
5246 NPin|pin@9||-1|1|1|1||
5247 NPin|pin@10||1|1|1|1||
5248 NPin|pin@11||1|-1|1|1||
5249 NPin|pin@12||-1|-1|1|1||
5250 NPin|pin@13||-1|-1|1|1||
5251 NPin|pin@14||1|0|1|1||
5252 NPin|pin@15||2|0|1|1||
5253 NPin|pin@16||-2|0|1|1||
5254 NPin|pin@17||-1|0|1|1||
5255 NPin|pin@18||-0.5|-1|1|1|RRR|
5256 NPin|pin@19||-0.5|-2|1|1|RRR|
5257 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5258 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5259 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5260 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5261 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5262 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5263 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5264 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5265 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5266 Edrn||D5G2;|pin@0||O
5267 EpassF||D5G2;|pin@2||I
5268 EpassT||D5G2;|pin@4||I
5269 Esrc||D5G2;|pin@6||I
5270 X
5271
5272 # Cell passTF;1{sch}
5273 CpassTF;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|prototype_center()I[0,0]
5274 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5275 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5276 Ngeneric:Facet-Center|art@0||0|0||||AV
5277 NOff-Page|conn@0||-9|0||||
5278 NOff-Page|conn@1||10.5|0||||
5279 NOff-Page|conn@2||0|12|||RRR|
5280 NOff-Page|conn@3||0|-11.5|||R|
5281 IpassTF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1
5282 NWire_Pin|pin@0||5|2||||
5283 NWire_Pin|pin@1||5|-2||||
5284 NWire_Pin|pin@2||-5|-2||||
5285 NWire_Pin|pin@3||-5|2||||
5286 NWire_Pin|pin@4||-5|0||||
5287 NWire_Pin|pin@5||5|0||||
5288 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassTF
5289 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with seperate T/F control inputs
5290 Awire|net@0|||1800|NMOS@1|d|2|2|pin@0||5|2
5291 Awire|net@1|||900|pin@0||5|2|pin@5||5|0
5292 Awire|net@2|||0|pin@1||5|-2|PMOS@1|d|2|-2
5293 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@2||-5|-2
5294 Awire|net@4|||2700|pin@2||-5|-2|pin@4||-5|0
5295 Awire|net@5|||1800|pin@3||-5|2|NMOS@1|s|-2|2
5296 Awire|net@6|||2700|pin@4||-5|0|pin@3||-5|2
5297 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5298 Awire|net@8|||900|pin@5||5|0|pin@1||5|-2
5299 Awire|net@9|||1800|pin@5||5|0|conn@1|a|8.5|0
5300 Awire|net@10|||900|conn@2|y|0|10|NMOS@1|g|0|5
5301 Awire|net@11|||2700|conn@3|y|0|-9.5|PMOS@1|g|0|-5
5302 Edrn||D5G2;|conn@1|y|O
5303 EpassF||D5G2;|conn@3|a|I
5304 EpassT||D5G2;|conn@2|a|I
5305 Esrc||D5G2;|conn@0|a|I
5306 X
5307
5308 # Cell pms1;2{ic}
5309 Cpms1;2{ic}||artwork|1021415734000|1228434629412|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5310 Ngeneric:Facet-Center|art@0||0|0||||AV
5311 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5312 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5313 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
5314 NPin|pin@3||0|1|1|1||
5315 NPin|pin@4||-0.75|1|1|1||
5316 NPin|pin@5||-0.75|-1|1|1||
5317 NPin|pin@6||0|-1|1|1|YRR|
5318 NPin|pin@7||0|-2|1|1|YRR|
5319 NPin|pin@8||-3|0|||RR|
5320 NPin|pin@9||-2.5|0|1|1|RR|
5321 NPin|pin@10||0|2|1|1||
5322 NPin|pin@11||-0.5|2.5||||
5323 NPin|pin@12||0.5|1.5|1|1||
5324 NPin|pin@13||-1.5|-1|1|1||
5325 NPin|pin@14||-1.5|1|1|1||
5326 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5327 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5328 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5329 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5330 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5331 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5332 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5333 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5334 Ed||D5G1;|pin@1||O
5335 Eg||D5G1;|pin@0||I
5336 X
5337
5338 # Cell pms1;1{sch}
5339 Cpms1;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5340 IPMOS;1{ic}|PMOS@1||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.0
5341 Ngeneric:Facet-Center|art@0||0|0||||AV
5342 NOff-Page|conn@0||-8.5|15||||
5343 NOff-Page|conn@1||8|0||||
5344 NWire_Pin|pin@0||0|0||||
5345 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)Spms1
5346 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)Sone fixed-size P-type transistor to VDD
5347 Ipms1;2{ic}|pms1@1||19|18|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5348 NPower|pwr@0||0|22||||
5349 Awire|net@0|||900|pwr@0||0|22|PMOS@1|s|0|17
5350 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@1|g|-3|15
5351 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5352 Awire|net@6|||2700|pin@0||0|0|PMOS@1|d|0|13
5353 Ed||D5G2;|conn@1|y|O
5354 Eg||D5G2;|conn@0|a|I
5355 X
5356
5357 # Cell pms2;1{ic}
5358 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5359 Ngeneric:Facet-Center|art@0||0|0||||AV
5360 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5361 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5362 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5363 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5364 Nschematic:Bus_Pin|pin@2||3|-4|-2|-2||
5365 NPin|pin@3||0|1|1|1||
5366 NPin|pin@4||-0.75|1|1|1||
5367 NPin|pin@5||-0.75|-1|1|1||
5368 NPin|pin@6||0|-1|1|1|YRR|
5369 NPin|pin@7||0|-2|1|1|YRR|
5370 NPin|pin@8||-3|0|||RR|
5371 NPin|pin@9||-2.5|0|1|1|RR|
5372 NPin|pin@10||0|2|1|1||
5373 NPin|pin@11||-0.5|2.5||||
5374 NPin|pin@12||0.5|1.5|1|1||
5375 NPin|pin@13||-1.5|-1|1|1||
5376 NPin|pin@14||-1.5|1|1|1||
5377 NPin|pin@15||1.5|-3|1|1|YRR|
5378 NPin|pin@16||1.5|-5|1|1|YRR|
5379 NPin|pin@17||0|-2|1|1|YRR|
5380 NPin|pin@18||3|-4|||RR|
5381 NPin|pin@19||2.5|-4|1|1|RR|
5382 NPin|pin@20||0|-6|||RR|
5383 NPin|pin@21||0|-5|1|1|YRR|
5384 NPin|pin@22||0.75|-5|1|1|YRR|
5385 NPin|pin@23||0.75|-3|1|1|YRR|
5386 NPin|pin@24||0|-3|1|1|YRR|
5387 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5388 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5389 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5390 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5391 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5392 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5393 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5394 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5395 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5396 AThicker|net@9|||FS1800|pin@24||0|-3|pin@23||0.75|-3|ART_color()I10
5397 AThicker|net@10|||FS900|pin@15||1.5|-3|pin@16||1.5|-5|ART_color()I10
5398 AThicker|net@11|||FS1800|pin@19||2.5|-4|pin@18||3|-4|ART_color()I10
5399 AThicker|net@12|||FS0|pin@22||0.75|-5|pin@21||0|-5|ART_color()I10
5400 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5401 AThicker|net@14|||FS900|pin@23||0.75|-3|pin@22||0.75|-5|ART_color()I10
5402 Ed||D5G1;|pin@1||O
5403 Eg||D5G1;|pin@0||I
5404 Eg2||D5G1;|pin@2||I
5405 X
5406
5407 # Cell pms2;1{sch}
5408 Cpms2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5409 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5410 IPMOS;1{ic}|PMOS@3||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5411 Ngeneric:Facet-Center|art@0||0|0||||AV
5412 NOff-Page|conn@0||-8.5|15||||
5413 NOff-Page|conn@1||8|0||||
5414 NOff-Page|conn@2||8.5|7|||YRR|
5415 NWire_Pin|pin@0||0|0||||
5416 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
5417 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[two fixed-size P-type transistors to VDD]
5418 Ipms2;1{ic}|pms2@0||20|22|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S1
5419 Ipms2a;2{ic}|pms2@1||20|10|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5420 NPower|pwr@0||0|22||||
5421 Awire|net@0|||900|pwr@0||0|22|PMOS@2|s|0|17
5422 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@2|g|-3|15
5423 Awire|net@2|||2700|PMOS@3|s|0|9|PMOS@2|d|0|13|NET_ncc_match()SNCCmatch1
5424 Awire|net@3|||0|conn@2|y|6.5|7|PMOS@3|g|3|7
5425 Awire|net@4|||2700|pin@0||0|0|PMOS@3|d|0|5
5426 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5427 Ed||D5G2;|conn@1|y|O
5428 Eg||D5G2;|conn@0|a|I
5429 Eg2||D5G2;|conn@2|a|I
5430 X
5431
5432 # Cell pms2_sy;1{ic}
5433 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
5434 Ngeneric:Facet-Center|art@0||0|0||||AV
5435 NThick-Circle|art@1||-1.75|0|1|1|||ART_color()I10
5436 NThick-Circle|art@2||1.75|-4|1|1|||ART_color()I10
5437 NThick-Circle|art@3||1.75|0|1|1|||ART_color()I10
5438 NThick-Circle|art@4||-1.75|-4|1|1|||ART_color()I10
5439 Nschematic:Bus_Pin|pin@0||3|-4|-2|-2||
5440 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
5441 Nschematic:Bus_Pin|pin@2||0|-6|-2|-2||
5442 NPin|pin@3||0|1|1|1||
5443 NPin|pin@4||-0.75|1|1|1||
5444 NPin|pin@5||-0.75|-1|1|1||
5445 NPin|pin@6||0|2|1|1||
5446 NPin|pin@7||-0.5|2.5||||
5447 NPin|pin@8||0.5|1.5|1|1||
5448 NPin|pin@9||-1.25|-1|1|1||
5449 NPin|pin@10||-1.25|1|1|1||
5450 NPin|pin@11||1.25|-3|1|1|YRR|
5451 NPin|pin@12||1.25|-5|1|1|YRR|
5452 NPin|pin@13||0|-6|||RR|
5453 NPin|pin@14||0|-5|1|1|YRR|
5454 NPin|pin@15||0.75|-5|1|1|YRR|
5455 NPin|pin@16||0.75|-3|1|1|YRR|
5456 NPin|pin@17||0.25|-3|1|1|YRR|
5457 NPin|pin@18||1.25|1|1|1|YRR|
5458 NPin|pin@19||1.25|-1|1|1|YRR|
5459 NPin|pin@20||0.25|-1|1|1||
5460 NPin|pin@21||0.75|-1|1|1|YRR|
5461 NPin|pin@22||0.75|1|1|1|YRR|
5462 NPin|pin@23||0|1|1|1|YRR|
5463 NPin|pin@24||-0.25|-3|1|1||
5464 NPin|pin@25||-0.75|-3|1|1||
5465 NPin|pin@26||-0.75|-5|1|1||
5466 NPin|pin@27||0|-5|1|1|YRR|
5467 NPin|pin@28||-1.25|-5|1|1||
5468 NPin|pin@29||-1.25|-3|1|1||
5469 NPin|pin@30||-0.25|-1|1|1||
5470 NPin|pin@31||-3|0|||RR|
5471 NPin|pin@32||-2.5|0|1|1|RR|
5472 NPin|pin@33||-2.5|-4|1|1||
5473 NPin|pin@34||3|-4|||RR|
5474 NPin|pin@35||2.5|-4|1|1|RR|
5475 NPin|pin@36||2.5|0|1|1||
5476 NPin|pin@37||2.25|0|1|1||
5477 NPin|pin@38||2.25|-4|1|1||
5478 NPin|pin@39||-2.25|-4|1|1||
5479 NPin|pin@40||-2.25|0|1|1||
5480 AThicker|net@0|||FS1800|pin@17||0.25|-3|pin@16||0.75|-3|ART_color()I10
5481 AThicker|net@1|||FS0|pin@15||0.75|-5|pin@14||0|-5|ART_color()I10
5482 AThicker|net@2|||FS900|pin@16||0.75|-3|pin@15||0.75|-5|ART_color()I10
5483 AThicker|net@3|||FS900|pin@11||1.25|-3|pin@12||1.25|-5|ART_color()I10
5484 AThicker|net@4|||FS900|pin@14||0|-5|pin@13||0|-6|ART_color()I10
5485 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5486 AThicker|net@6|||FS900|pin@10||-1.25|1|pin@9||-1.25|-1|ART_color()I10
5487 AThicker|net@7|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5488 AThicker|net@8|||FS3150|pin@8||0.5|1.5|pin@7||-0.5|2.5|ART_color()I10
5489 AThicker|net@9|||FS2700|pin@3||0|1|pin@6||0|2|ART_color()I10
5490 AThicker|net@10|||FS900|pin@18||1.25|1|pin@19||1.25|-1|ART_color()I10
5491 AThicker|net@11|||FS900|pin@22||0.75|1|pin@21||0.75|-1|ART_color()I10
5492 AThicker|net@12|||FS1800|pin@23||0|1|pin@22||0.75|1|ART_color()I10
5493 AThicker|net@13|||FS0|pin@21||0.75|-1|pin@20||0.25|-1|ART_color()I10
5494 AThicker|net@14|||FS900|pin@29||-1.25|-3|pin@28||-1.25|-5|ART_color()I10
5495 AThicker|net@15|||FS900|pin@25||-0.75|-3|pin@26||-0.75|-5|ART_color()I10
5496 AThicker|net@16|||FS0|pin@24||-0.25|-3|pin@25||-0.75|-3|ART_color()I10
5497 AThicker|net@17|||FS1800|pin@26||-0.75|-5|pin@27||0|-5|ART_color()I10
5498 AThicker|net@18|||FS0|pin@30||-0.25|-1|pin@5||-0.75|-1|ART_color()I10
5499 AThicker|net@19|||FS2840|pin@17||0.25|-3|pin@30||-0.25|-1|ART_color()I10
5500 AThicker|net@20|||FS2560|pin@24||-0.25|-3|pin@20||0.25|-1|ART_color()I10
5501 AThicker|net@21|||FS1800|pin@31||-3|0|pin@32||-2.5|0|ART_color()I10
5502 AThicker|net@22|||FS2700|pin@33||-2.5|-4|pin@32||-2.5|0|ART_color()I10
5503 AThicker|net@23|||FS1800|pin@35||2.5|-4|pin@34||3|-4|ART_color()I10
5504 AThicker|net@24|||FS900|pin@36||2.5|0|pin@35||2.5|-4|ART_color()I10
5505 AThicker|net@25|||FS1800|pin@37||2.25|0|pin@36||2.5|0|ART_color()I10
5506 AThicker|net@26|||FS1800|pin@38||2.25|-4|pin@35||2.5|-4|ART_color()I10
5507 AThicker|net@27|||FS0|pin@39||-2.25|-4|pin@33||-2.5|-4|ART_color()I10
5508 AThicker|net@28|||FS0|pin@40||-2.25|0|pin@32||-2.5|0|ART_color()I10
5509 Ed||D5G1;|pin@2||O
5510 Eg||D5G1;|pin@1||I
5511 Eg2||D5G1;|pin@0||I
5512 X
5513
5514 # Cell pms2_sy;1{sch}
5515 Cpms2_sy;1{sch}||schematic|1021415734000|1157998674545||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20.5;Y-9.5;)S1|prototype_center()I[0,0]
5516 Ngeneric:Facet-Center|art@0||0|0||||AV
5517 NOff-Page|conn@0||-13|-1||||
5518 NOff-Page|conn@1||23.5|-1|||YRR|
5519 NOff-Page|conn@2||21|-11||||
5520 Ngeneric:Invisible-Pin|pin@0||-2.5|9|||||ART_message(D5G2;)S[symmetric fixed-size P-type two-stack]
5521 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
5522 NWire_Pin|pin@2||0.5|-1||||
5523 NWire_Pin|pin@3||4.5|-5||||
5524 NWire_Pin|pin@4||4.5|-1||||
5525 NWire_Pin|pin@5||0.5|-5||||
5526 NWire_Pin|pin@6||-4|-11||||
5527 NWire_Pin|pin@7||10|-11||||
5528 Ipms2;1{ic}|pms2@0||-4|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X/2.0
5529 Ipms2;1{ic}|pms2@1||10|-1|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S@X/2.0
5530 Ipms2_sy;1{ic}|pms2_sy@0||31|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1
5531 Awire|net@0|||0|conn@1|y|21.5|-1|pms2@1|g|13|-1
5532 Awire|net@1|||1800|conn@0|y|-11|-1|pms2@0|g|-7|-1
5533 Awire|net@2|||0|pin@2||0.5|-1|pms2@0|g|-7|-1
5534 Awire|net@3|||3150|pin@3||4.5|-5|pin@2||0.5|-1
5535 Awire|net@4|||0|pms2@1|g2|7|-5|pin@3||4.5|-5
5536 Awire|net@5|||1800|pin@4||4.5|-1|pms2@1|g|13|-1
5537 Awire|net@6|||2250|pin@5||0.5|-5|pin@4||4.5|-1
5538 Awire|net@7|||1800|pms2@0|g2|-1|-5|pin@5||0.5|-5
5539 Awire|net@8|||2700|pin@6||-4|-11|pms2@0|d|-4|-7
5540 Awire|net@9|||0|pin@7||10|-11|pin@6||-4|-11
5541 Awire|net@10|||900|pms2@1|d|10|-7|pin@7||10|-11
5542 Awire|net@11|||1800|pin@7||10|-11|conn@2|a|19|-11
5543 Ed||D5G2;|conn@2|y|O
5544 Eg||D5G2;|conn@0|a|I
5545 Eg2||D5G2;|conn@1|a|I
5546 X
5547
5548 # Cell pms2a;2{ic}
5549 Cpms2a;2{ic}|pms2|artwork|1021415734000|1228434146914|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5550 Ngeneric:Facet-Center|art@0||0|0||||AV
5551 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5552 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I10
5553 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5554 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5555 Nschematic:Bus_Pin|pin@2||-3|-4|-2|-2||
5556 NPin|pin@3||0|1|1|1||
5557 NPin|pin@4||-0.75|1|1|1||
5558 NPin|pin@5||-0.75|-1|1|1||
5559 NPin|pin@6||0|-1|1|1|YRR|
5560 NPin|pin@7||0|-2|1|1|YRR|
5561 NPin|pin@8||-3|0|||RR|
5562 NPin|pin@9||-2.5|0|1|1|RR|
5563 NPin|pin@10||0|2|1|1||
5564 NPin|pin@11||-0.5|2.5||||
5565 NPin|pin@12||0.5|1.5|1|1||
5566 NPin|pin@13||-1.5|-1|1|1||
5567 NPin|pin@14||-1.5|1|1|1||
5568 NPin|pin@15||-1.5|-3|1|1|YRR|
5569 NPin|pin@16||-1.5|-5|1|1|YRR|
5570 NPin|pin@17||0|-2|1|1|YRR|
5571 NPin|pin@18||-3|-4|||RR|
5572 NPin|pin@19||-2.5|-4|1|1|RR|
5573 NPin|pin@20||0|-6|||RR|
5574 NPin|pin@21||0|-5|1|1|YRR|
5575 NPin|pin@22||-0.75|-5|1|1|YRR|
5576 NPin|pin@23||-0.75|-3|1|1|YRR|
5577 NPin|pin@24||0|-3|1|1|YRR|
5578 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5579 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5580 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5581 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5582 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5583 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5584 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5585 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5586 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5587 AThicker|net@9|||FS0|pin@24||0|-3|pin@23||-0.75|-3|ART_color()I10
5588 AThicker|net@10|||FS900|pin@15||-1.5|-3|pin@16||-1.5|-5|ART_color()I10
5589 AThicker|net@11|||FS0|pin@19||-2.5|-4|pin@18||-3|-4|ART_color()I10
5590 AThicker|net@12|||FS1800|pin@22||-0.75|-5|pin@21||0|-5|ART_color()I10
5591 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5592 AThicker|net@14|||FS900|pin@23||-0.75|-3|pin@22||-0.75|-5|ART_color()I10
5593 Ed||D5G1;|pin@1||O
5594 Eg||D5G1;|pin@0||I
5595 Eg2||D5G1;|pin@2||I
5596 X
5597
5598 # Cell pms3;1{ic}
5599 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1;)I100|ATTR_X(D5G1.5;HNPX2.75;Y3;)I1|prototype_center()I[0,0]
5600 Ngeneric:Facet-Center|art@0||0|0||||AV
5601 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5602 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5603 NThick-Circle|art@3||-2|0|1|1|||ART_color()I10
5604 NPin|pin@0||0|-10|0.5|0.5||
5605 NPin|pin@1||-2.5|-8|||RR|
5606 NPin|pin@2||-3|-8|1|1|RR|
5607 NPin|pin@3||-1.5|-7|1|1|YRR|
5608 NPin|pin@4||-1.5|-9|1|1|YRR|
5609 NPin|pin@5||0|-9|0.5|0.5||
5610 NPin|pin@6||-0.75|-9|0.5|0.5||
5611 NPin|pin@7||-0.75|-7|0.5|0.5||
5612 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5613 NPin|pin@9||0|-3|1|1|YRR|
5614 NPin|pin@10||0.75|-3|1|1|YRR|
5615 NPin|pin@11||0.75|-5|1|1|YRR|
5616 NPin|pin@12||0|-5|1|1|YRR|
5617 NPin|pin@13||0|-7|||RR|
5618 NPin|pin@14||2.5|-4|1|1|RR|
5619 NPin|pin@15||3|-4|||RR|
5620 NPin|pin@16||0|-2|1|1|YRR|
5621 NPin|pin@17||1.5|-5|1|1|YRR|
5622 NPin|pin@18||1.5|-3|1|1|YRR|
5623 NPin|pin@19||-1.5|1|1|1||
5624 NPin|pin@20||-1.5|-1|1|1||
5625 NPin|pin@21||0.5|1.5|1|1||
5626 NPin|pin@22||-0.5|2.5||||
5627 NPin|pin@23||0|2|1|1||
5628 NPin|pin@24||-2.5|0|1|1|RR|
5629 NPin|pin@25||-3|0|||RR|
5630 NPin|pin@26||0|-2|1|1|YRR|
5631 NPin|pin@27||0|-1|1|1|YRR|
5632 NPin|pin@28||-0.75|-1|1|1||
5633 NPin|pin@29||-0.75|1|1|1||
5634 NPin|pin@30||0|1|1|1||
5635 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5636 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5637 Nschematic:Bus_Pin|pin@33||-3|0|-2|-2||
5638 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5639 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5640 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5641 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5642 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5643 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5644 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5645 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5646 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5647 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5648 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5649 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5650 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5651 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5652 AThicker|net@14|||FS900|pin@29||-0.75|1|pin@28||-0.75|-1|ART_color()I10
5653 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5654 AThicker|net@16|||FS1800|pin@28||-0.75|-1|pin@27||0|-1|ART_color()I10
5655 AThicker|net@17|||FS1800|pin@25||-3|0|pin@24||-2.5|0|ART_color()I10
5656 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5657 AThicker|net@19|||FS0|pin@30||0|1|pin@29||-0.75|1|ART_color()I10
5658 AThicker|net@20|||FS900|pin@19||-1.5|1|pin@20||-1.5|-1|ART_color()I10
5659 Ed||D5G1;|pin@32||O
5660 Eg||D5G1;|pin@33||I
5661 Eg2||D5G1;|pin@31||I
5662 Eg3||D5G1;|pin@8||I
5663 X
5664
5665 # Cell pms3;1{sch}
5666 Cpms3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5667 IPMOS;1{ic}|PMOS@3||0|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5668 IPMOS;1{ic}|PMOS@4||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5669 IPMOS;1{ic}|PMOS@5||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5670 Ngeneric:Facet-Center|art@0||0|0||||AV
5671 NOff-Page|conn@0||-8.5|-1||||
5672 NOff-Page|conn@1||8.5|7|||YRR|
5673 NOff-Page|conn@2||8|-10.5||||
5674 NOff-Page|conn@3||-8.5|15||||
5675 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[three fixed-size P-type transistors to VDD]
5676 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
5677 NWire_Pin|pin@2||0|-10.5||||
5678 Ipms3;1{ic}|pms3@0||28|22.38|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1
5679 Ipms3a;1{ic}|pms3a@0||27.5|5.5|||D5G4;|ATTR_Delay(D5G1;NPX-12;Y12;)I100|ATTR_X(D5G1;NPX-12.25;Y13;)I1
5680 NPower|pwr@0||0|22||||
5681 Awire|net@0|||2700|pin@2||0|-10.5|PMOS@3|d|0|-3
5682 Awire|net@1|||0|PMOS@3|g|-3|-1|conn@0|y|-6.5|-1
5683 Awire|net@2|||2700|PMOS@3|s|0|1|PMOS@4|d|0|5
5684 Awire|net@3|||1800|pin@2||0|-10.5|conn@2|a|6|-10.5
5685 Awire|net@4|||0|conn@1|y|6.5|7|PMOS@4|g|3|7
5686 Awire|net@5|||2700|PMOS@4|s|0|9|PMOS@5|d|0|13
5687 Awire|net@6|||1800|conn@3|y|-6.5|15|PMOS@5|g|-3|15
5688 Awire|net@7|||900|pwr@0||0|22|PMOS@5|s|0|17
5689 Ed||D5G2;|conn@2|y|O
5690 Eg||D5G2;|conn@3|a|I
5691 Eg2||D5G2;|conn@1|a|I
5692 Eg3||D5G2;|conn@0|a|I
5693 X
5694
5695 # Cell pms3a;1{ic}
5696 Cpms3a;1{ic}|pms3|artwork|1021415734000|1228702104316|E|ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5697 Ngeneric:Facet-Center|art@0||0|0||||AV
5698 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5699 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5700 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5701 NPin|pin@0||0|-10|0.5|0.5||
5702 NPin|pin@1||-2.5|-8|||RR|
5703 NPin|pin@2||-3|-8|1|1|RR|
5704 NPin|pin@3||-1.5|-7|1|1|YRR|
5705 NPin|pin@4||-1.5|-9|1|1|YRR|
5706 NPin|pin@5||0|-9|0.5|0.5||
5707 NPin|pin@6||-0.75|-9|0.5|0.5||
5708 NPin|pin@7||-0.75|-7|0.5|0.5||
5709 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5710 NPin|pin@9||0|-3|1|1|YRR|
5711 NPin|pin@10||0.75|-3|1|1|YRR|
5712 NPin|pin@11||0.75|-5|1|1|YRR|
5713 NPin|pin@12||0|-5|1|1|YRR|
5714 NPin|pin@13||0|-7|||RR|
5715 NPin|pin@14||2.5|-4|1|1|RR|
5716 NPin|pin@15||3|-4|||RR|
5717 NPin|pin@16||0|-2|1|1|YRR|
5718 NPin|pin@17||1.5|-5|1|1|YRR|
5719 NPin|pin@18||1.5|-3|1|1|YRR|
5720 NPin|pin@19||1.5|1|1|1||
5721 NPin|pin@20||1.5|-1|1|1||
5722 NPin|pin@21||0.5|1.5|1|1||
5723 NPin|pin@22||-0.5|2.5||||
5724 NPin|pin@23||0|2|1|1||
5725 NPin|pin@24||3|0|1|1|RR|
5726 NPin|pin@25||2.5|0|||RR|
5727 NPin|pin@26||0|-2|1|1|YRR|
5728 NPin|pin@27||0|-1|1|1|YRR|
5729 NPin|pin@28||0.75|-1|1|1||
5730 NPin|pin@29||0.75|1|1|1||
5731 NPin|pin@30||0|1|1|1||
5732 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5733 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5734 Nschematic:Bus_Pin|pin@33||3|0|-2|-2||
5735 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5736 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5737 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5738 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5739 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5740 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5741 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5742 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5743 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5744 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5745 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5746 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5747 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5748 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5749 AThicker|net@14|||FS900|pin@29||0.75|1|pin@28||0.75|-1|ART_color()I10
5750 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5751 AThicker|net@16|||FS0|pin@28||0.75|-1|pin@27||0|-1|ART_color()I10
5752 AThicker|net@17|||FS1800|pin@25||2.5|0|pin@24||3|0|ART_color()I10
5753 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5754 AThicker|net@19|||FS1800|pin@30||0|1|pin@29||0.75|1|ART_color()I10
5755 AThicker|net@20|||FS900|pin@19||1.5|1|pin@20||1.5|-1|ART_color()I10
5756 Ed||D5G1;|pin@32||O
5757 Eg||D5G1;|pin@33||I
5758 Eg2||D5G1;|pin@31||I
5759 Eg3||D5G1;|pin@8||I
5760 X
5761
5762 # Cell triInv;1{ic}
5763 CtriInv;1{ic}||artwork|1092081409000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2;)S1|prototype_center()I[0,0]
5764 Ngeneric:Facet-Center|art@0||0|0||||AV
5765 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I10
5766 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5767 Nschematic:Bus_Pin|pin@0||0|-2||||
5768 Nschematic:Bus_Pin|pin@2||0|2||||
5769 Nschematic:Bus_Pin|pin@4||-2.5|0||||
5770 Nschematic:Bus_Pin|pin@6||2.5|0||||
5771 NPin|pin@15||1.5|0|1|1||
5772 NPin|pin@17||-1.5|0|1|1||
5773 NPin|pin@18||-2.5|0||||
5774 NPin|pin@20||-1.5|2|1|1||
5775 NPin|pin@21||-1.5|-2|1|1||
5776 NPin|pin@22||0|-1|1|1||
5777 NPin|pin@23||0|-2|1|1||
5778 NPin|pin@24||0|2|1|1||
5779 NPin|pin@25||0|1.5|1|1||
5780 AThicker|net@8|||FS3263|pin@15||1.5|0|pin@20||-1.5|2|ART_color()I10
5781 AThicker|net@9|||FS337|pin@15||1.5|0|pin@21||-1.5|-2|ART_color()I10
5782 AThicker|net@10|||FS0|pin@17||-1.5|0|pin@18||-2.5|0|ART_color()I10
5783 AThicker|net@11|||FS2700|pin@21||-1.5|-2|pin@20||-1.5|2|ART_color()I10
5784 AThicker|net@12|||FS2700|pin@23||0|-2|pin@22||0|-1|ART_color()I10
5785 AThicker|net@13|||FS2700|pin@25||0|1.5|pin@24||0|2|ART_color()I10
5786 Een||D5G1;|pin@0||I
5787 EenB||D5G1;|pin@2||I
5788 Ein||D5G1;|pin@4||I
5789 Eout||D5G1;|pin@6||O
5790 X
5791
5792 # Cell triInv;1{sch}
5793 CtriInv;1{sch}||schematic|1092081210000|1157998403442||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|prototype_center()I[0,0]
5794 Ngeneric:Facet-Center|art@0||0|0||||AV
5795 NOff-Page|conn@0||-13|0||||
5796 NOff-Page|conn@1||12|0||||
5797 NOff-Page|conn@2||12|4|||RR|
5798 NOff-Page|conn@3||12|-4|||RR|
5799 Inms2b;1{ic}|nms2@0||0|-8|||D5G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5800 NWire_Pin|pin@1||-6|0||||
5801 NWire_Pin|pin@2||-6|8||||
5802 NWire_Pin|pin@3||-6|-8||||
5803 NWire_Pin|pin@4||0|0||||
5804 Ngeneric:Invisible-Pin|pin@5||-4|17|||||ART_message(D5G5;)StriInv
5805 Ngeneric:Invisible-Pin|pin@6||-4|13|||||ART_message(D5G2;)Stristate inverter
5806 Ipms2;1{ic}|pms2@0||0|8|||D5G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5807 ItriInv;1{ic}|triInv@2||11|14|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2;)S1
5808 Awire|net@0|||2700|nms2@0|d|0|-2|pin@4||0|0
5809 Awire|net@1|||1800|conn@0|y|-11|0|pin@1||-6|0
5810 Awire|net@2|||2700|pin@1||-6|0|pin@2||-6|8
5811 Awire|net@3|||1800|pin@2||-6|8|pms2@0|g|-3|8
5812 Awire|net@4|||900|pin@1||-6|0|pin@3||-6|-8
5813 Awire|net@5|||1800|pin@3||-6|-8|nms2@0|g|-3|-8
5814 Awire|net@6|||0|conn@3|y|10|-4|nms2@0|g2|3|-4
5815 Awire|net@7|||0|conn@2|y|10|4|pms2@0|g2|3|4
5816 Awire|net@9|||0|conn@1|a|10|0|pin@4||0|0
5817 Awire|net@10|||2700|pin@4||0|0|pms2@0|d|0|2
5818 Een||D5G2;|conn@3|a|I
5819 EenB||D5G2;|conn@2|a|I
5820 Ein||D5G2;|conn@0|a|I
5821 Eout||D5G2;|conn@1|y|O
5822 X
5823
5824 # Cell xor2;1{ic}
5825 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5826 Ngeneric:Facet-Center|art@0||0|0||||AV
5827 NThick-Circle|art@1||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5828 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5829 NThick-Circle|art@3||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
5830 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I10|ART_degrees()I800
5831 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I10
5832 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I10
5833 Nschematic:Bus_Pin|pin@0||-3.5|-0.5|-2|-2||
5834 NPin|pin@1||-1.5|-0.5|1|1||
5835 NPin|pin@2||-3.5|-0.5||||
5836 Nschematic:Bus_Pin|pin@3||-3.5|1.5|-2|-2||
5837 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
5838 NPin|pin@5||-3.5|0.5||||
5839 NPin|pin@6||-2.5|0.5|1|1||
5840 NPin|pin@7||-1|-1.25|1|1||
5841 NPin|pin@8||-0.5|-1.75|1|1||
5842 NPin|pin@9||-2|1.5|1|1||
5843 NPin|pin@10||-3.5|1.5||||
5844 Ngeneric:Invisible-Pin|pin@11||-3.5|0.5||||
5845 NPin|pin@12||-3.5|-1.5||||
5846 NPin|pin@13||-3|-1.5|1|1||
5847 Ngeneric:Invisible-Pin|pin@14||-3.5|-1.5||||
5848 AThicker|net@0|||FS0|pin@1||-1.5|-0.5|pin@2||-3.5|-0.5|ART_color()I10
5849 AThicker|net@1|||FS0|pin@6||-2.5|0.5|pin@5||-3.5|0.5|ART_color()I10
5850 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
5851 AThicker|net@3|||FS0|pin@9||-2|1.5|pin@10||-3.5|1.5|ART_color()I10
5852 AThicker|net@4|||FS0|pin@13||-3|-1.5|pin@12||-3.5|-1.5|ART_color()I10
5853 Eina||D5G1;|pin@0||I
5854 EinaB||D5G1;|pin@14||I
5855 Einb||D5G1;|pin@3||I
5856 EinbB||D5G1;|pin@11||I
5857 Eout||D5G1;|pin@4||O
5858 X
5859
5860 # Cell xor2;1{sch}
5861 Cxor2;1{sch}||schematic|1021415734000|1157998393633||ATTR_Delay(D5G1;HNPX-22;Y-13.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-22;Y-12.5;)S1|ATTR_drive0(D5G1;HNPTX-22;Y-14.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-22;Y-15.5;)Sstrong1|prototype_center()I[0,0]
5862 Ngeneric:Facet-Center|art@0||0|0||||AV
5863 NOff-Page|conn@0||-17|4||||
5864 NOff-Page|conn@1||-17|-4|||Y|
5865 NOff-Page|conn@2||12|0||||
5866 NOff-Page|conn@3||25|-4|||RR|
5867 NOff-Page|conn@4||25|4|||YRR|
5868 Inms2b;1{ic}|nms2@0||-5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5869 Inms2b;1{ic}|nms2@1||5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5870 NWire_Pin|pin@0||-10|-8||||
5871 Ngeneric:Invisible-Pin|pin@1||-3|18|||||ART_message(D5G2;)S[one-parameter fixed-size XOR]
5872 NWire_Pin|pin@2||-12|10||||
5873 NWire_Pin|pin@3||-12|-4||||
5874 Ngeneric:Invisible-Pin|pin@4||0.5|24.5|||||ART_message(D5G6;)S[xor2]
5875 NWire_Pin|pin@5||-10|8||||
5876 NWire_Pin|pin@6||-5|0||||
5877 NWire_Pin|pin@7||-5|3.5||||
5878 NWire_Pin|pin@8||5|0||||
5879 NWire_Pin|pin@9||-10|4||||
5880 NWire_Pin|pin@10||18|4||||
5881 NWire_Pin|pin@11||18|-8||||
5882 NWire_Pin|pin@12||-12|-12||||
5883 NWire_Pin|pin@13||20|-4||||
5884 NWire_Pin|pin@14||20|-12||||
5885 NWire_Pin|pin@15||20|10||||
5886 NWire_Pin|pin@16||18|8||||
5887 NWire_Pin|pin@17||-1|10||||
5888 NWire_Pin|pin@18||1|12||||
5889 NWire_Pin|pin@19||1|10||||
5890 NWire_Pin|pin@20||-1|12||||
5891 Ipms2;1{ic}|pms2@0||-5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5892 Ipms2;1{ic}|pms2@1||5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5893 Ixor2;1{ic}|xor2@0||28.48|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5894 Awire|net@0|||2700|pin@3||-12|-4|pin@2||-12|10
5895 Awire|net@1|||0|pin@3||-12|-4|conn@1|y|-15|-4
5896 Awire|net@2|||2700|pin@6||-5|0|pms2@0|d|-5|6
5897 Awire|net@3|||2700|pin@6||-5|0|pin@7||-5|3.5
5898 Awire|net@4|||900|pin@7||-5|3.5|nms2@0|d|-5|-6
5899 Awire|net@5|||1800|pin@8||5|0|conn@2|a|10|0
5900 Awire|net@6|||1800|pin@6||-5|0|pin@8||5|0
5901 Awire|net@7|||900|pin@8||5|0|nms2@1|d|5|-6
5902 Awire|net@8|||2700|pin@0||-10|-8|pin@9||-10|4
5903 Awire|net@9|||2700|pin@9||-10|4|pin@5||-10|8
5904 Awire|net@10|||0|pin@9||-10|4|conn@0|y|-15|4
5905 Awire|net@11|||0|nms2@0|g2|-2|-8|pin@0||-10|-8
5906 Awire|net@12|||1800|pin@10||18|4|conn@4|y|23|4
5907 Awire|net@13|||2700|pin@11||18|-8|pin@10||18|4
5908 Awire|net@14|||1800|nms2@1|g2|8|-8|pin@11||18|-8
5909 Awire|net@15|||2700|pin@12||-12|-12|pin@3||-12|-4
5910 Awire|net@16|||0|nms2@0|g|-8|-12|pin@12||-12|-12
5911 Awire|net@17|||1800|pin@13||20|-4|conn@3|y|23|-4
5912 Awire|net@18|||2700|pin@14||20|-12|pin@13||20|-4
5913 Awire|net@19|||1800|nms2@1|g|2|-12|pin@14||20|-12
5914 Awire|net@20|||900|pin@15||20|10|pin@13||20|-4
5915 Awire|net@21|||0|pms2@0|g2|-2|8|pin@5||-10|8
5916 Awire|net@22|||2700|pin@8||5|0|pms2@1|d|5|6
5917 Awire|net@23|||900|pin@16||18|8|pin@10||18|4
5918 Awire|net@24|||1800|pms2@1|g2|8|8|pin@16||18|8
5919 Awire|net@25|||0|pin@17||-1|10|pin@2||-12|10
5920 Awire|net@26|||450|pin@18||1|12|pin@17||-1|10
5921 Awire|net@27|||0|pms2@1|g|2|12|pin@18||1|12
5922 Awire|net@28|||1800|pin@19||1|10|pin@15||20|10
5923 Awire|net@29|||1350|pin@20||-1|12|pin@19||1|10
5924 Awire|net@30|||1800|pms2@0|g|-8|12|pin@20||-1|12
5925 Eina||D5G2;|conn@0|a|I
5926 EinaB||D5G2;|conn@4|a|I
5927 Einb||D5G2;|conn@1|a|I
5928 EinbB||D5G2;|conn@3|a|I
5929 Eout||D5G2;|conn@2|y|O
5930 X