omegaCounter 40nm: adjust sizes to make it easier to use a single finger width
[fleet.git] / chips / omegaCounter / 40nm / electric / redFive.jelib
1 # header information:
2 HredFive|8.10h|USER_electrical_units()I70464
3
4 # Views:
5 Vicon|ic
6 Vschematic|sch
7
8 # External Libraries:
9
10 Lorange40nm|orange40nm
11
12 Lspiceparts|spiceparts
13
14 # Tools:
15 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
16 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
17 OSTA|GlobalSDCCommands()S"\n### 4 GHz clock setup\ncreate_clock -period 0.250 -name clk -waveform \"0 0.125\" clk\nset_clock_uncertainty -setup 0.010 clk\nset_clock_uncertainty -hold 0.010 clk\nset_propagated_clock clk\nset_clock_transition -rise 0.030 clk\nset_clock_transition -fall 0.030 clk\n#set_driving_cell -lib_cell inv_X008_0 clk\n\n### remove scan path from timing\nset_false_path -through */so\nset_false_path -from {sin}\nset_false_path -from {scanEn}\nset_false_path -to {sout}\n"
18
19 # Technologies:
20 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43
21 Tmocmos|SelectedFoundryFormocmos()STSMC
22
23 # Cell NMOS;1{ic}
24 CNMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[0,-8000]
25 Ngeneric:Facet-Center|art@0||0|0||||AV
26 Ngeneric:Invisible-Pin|pin@0||0|-2||||
27 NPin|pin@1||-1.5|0|1|1|RR|
28 NPin|pin@2||-3|0|||RR|
29 Nschematic:Bus_Pin|pin@3||-3|0|-2|-2||
30 Nschematic:Bus_Pin|pin@4||0|2|-2|-2||
31 NPin|pin@5||0|-2||||
32 NPin|pin@6||-1.5|1|1|1||
33 NPin|pin@7||-1.5|-1|1|1||
34 NPin|pin@8||0|-1||||
35 NPin|pin@9||-0.75|-1|1|1||
36 NPin|pin@10||-0.75|1|1|1||
37 NPin|pin@11||0|1||||
38 NPin|pin@12||0|2||||
39 AThicker|net@0|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I10
40 AThicker|net@1|||FS1800|pin@2||-3|0|pin@1||-1.5|0|ART_color()I10
41 AThicker|net@2|||FS900|pin@6||-1.5|1|pin@7||-1.5|-1|ART_color()I10
42 AThicker|net@3|||FS900|pin@8||0|-1|pin@5||0|-2|ART_color()I10
43 AThicker|net@4|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I10
44 AThicker|net@5|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I10
45 AThicker|net@6|||FS900|pin@12||0|2|pin@11||0|1|ART_color()I10
46 Ed||D5G1;|pin@4||B
47 Eg||D5G1;|pin@3||I
48 Es||D5G1;|pin@0||B
49 X
50
51 # Cell NMOS;2{sch}
52 CNMOS;2{sch}||schematic|1021415734000|1249583004567||ATTR_Delay(D5G1;HNPX-8.5;Y-14.75;)I100|ATTR_X(D5G1;HNPX-8.5;Y-11.25;)I1|prototype_center()I[0,0]
53 INMOS;1{ic}|NMOS@2||28.5|0|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-1;)S10|ATTR_X(D5G1.5;NOLPX4.5;Y1;)S1
54 Iorange40nm:NMOSf;1{ic}|NMOSf@1||0|-8|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;Y0.5;)S4|ATTR_M1(D5G1;NOLPX3.5;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX3.5;Y-3.5;)S@X <= 6 ? 1 : @X <= 12 ? 2 : @X <= 18 ? 3 : @X <= 24 ? 4 : @X <= 30 ? 5 : @X <= 36 ? 6 : @X <= 42 ? 7 : @X/6|ATTR_W(D5G1;NOJPX3.5;Y1.5;)S@X * 12
55 Ngeneric:Facet-Center|art@0||0|0||||AV
56 NOff-Page|conn@0||6|-16.5||||
57 NOff-Page|conn@1||5.5|0||||
58 NOff-Page|conn@2||-18.5|-8||||
59 NWire_Pin|pin@1||0|-16.5||||
60 NWire_Pin|pin@2||0|0||||
61 Ngeneric:Invisible-Pin|pin@3||0|11.5|||||ART_message(D5G6;)S[NMOS]
62 Ngeneric:Invisible-Pin|pin@5||-0.5|6|||||ART_message(D5G2;)Sstandard-threshold strength-based NMOS device
63 Awire|net@3|||1800|pin@1||0|-16.5|conn@0|a|4|-16.5
64 Awire|net@4|||1800|pin@2||0|0|conn@1|a|3.5|0
65 Awire|net@5|||900|pin@2||0|0|NMOSf@1|d|0|-6
66 Awire|net@6|||1800|conn@2|y|-16.5|-8|NMOSf@1|g|-3|-8
67 Awire|net@7|||2700|pin@1||0|-16.5|NMOSf@1|s|0|-10
68 Ed||D5G2;|conn@1|y|B
69 Eg||D5G2;|conn@2|a|I
70 Es||D5G2;|conn@0|y|B
71 X
72
73 # Cell PMOS;1{ic}
74 CPMOS;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX3.5;Y0.5;)I1|prototype_center()I[-8000,16000]
75 Ngeneric:Facet-Center|art@0||0|0||||AV
76 NThick-Circle|art@1||-2|0|1|1|RR||ART_color()I10
77 Ngeneric:Invisible-Pin|pin@0||0|2||||
78 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
79 Nschematic:Bus_Pin|pin@2||-3|0|-2|-2||
80 NPin|pin@3||0|1||||
81 NPin|pin@4||-0.75|1|1|1||
82 NPin|pin@5||-0.75|-1|1|1||
83 NPin|pin@6||0|-1||||
84 NPin|pin@7||0|-2||||
85 NPin|pin@8||-3|0|||RR|
86 NPin|pin@9||-2.5|0|1|1|RRR|
87 NPin|pin@10||0|2||||
88 NPin|pin@11||-1.5|1|1|1|Y|
89 NPin|pin@12||-1.5|-1|1|1|Y|
90 AThicker|net@0|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
91 AThicker|net@1|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
92 AThicker|net@2|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
93 AThicker|net@3|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
94 AThicker|net@4|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
95 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
96 AThicker|net@6|||FS2700|pin@12||-1.5|-1|pin@11||-1.5|1|ART_color()I10
97 Ed||D8G1;|pin@1||B
98 Eg||D6G1;|pin@2||I
99 Es||D2G1;|pin@0||B
100 X
101
102 # Cell PMOS;2{sch}
103 CPMOS;2{sch}||schematic|1021415734000|1253517339112||ATTR_Delay(D5G1;HNPX-8.5;Y1.25;)I100|ATTR_X(D5G1;HNPX-8.5;Y2.75;)I1|prototype_center()I[0,0]
104 IPMOS;1{ic}|PMOS@0||15.25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-1;)S10|ATTR_X(D5G1.5;NPX3.5;Y1;)I1
105 Iorange40nm:PMOSf;1{ic}|PMOSf@1||0|7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;Y0.5;)S4|ATTR_M1(D5G1;NOLPX3.5;Y-1.5;)S1|ATTR_NF(D5G1;NOLPX3.5;Y-0.5;)S@X <= 6 ? 1 : @X <= 12 ? 2 : @X <= 18 ? 3 : @X <= 24 ? 4 : @X <= 30 ? 5 : @X <= 36 ? 6 : @X <= 42 ? 7 : @X/6|ATTR_W(D6G1;NOJPX2;Y1.5;)S24*@X
106 Ngeneric:Facet-Center|art@0||0|0||||AV
107 NOff-Page|conn@0||5|11.5||||
108 NOff-Page|conn@1||-9.5|7||||
109 NOff-Page|conn@2||5|1||||
110 NWire_Pin|pin@1||0|11.5||||
111 NWire_Pin|pin@2||0|1||||
112 Ngeneric:Invisible-Pin|pin@3||-0.5|23.5|||||ART_message(D5G6;)S[PMOS]
113 Ngeneric:Invisible-Pin|pin@4||-0.5|18.5|||||ART_message(D5G2;)S3 terminal standard-threshold strength-based PMOS device
114 Awire|net@3|||1800|pin@1||0|11.5|conn@0|a|3|11.5
115 Awire|net@4|||0|conn@2|a|3|1|pin@2||0|1
116 Awire|net@5|||900|pin@1||0|11.5|PMOSf@1|s|0|9
117 Awire|net@6|||900|PMOSf@1|d|0|5|pin@2||0|1
118 Awire|net@7|||0|PMOSf@1|g|-3|7|conn@1|y|-7.5|7
119 Ed||D5G2;|conn@2|y|B
120 Eg||D5G2;|conn@1|a|I
121 Es||D5G2;|conn@0|y|B
122 X
123
124 # Cell hifidely;1{ic}
125 Chifidely;1{ic}||artwork|1046374423000|1046374607000|E|prototype_center()I[0,0]
126 Ngeneric:Facet-Center|art@0||0|0||||AV
127 NThick-Circle|art@1||3.5|0|2|2|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
128 NPin|pin@0||2|1|1|1||
129 NPin|pin@1||2|-1|1|1||
130 NPin|pin@2||3|-1|1|1||
131 NPin|pin@3||3|1|1|1||
132 NPin|pin@4||3.5|-1|1|1||
133 NPin|pin@5||-4|-1|1|1||
134 NPin|pin@6||-4|1|1|1||
135 NPin|pin@7||3.5|1|1|1||
136 Nschematic:Bus_Pin|pin@8||4.5|0||||
137 Nschematic:Bus_Pin|pin@9||-4|0||||
138 AThicker|net@0|||FS2700|pin@1||2|-1|pin@0||2|1|ART_color()I10
139 AThicker|net@1|||FS2700|pin@2||3|-1|pin@3||3|1|ART_color()I10
140 AThicker|net@2|||FS0|pin@4||3.5|-1|pin@5||-4|-1|ART_color()I10
141 AThicker|net@3|||FS2700|pin@5||-4|-1|pin@6||-4|1|ART_color()I10
142 AThicker|net@4|||FS1800|pin@6||-4|1|pin@7||3.5|1|ART_color()I10
143 Ein||D5G2;|pin@9||I
144 Eout||D5G2;|pin@8||O
145 X
146
147 # Cell hifidely;1{sch}
148 Chifidely;1{sch}||schematic|1046374013000|1103844568483||prototype_center()I[0,0]
149 Ispiceparts:Transmission;1{ic}|Transmis@0||-1|-1|||D0G4;|ATTR_Delay(D5G0.5;NPY-1;)S500ps|ATTR_z0(D5G0.5;NPY1;)I50
150 Ispiceparts:VCVS;1{ic}|VCVS@0||16.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
151 Ispiceparts:VCVS;1{ic}|VCVS@1||-14.5|-1|||D0G4;|ATTR_Gain(D5G0.5;NPY1;)I1|ATTR_Maximum(D5G0.5;NPY-1;)S10V|ATTR_Minimum(D5G0.5;NP)S-10V
152 Ngeneric:Facet-Center|art@0||0|0||||AV
153 NOff-Page|conn@0||27|1||||
154 NOff-Page|conn@1||-28|4||||
155 NGround|gnd@0||24|-8||||
156 NGround|gnd@1||-24|-8.5||||
157 NGround|gnd@2||8|-8||||
158 NGround|gnd@3||-7.5|-8||||
159 Ihifidely;1{ic}|hifidely@0||16.5|13.5|||D0G4;
160 NWire_Pin|pin@0||-21|1||||
161 NWire_Pin|pin@1||-21|4||||
162 NWire_Pin|pin@3||-24|4||||
163 NWire_Pin|pin@5||24|-3||||
164 NWire_Pin|pin@6||8|3.25||||
165 NWire_Pin|pin@8||10|3.25||||
166 NWire_Pin|pin@9||5.5|3.25||||
167 NWire_Pin|pin@24||-24|-3||||
168 NWire_Pin|pin@25||-7.5|-3||||
169 NWire_Pin|pin@26||5.5|1||||
170 NWire_Pin|pin@27||10|1||||
171 NWire_Pin|pin@28||8|-3||||
172 NResistor|res@0||-24|0|||R||SCHEM_resistance(D5G1;)I1000000
173 NResistor|res@1||8|0|||R||SCHEM_resistance(D5G1;)I50
174 Awire|net@1|||2700|pin@0||-21|1|pin@1||-21|4
175 Awire|net@2|||0|pin@1||-21|4|pin@3||-24|4
176 Awire|net@5|||0|pin@3||-24|4|conn@1|y|-26|4
177 Awire|net@11|||900|pin@5||24|-3|gnd@0||24|-6
178 Awire|net@13|||900|pin@6||8|3.25|res@1|b|8|2
179 Awire|net@14|||0|pin@8||10|3.25|pin@6||8|3.25
180 Awire|net@15|||0|pin@6||8|3.25|pin@9||5.5|3.25
181 Awire|net@24|||1800|pin@25||-7.5|-3|Transmis@0|b|-6|-3
182 Awire|net@25|||1800|VCVS@1|x|-9.5|1|Transmis@0|a|-6|1
183 Awire|net@27|||0|pin@28||8|-3|Transmis@0|y|4|-3
184 Awire|net@28|||2700|res@0|b|-24|2|pin@3||-24|4
185 Awire|net@29|||2700|gnd@1||-24|-6.5|pin@24||-24|-3
186 Awire|net@30|||900|pin@28||8|-3|gnd@2||8|-6
187 Awire|net@31|||2700|pin@24||-24|-3|res@0|a|-24|-2
188 Awire|net@32|||0|VCVS@1|b|-19.5|-3|pin@24||-24|-3
189 Awire|net@33|||1800|pin@0||-21|1|VCVS@1|a|-19.5|1
190 Awire|net@34|||1800|VCVS@1|y|-9.5|-3|pin@25||-7.5|-3
191 Awire|net@36|||1800|Transmis@0|x|4|1|pin@26||5.5|1
192 Awire|net@38|||1800|pin@27||10|1|VCVS@0|a|11.5|1
193 Awire|net@40|||900|pin@8||10|3.25|pin@27||10|1
194 Awire|net@41|||900|pin@9||5.5|3.25|pin@26||5.5|1
195 Awire|net@42|||2700|gnd@3||-7.5|-6|pin@25||-7.5|-3
196 Awire|net@43|||0|pin@5||24|-3|VCVS@0|y|21.5|-3
197 Awire|net@44|||0|conn@0|a|25|1|VCVS@0|x|21.5|1
198 Awire|net@45|||900|res@1|a|8|-2|pin@28||8|-3
199 Awire|net@46|||0|VCVS@0|b|11.5|-3|pin@28||8|-3
200 Ein||D5G2;|conn@1|y|I
201 Eout||D5G2;|conn@0|y|O
202 X
203
204 # Cell inv;1{ic}
205 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
206 Ngeneric:Facet-Center|art@0||0|0||||AV
207 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
208 NPin|pin@0||1.5|0|1|1||
209 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
210 NPin|pin@2||-1.5|0|1|1||
211 NPin|pin@3||-2.5|0||||
212 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
213 NPin|pin@5||-1.5|2|1|1||
214 NPin|pin@6||-1.5|-2|1|1||
215 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
216 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
217 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I10
218 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
219 Ein||D5G1;|pin@1||I
220 Eout||D5G1;|pin@4||O
221 X
222
223 # Cell inv;1{sch}
224 Cinv;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-11;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
225 INMOS;1{ic}|NMOS@1||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
226 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
227 Ngeneric:Facet-Center|art@0||0|0||||AV
228 NOff-Page|conn@0||19|0||||
229 NOff-Page|conn@1||-17.5|0||||
230 NGround|gnd@0||0|-12||||
231 Iinv;1{ic}|inv@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
232 IinvI;2{ic}|inv@1||25|7|||D5G4;|ATTR_Delay(D5G1;NPX1.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.25;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
233 NWire_Pin|pin@0||-4|0||||
234 NWire_Pin|pin@1||0|0||||
235 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
236 Ngeneric:Invisible-Pin|pin@3||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
237 Ngeneric:Invisible-Pin|pin@4||0|18.5|||||ART_message(D5G2;)S[one-parameter fixed size (non-LE) inverter]
238 Ngeneric:Invisible-Pin|pin@5||0.5|22|||||ART_message(D5G6;)S[inv]
239 NWire_Pin|pin@6||-4|6||||
240 NWire_Pin|pin@7||-4|-5||||
241 NPower|pwr@0||0|11.5||||
242 Awire|net@0|||0|conn@0|a|17|0|pin@1||0|0
243 Awire|net@1|||0|pin@0||-4|0|conn@1|y|-15.5|0
244 Awire|net@2|||900|pin@6||-4|6|pin@0||-4|0
245 Awire|net@3|||900|pin@0||-4|0|pin@7||-4|-5
246 Awire|net@4|||2700|gnd@0||0|-10|NMOS@1|s|0|-7
247 Awire|net@5|||2700|NMOS@1|d|0|-3|pin@1||0|0
248 Awire|net@6|||0|NMOS@1|g|-3|-5|pin@7||-4|-5
249 Awire|net@7|||2700|PMOS@1|s|0|8|pwr@0||0|11.5
250 Awire|net@8|||0|PMOS@1|g|-3|6|pin@6||-4|6
251 Awire|net@9|||2700|pin@1||0|0|PMOS@1|d|0|4
252 Ein||D5G2;|conn@1|a|I
253 Eout||D5G2;|conn@0|y|O
254 X
255
256 # Cell inv2i;1{ic}
257 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
258 Ngeneric:Facet-Center|art@0||0|0||||AV
259 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
260 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
261 NPin|pin@0||-1.5|-1|1|1||
262 NPin|pin@1||-2.5|-1||||
263 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
264 NPin|pin@3||-1.5|-2|1|1||
265 NPin|pin@4||-1.5|2|1|1||
266 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
267 NPin|pin@6||-2.5|1||||
268 NPin|pin@7||-1.5|1|1|1||
269 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
270 NPin|pin@9||1.5|0|1|1||
271 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
272 AThicker|net@1|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
273 AThicker|net@2|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I10
274 AThicker|net@3|||FS337|pin@9||1.5|0|pin@3||-1.5|-2|ART_color()I10
275 AThicker|net@4|||FS3263|pin@9||1.5|0|pin@4||-1.5|2|ART_color()I10
276 Ein[n]||D5G1;|pin@2||I
277 Ein[p]||D5G1;|pin@8||I
278 Eout||D5G1;|pin@5||O
279 X
280
281 # Cell inv2i;1{sch}
282 Cinv2i;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-13.25;Y-11.25;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.25;Y-10.25;)S1|ATTR_drive0(D5G1;HNPTX-13.25;Y-12.25;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.25;Y-13.25;)Sstrong1|prototype_center()I[0,0]
283 INMOS;1{ic}|NMOS@1||0|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
284 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
285 Ngeneric:Facet-Center|art@0||0|0||||AV
286 NOff-Page|conn@0||-17.5|-5||||
287 NOff-Page|conn@1||-17.5|6||||
288 NOff-Page|conn@2||19|0||||
289 NGround|gnd@0||0|-12||||
290 Iinv2i;1{ic}|inv2i@0||25|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
291 Ngeneric:Invisible-Pin|pin@0||0.5|22|||||ART_message(D5G6;)S[inv2i]
292 Ngeneric:Invisible-Pin|pin@1||0|18.5|||||ART_message(D5G2;)S[two-input inverter]
293 Ngeneric:Invisible-Pin|pin@2||28.5|-6|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
294 Ngeneric:Invisible-Pin|pin@3||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
295 NWire_Pin|pin@4||0|0||||
296 NPower|pwr@0||0|11.5||||
297 Awire|net@0|||0|PMOS@1|g|-3|6|conn@1|y|-15.5|6
298 Awire|net@1|||1800|conn@0|y|-15.5|-5|NMOS@1|g|-3|-5
299 Awire|net@2|||1800|pin@4||0|0|conn@2|a|17|0
300 Awire|net@3|||900|pwr@0||0|11.5|PMOS@1|s|0|8
301 Awire|net@4|||2700|pin@4||0|0|PMOS@1|d|0|4
302 Awire|net@5|||2700|gnd@0||0|-10|NMOS@1|s|0|-7
303 Awire|net@6|||900|pin@4||0|0|NMOS@1|d|0|-3
304 Ein[n]||D5G2;|conn@0|a|I
305 Ein[p]||D5G2;|conn@1|a|I
306 Eout||D5G2;|conn@2|y|O
307 X
308
309 # Cell inv2iCTLn;1{ic}
310 Cinv2iCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
311 Ngeneric:Facet-Center|art@0||0|0||||AV
312 NThick-Circle|art@1||-2|1|1|1|||ART_color()I10
313 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
314 Ngeneric:Invisible-Pin|pin@0||-2.5|1||||
315 NPin|pin@1||-1.5|-1|1|1|R|
316 NPin|pin@2||-2.5|-1|1|1|R|
317 Ngeneric:Invisible-Pin|pin@3||0|-2||||
318 Ngeneric:Invisible-Pin|pin@4||0|0|||||ART_message(D5G1.5;)S[CTLn]
319 NPin|pin@5||1.5|0|0.5|0.5||
320 NPin|pin@6||-1.5|-2|0.5|0.5||
321 NPin|pin@7||-1.5|2|0.5|0.5||
322 Ngeneric:Invisible-Pin|pin@8||2.5|0||||
323 Ngeneric:Invisible-Pin|pin@9||-2.5|-1||||
324 NPin|pin@10||0|-2|1|1|RR|
325 NPin|pin@11||0|-1|1|1|RR|
326 AThicker|net@0|||FS1800|pin@2||-2.5|-1|pin@1||-1.5|-1|ART_color()I10
327 AThicker|net@1|||FS2137|pin@6||-1.5|-2|pin@5||1.5|0|ART_color()I10
328 AThicker|net@2|||FS3263|pin@5||1.5|0|pin@7||-1.5|2|ART_color()I10
329 AThicker|net@3|||FS900|pin@7||-1.5|2|pin@6||-1.5|-2|ART_color()I10
330 AThicker|net@4|||FS2700|pin@10||0|-2|pin@11||0|-1|ART_color()I10
331 Ectl||D5G2;|pin@3||I
332 EinN||D5G2;|pin@9||I
333 EinP||D5G2;|pin@0||I
334 Eout||D5G2;|pin@8||O
335 X
336
337 # Cell inv2iCTLn;1{sch}
338 Cinv2iCTLn;1{sch}||schematic|993433994000|1248729331835||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
339 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
340 INMOS;1{ic}|NMOS@2||0|9|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
341 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
342 Ngeneric:Facet-Center|art@0||0|0||||AV
343 NOff-Page|conn@0||-11|22||||
344 NOff-Page|conn@1||-12|9||||
345 NOff-Page|conn@2||-12|0.5||||
346 NOff-Page|conn@3||12.5|16||||
347 NGround|gnd@0||0|-6.5||||
348 Iinv2iCTLn;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
349 NWire_Pin|pin@0||0|20.5||||
350 NWire_Pin|pin@1||-2.5|22||||
351 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[inv2iCTLn]
352 NWire_Pin|pin@3||0|16||||
353 NPower|pwr@0||0|28||||
354 Awire|net@0|||0|PMOS@1|g|-3|22|conn@0|y|-9|22
355 Awire|net@1|||0|NMOS@1|g|-3|0.5|conn@2|y|-10|0.5
356 Awire|net@2|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
357 Awire|net@3|||1800|PMOS@1|g|-3|22|pin@1||-2.5|22
358 Awire|net@4|||900|pwr@0||0|28|PMOS@1|s|0|24
359 Awire|net@5|||2700|PMOS@1|d|0|20|pin@0||0|20.5
360 Awire|net@6|||1800|pin@3||0|16|conn@3|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
361 Awire|net@7|||2700|NMOS@1|d|0|2.5|NMOS@2|s|0|7
362 Awire|net@8|||900|pin@3||0|16|NMOS@2|d|0|11
363 Awire|net@9|||900|PMOS@1|d|0|20|pin@3||0|16
364 Awire|net@10|||0|NMOS@2|g|-3|9|conn@1|y|-10|9
365 Ectl||D5G2;X-4;|conn@1|y|I
366 EinN||D5G2;|conn@2|a|I
367 EinP||D4G2;|conn@0|a|I
368 Eout||D5G2;|conn@3|y|O
369 X
370
371 # Cell inv2iCTLp;1{ic}
372 Cinv2iCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|prototype_center()I[0,0]
373 Ngeneric:Facet-Center|art@0||0|0||||AV
374 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
375 NThick-Circle|art@2||0|-1.5|1|1|||ART_color()I10
376 NThick-Circle|art@3||-2|1|1|1|||ART_color()I10
377 Ngeneric:Invisible-Pin|pin@0||-2.5|-1||||
378 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
379 NPin|pin@2||-1.5|2|0.5|0.5||
380 NPin|pin@3||-1.5|-2|0.5|0.5||
381 NPin|pin@4||1.5|0|0.5|0.5||
382 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLp]
383 Ngeneric:Invisible-Pin|pin@6||0|-2||||
384 NPin|pin@7||-2.5|-1|1|1|R|
385 NPin|pin@8||-1.5|-1|1|1|R|
386 Ngeneric:Invisible-Pin|pin@9||-2.5|1||||
387 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
388 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
389 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
390 AThicker|net@3|||FS1800|pin@7||-2.5|-1|pin@8||-1.5|-1|ART_color()I10
391 Ectl||D5G2;|pin@6||I
392 EinN||D5G2;|pin@0||I
393 EinP||D5G2;|pin@9||I
394 Eout||D5G2;|pin@1||O
395 X
396
397 # Cell inv2iCTLp;1{sch}
398 Cinv2iCTLp;1{sch}||schematic|993433994000|1248729232899||ATTR_X(D5G2;HNPX-19;Y-5;)I1|prototype_center()I[0,0]
399 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
400 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
401 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I175|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
402 Ngeneric:Facet-Center|art@0||0|0||||AV
403 NOff-Page|conn@0||12.5|8||||
404 NOff-Page|conn@1||-12|0.5||||
405 NOff-Page|conn@2||-11|15||||
406 NOff-Page|conn@3||-11|22||||
407 NGround|gnd@0||0|-6.5||||
408 Iinv2iCTLp;1{ic}|inv2iCTL@0||15|27.75|||D0G4;|ATTR_X(D5G1.5;NPX1.5;Y2;)I2
409 NWire_Pin|pin@0||0|8||||
410 Ngeneric:Invisible-Pin|pin@1||0|33|||||ART_message(D5G3;)S[inv2iCTLp]
411 NWire_Pin|pin@2||-2.5|22||||
412 NWire_Pin|pin@3||0|20.5||||
413 NPower|pwr@0||0|28||||
414 Awire|net@0|||1800|pin@0||0|8|conn@0|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
415 Awire|net@1|||2700|PMOS@1|d|0|20|pin@3||0|20.5
416 Awire|net@2|||900|pwr@0||0|28|PMOS@1|s|0|24
417 Awire|net@3|||1800|PMOS@1|g|-3|22|pin@2||-2.5|22
418 Awire|net@4|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
419 Awire|net@5|||900|pin@0||0|8|NMOS@1|d|0|2.5
420 Awire|net@6|||900|PMOS@1|d|0|20|PMOS@2|s|0|17
421 Awire|net@7|||2700|pin@0||0|8|PMOS@2|d|0|13
422 Awire|net@8|||0|PMOS@2|g|-3|15|conn@2|y|-9|15
423 Awire|net@9|||0|NMOS@1|g|-3|0.5|conn@1|y|-10|0.5
424 Awire|net@10|||0|PMOS@1|g|-3|22|conn@3|y|-9|22
425 Ectl||D5G2;X-4;|conn@2|y|I
426 EinN||D5G2;|conn@1|a|I
427 EinP||D4G2;|conn@3|a|I
428 Eout||D5G2;|conn@0|y|O
429 X
430
431 # Cell inv2iHT;1{ic}
432 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
433 Ngeneric:Facet-Center|art@0||0|0||||AV
434 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
435 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
436 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
437 NPin|pin@0||-1.5|-1|1|1||
438 NPin|pin@1||-2.5|-1||||
439 Nschematic:Bus_Pin|pin@2||-2.5|1||||
440 Nschematic:Bus_Pin|pin@3||-2.5|-1||||
441 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
442 NPin|pin@5||-1.5|-2|1|1||
443 NPin|pin@6||-1.5|2|1|1||
444 NPin|pin@7||-2.5|1||||
445 NPin|pin@8||-1.5|1|1|1||
446 NPin|pin@9||1.5|0|1|1||
447 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I10
448 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I10
449 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I10
450 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I10
451 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@7||-2.5|1|ART_color()I10
452 Ein[n]||D5G1;|pin@3||I
453 Ein[p]||D5G1;|pin@2||I
454 Eout||D5G1;|pin@4||O
455 X
456
457 # Cell inv2iHT;1{sch}
458 Cinv2iHT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-14.5;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-14.5;Y-10.5;)S1|ATTR_drive0(D5G1;HNPTX-14.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-13.5;)Sstrong1|prototype_center()I[0,0]
459 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
460 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
461 Ngeneric:Facet-Center|art@0||0|0||||AV
462 NOff-Page|conn@0||-13|-6||||
463 NOff-Page|conn@1||-13.5|6||||
464 NOff-Page|conn@2||8|0||||
465 NGround|gnd@0||0|-12.5||||
466 Iinv2iHT;1{ic}|inv2iHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
467 Ngeneric:Invisible-Pin|pin@4||-1|24|||||ART_message(D5G6;)S[inv2iHT]
468 Ngeneric:Invisible-Pin|pin@5||0|19|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
469 NWire_Pin|pin@6||0|0||||
470 Ngeneric:Invisible-Pin|pin@7||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
471 Ngeneric:Invisible-Pin|pin@8||25|-9|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
472 NPower|pwr@0||0|12.5||||
473 Awire|net@8|||900|NMOS@1|s|0|-8|gnd@0||0|-10.5
474 Awire|net@9|||900|pin@6||0|0|NMOS@1|d|0|-4
475 Awire|net@10|||2700|PMOS@1|s|0|8|pwr@0||0|12.5
476 Awire|net@11|||2700|pin@6||0|0|PMOS@1|d|0|4
477 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
478 Awire|net@17|||0|PMOS@1|g|-3|6|conn@1|y|-11.5|6
479 Awire|net@18|||1800|conn@0|y|-11|-6|NMOS@1|g|-3|-6
480 Ein[n]||D5G2;|conn@0|a|I
481 Ein[p]||D5G2;|conn@1|a|I
482 Eout||D5G2;|conn@2|y|O
483 X
484
485 # Cell inv2iLT;1{ic}
486 Cinv2iLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
487 Ngeneric:Facet-Center|art@0||0|0||||AV
488 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
489 NOpened-Thicker-Polygon|art@2||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
490 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
491 NPin|pin@0||-2.5|-1||||
492 NPin|pin@1||-1.5|-1|1|1||
493 Nschematic:Bus_Pin|pin@2||-2.5|-1||||
494 NPin|pin@3||1.5|0|1|1||
495 NPin|pin@4||-1.5|1|1|1||
496 NPin|pin@5||-2.5|1||||
497 NPin|pin@6||-1.5|2|1|1||
498 NPin|pin@7||-1.5|-2|1|1||
499 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
500 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
501 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I10
502 AThicker|net@1|||FS0|pin@4||-1.5|1|pin@5||-2.5|1|ART_color()I10
503 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
504 AThicker|net@3|||FS337|pin@3||1.5|0|pin@7||-1.5|-2|ART_color()I10
505 AThicker|net@4|||FS3263|pin@3||1.5|0|pin@6||-1.5|2|ART_color()I10
506 Ein[n]||D5G1;|pin@2||I
507 Ein[p]||D5G1;|pin@8||I
508 Eout||D5G1;|pin@9||O
509 X
510
511 # Cell inv2iLT;1{sch}
512 Cinv2iLT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-13;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-12;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-14;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-15;)Sstrong1|prototype_center()I[0,0]
513 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
514 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
515 Ngeneric:Facet-Center|art@0||0|0||||AV
516 NOff-Page|conn@0||-14|-6||||
517 NOff-Page|conn@1||-14.5|6||||
518 NOff-Page|conn@2||8|0||||
519 NGround|gnd@0||0|-12.5||||
520 Iinv2iLT;1{ic}|inv2iLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
521 NWire_Pin|pin@2||-3|6||||
522 Ngeneric:Invisible-Pin|pin@4||25|-10|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
523 Ngeneric:Invisible-Pin|pin@5||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
524 NWire_Pin|pin@6||0|0||||
525 Ngeneric:Invisible-Pin|pin@7||0|19|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
526 Ngeneric:Invisible-Pin|pin@8||-1|24|||||ART_message(D5G6;)S[inv2iLT]
527 NPower|pwr@0||0|12.5||||
528 Awire|net@5|||1800|pin@2||-3|6|PMOS@1|g|-3|6
529 Awire|net@8|||900|pwr@0||0|12.5|PMOS@1|s|0|8
530 Awire|net@9|||2700|pin@6||0|0|PMOS@1|d|0|4
531 Awire|net@10|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
532 Awire|net@11|||900|pin@6||0|0|NMOS@1|d|0|-4
533 Awire|net@12|||0|conn@2|a|6|0|pin@6||0|0
534 Awire|net@16|||1800|conn@1|y|-12.5|6|PMOS@1|g|-3|6
535 Awire|net@17|||1800|conn@0|y|-12|-6|NMOS@1|g|-3|-6
536 Ein[n]||D5G2;|conn@0|a|I
537 Ein[p]||D5G2;|conn@1|a|I
538 Eout||D5G2;|conn@2|y|O
539 X
540
541 # Cell inv2iV;1{ic}
542 Cinv2iV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
543 Ngeneric:Facet-Center|art@0||0|0||||AV
544 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
545 NThick-Circle|art@2||-1|1|1|1|||ART_color()I10
546 NOpened-Thicker-Polygon|art@3||-0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
547 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
548 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
549 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
550 NPin|pin@3||-1.5|-2|1|1||
551 NPin|pin@4||-1.5|2|1|1||
552 NPin|pin@5||-2.5|-1||||
553 NPin|pin@6||-1.5|-1|1|1||
554 NPin|pin@7||1.5|0|1|1||
555 NPin|pin@8||-1.5|1|1|1||
556 NPin|pin@9||-2.5|1||||
557 AThicker|net@0|||FS0|pin@6||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
558 AThicker|net@1|||FS3263|pin@7||1.5|0|pin@4||-1.5|2|ART_color()I10
559 AThicker|net@2|||FS337|pin@7||1.5|0|pin@3||-1.5|-2|ART_color()I10
560 AThicker|net@3|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
561 AThicker|net@4|||FS0|pin@8||-1.5|1|pin@9||-2.5|1|ART_color()I10
562 Ein[n]||D5G1;|pin@0||I
563 Ein[p]||D5G1;|pin@2||I
564 Eout||D5G1;|pin@1||O
565 X
566
567 # Cell inv2iV;1{sch}
568 Cinv2iV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-16;Y-12;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-16;Y-10;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-16;Y-11;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-13;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-14;)Sstrong1|prototype_center()I[0,0]
569 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
570 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
571 Ngeneric:Facet-Center|art@0||0|0||||AV
572 NOff-Page|conn@0||-12|-6||||
573 NOff-Page|conn@1||7|0||||
574 NOff-Page|conn@2||-12|6||||
575 NGround|gnd@0||0|-12||||
576 Iinv2iV;1{ic}|inv2iV@0||18.5|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
577 NWire_Pin|pin@0||0|0||||
578 Ngeneric:Invisible-Pin|pin@1||-1.5|21|||||ART_message(D5G6;)S[inv2iV]
579 Ngeneric:Invisible-Pin|pin@2||-0.5|16.5|||||ART_message(D5G2;)S[two-parameter two-input variable ratio inverter]
580 Ngeneric:Invisible-Pin|pin@3||25|-12.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
581 NPower|pwr@0||0|10.5||||
582 Awire|net@0|||0|NMOS@1|g|-3|-6|conn@0|y|-10|-6
583 Awire|net@1|||0|PMOS@1|g|-3|6|conn@2|y|-10|6
584 Awire|net@2|||0|conn@1|a|5|0|pin@0||0|0
585 Awire|net@3|||900|pin@0||0|0|NMOS@1|d|0|-4
586 Awire|net@4|||2700|pin@0||0|0|PMOS@1|d|0|4
587 Awire|net@5|||900|NMOS@1|s|0|-8|gnd@0||0|-10
588 Awire|net@6|||2700|PMOS@1|s|0|8|pwr@0||0|10.5
589 Ein[n]||D5G2;|conn@0|a|I
590 Ein[p]||D5G2;|conn@2|a|I
591 Eout||D5G2;|conn@1|y|O
592 X
593
594 # Cell invCLK;1{ic}
595 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
596 Ngeneric:Facet-Center|art@0||0|0||||AV
597 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
598 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I10|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
599 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
600 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
601 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
602 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
603 NPin|pin@2||-1.5|-2|1|1||
604 NPin|pin@3||-1.5|2|1|1||
605 NPin|pin@4||-2.5|0||||
606 NPin|pin@5||-1.5|0|1|1||
607 NPin|pin@6||1.5|0|1|1||
608 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
609 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
610 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
611 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
612 Ein||D5G1;|pin@1||I
613 Eout||D5G1;|pin@0||O
614 X
615
616 # Cell invCLK;1{sch}
617 CinvCLK;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX28.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
618 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
619 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.5
620 Ngeneric:Facet-Center|art@0||0|0||||AV
621 NOff-Page|conn@0||8|0||||
622 NOff-Page|conn@1||-11|0||||
623 NGround|gnd@0||0|-12.5||||
624 IinvCLK;1{ic}|invCLK@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
625 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S[intended for driving clock circuits - gives nearly equal rise/fall]
626 Ngeneric:Invisible-Pin|pin@1||-1|28|||||ART_message(D5G6;)S[invCLK]
627 Ngeneric:Invisible-Pin|pin@2||0|23|||||ART_message(D5G2;)S[medium HI-threshold fixed-size (non-LE) inverter]
628 NWire_Pin|pin@3||0|0||||
629 NWire_Pin|pin@4||-4|6||||
630 NWire_Pin|pin@5||-4|-6||||
631 NWire_Pin|pin@6||-4|0||||
632 Ngeneric:Invisible-Pin|pin@7||1|21|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
633 Ngeneric:Invisible-Pin|pin@8||28|-10.5|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
634 NPower|pwr@0||0|12.5||||
635 Awire|net@0|||0|conn@0|a|6|0|pin@3||0|0
636 Awire|net@1|||2700|pin@6||-4|0|pin@4||-4|6
637 Awire|net@2|||2700|pin@5||-4|-6|pin@6||-4|0
638 Awire|net@3|||0|pin@6||-4|0|conn@1|y|-9|0
639 Awire|net@4|||1800|pin@5||-4|-6|NMOS@1|g|-3|-6
640 Awire|net@5|||900|pin@3||0|0|NMOS@1|d|0|-4
641 Awire|net@6|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
642 Awire|net@7|||2700|pin@3||0|0|PMOS@1|d|0|4
643 Awire|net@8|||1800|pin@4||-4|6|PMOS@1|g|-3|6
644 Awire|net@9|||900|pwr@0||0|12.5|PMOS@1|s|0|8
645 Ein||D5G2;|conn@1|a|I
646 Eout||D5G2;|conn@0|y|O
647 X
648
649 # Cell invCTLn;1{ic}
650 CinvCTLn;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_sloDelay(D5G1;HNPX4.5;Y-3;)I175|prototype_center()I[0,0]
651 Ngeneric:Facet-Center|art@0||0|0||||AV
652 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
653 Ngeneric:Invisible-Pin|pin@0||-2.5|0||||
654 Ngeneric:Invisible-Pin|pin@1||2.5|0||||
655 NPin|pin@2||-1.5|2|0.5|0.5||
656 NPin|pin@3||-1.5|-2|0.5|0.5||
657 NPin|pin@4||1.5|0|0.5|0.5||
658 Ngeneric:Invisible-Pin|pin@5||0|0|||||ART_message(D5G1.5;)S[CTLn]
659 Ngeneric:Invisible-Pin|pin@6||0|-2||||
660 NPin|pin@7||0|-2|1|1||
661 NPin|pin@8||0|-1|1|1||
662 NPin|pin@9||-2.5|0|1|1|R|
663 NPin|pin@10||-1.5|0|1|1|R|
664 AThicker|net@0|||FS900|pin@2||-1.5|2|pin@3||-1.5|-2|ART_color()I10
665 AThicker|net@1|||FS3263|pin@4||1.5|0|pin@2||-1.5|2|ART_color()I10
666 AThicker|net@2|||FS2137|pin@3||-1.5|-2|pin@4||1.5|0|ART_color()I10
667 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I10
668 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
669 Ectl||D5G2;|pin@6||I
670 Ein||D5G2;|pin@0||I
671 Eout||D5G2;|pin@1||O
672 X
673
674 # Cell invCTLn;1{sch}
675 CinvCTLn;1{sch}||schematic|993433994000|1248729331835||ATTR_Delay(D5G2;HNPX-21.5;Y1;)I100|ATTR_X(D5FLeave alone;G2;HNOLPX-21.5;Y4;)S1|ATTR_sloDelay(D5G2;HNPX-22;Y-1.5;)I175|prototype_center()I[0,0]
676 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
677 INMOS;1{ic}|NMOS@2||0|9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@sloDelay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
678 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
679 Ngeneric:Facet-Center|art@0||0|0||||AV
680 NOff-Page|conn@0||12.5|16||||
681 NOff-Page|conn@1||-12|16||||
682 NOff-Page|conn@2||-13|9.5||||
683 NGround|gnd@0||0|-6.5||||
684 IinvCTLn;1{ic}|invCTLn@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S2|ATTR_sloDelay(D5G1;NPX4.5;Y-3;)I175
685 NWire_Pin|pin@0||-5|16||||
686 NWire_Pin|pin@1||0|16||||
687 Ngeneric:Invisible-Pin|pin@2||0|33|||||ART_message(D5G3;)S[invCTLn]
688 NWire_Pin|pin@3||-5|22||||
689 NWire_Pin|pin@4||-2.5|22||||
690 NWire_Pin|pin@5||0|20.5||||
691 NWire_Pin|pin@6||-5|0.5||||
692 NPower|pwr@0||0|28||||
693 Awire|net@0|||0|pin@0||-5|16|conn@1|y|-10|16
694 Awire|net@1|||1800|pin@1||0|16|conn@0|a|10.5|16|SIM_verilog_wire_type(D5G1;)Strireg
695 Awire|net@2|||900|pin@3||-5|22|pin@0||-5|16
696 Awire|net@3|||1800|pin@3||-5|22|pin@4||-2.5|22
697 Awire|net@4|||2700|PMOS@1|d|0|20|pin@5||0|20.5
698 Awire|net@5|||900|pwr@0||0|28|PMOS@1|s|0|24
699 Awire|net@6|||1800|PMOS@1|g|-3|22|pin@4||-2.5|22
700 Awire|net@7|||1800|pin@6||-5|0.5|NMOS@1|g|-3|0.5
701 Awire|net@8|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
702 Awire|net@9|||2700|pin@6||-5|0.5|pin@0||-5|16
703 Awire|net@10|||900|pin@1||0|16|NMOS@2|d|0|11.5
704 Awire|net@11|||2700|NMOS@1|d|0|2.5|NMOS@2|s|0|7.5
705 Awire|net@12|||2700|pin@1||0|16|PMOS@1|d|0|20
706 Awire|net@13|||0|NMOS@2|g|-3|9.5|conn@2|y|-11|9.5
707 Ectl||D5G2;X-4;|conn@2|y|I
708 Ein||D5G2;|conn@1|a|I
709 Eout||D5G2;|conn@0|y|O
710 X
711
712 # Cell invCTLp;1{ic}
713 CinvCTLp;1{ic}||artwork|993434516000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;HNPX1.5;Y2;)I1|ATTR_sloDelay(D5G1;HNPX4.75;Y-3.25;)I175|prototype_center()I[0,0]
714 Ngeneric:Facet-Center|art@0||0|0||||AV
715 NThick-Circle|art@1||0|-1.5|1|1|||ART_color()I10
716 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
717 NPin|pin@0||-1.5|0|1|1|R|
718 NPin|pin@1||-2.5|0|1|1|R|
719 Ngeneric:Invisible-Pin|pin@2||0|-2||||
720 Ngeneric:Invisible-Pin|pin@3||0|0|||||ART_message(D5G1.5;)S[CTLp]
721 NPin|pin@4||1.5|0|0.5|0.5||
722 NPin|pin@5||-1.5|-2|0.5|0.5||
723 NPin|pin@6||-1.5|2|0.5|0.5||
724 Ngeneric:Invisible-Pin|pin@7||2.5|0||||
725 Ngeneric:Invisible-Pin|pin@8||-2.5|0||||
726 AThicker|net@0|||FS1800|pin@1||-2.5|0|pin@0||-1.5|0|ART_color()I10
727 AThicker|net@1|||FS2137|pin@5||-1.5|-2|pin@4||1.5|0|ART_color()I10
728 AThicker|net@2|||FS3263|pin@4||1.5|0|pin@6||-1.5|2|ART_color()I10
729 AThicker|net@3|||FS900|pin@6||-1.5|2|pin@5||-1.5|-2|ART_color()I10
730 Ectl||D5G2;|pin@2||I
731 Ein||D5G2;|pin@8||I
732 Eout||D5G2;|pin@7||O
733 X
734
735 # Cell invCTLp;1{sch}
736 CinvCTLp;1{sch}||schematic|993433994000|1248729232899||ATTR_Delay(D5G2;HNPX-21;Y-1;)I100|ATTR_X(D5G2;HNPX-21;Y1.5;)I1|ATTR_sloDelay(D5G2;HNPX-21;Y-3.5;)I175|prototype_center()I[0,0]
737 INMOS;1{ic}|NMOS@1||0|0.5|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
738 IPMOS;1{ic}|PMOS@1||0|22|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
739 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOLPX3.5;Y-2;)S@sloDelay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
740 Ngeneric:Facet-Center|art@0||0|0||||AV
741 NOff-Page|conn@0||-13|15||||
742 NOff-Page|conn@1||-12|8||||
743 NOff-Page|conn@2||12.5|8||||
744 NGround|gnd@0||0|-6.5||||
745 IinvCTLp;1{ic}|invCTLp@0||15|27.75|||D0G4;|ATTR_Delay(D5G1;NPX4.25;Y-1.75;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I2|ATTR_sloDelay(D5G1;NPX4.75;Y-3.25;)I175
746 NWire_Pin|pin@0||-5|0.5||||
747 NWire_Pin|pin@1||0|20.5||||
748 NWire_Pin|pin@2||-2.5|22||||
749 NWire_Pin|pin@3||-5|22||||
750 Ngeneric:Invisible-Pin|pin@4||0|33|||||ART_message(D5G3;)S[invCTLp]
751 NWire_Pin|pin@5||0|8||||
752 NWire_Pin|pin@6||-5|8||||
753 NPower|pwr@0||0|28||||
754 Awire|net@0|||0|PMOS@2|g|-3|15|conn@0|y|-11|15
755 Awire|net@1|||2700|pin@5||0|8|PMOS@2|d|0|13
756 Awire|net@2|||900|PMOS@1|d|0|20|PMOS@2|s|0|17
757 Awire|net@3|||900|pin@5||0|8|NMOS@1|d|0|2.5
758 Awire|net@4|||2700|pin@0||-5|0.5|pin@6||-5|8
759 Awire|net@5|||900|NMOS@1|s|0|-1.5|gnd@0||0|-4.5
760 Awire|net@6|||1800|pin@0||-5|0.5|NMOS@1|g|-3|0.5
761 Awire|net@7|||1800|PMOS@1|g|-3|22|pin@2||-2.5|22
762 Awire|net@8|||900|pwr@0||0|28|PMOS@1|s|0|24
763 Awire|net@9|||2700|PMOS@1|d|0|20|pin@1||0|20.5
764 Awire|net@10|||1800|pin@3||-5|22|pin@2||-2.5|22
765 Awire|net@11|||900|pin@3||-5|22|pin@6||-5|8
766 Awire|net@12|||1800|pin@5||0|8|conn@2|a|10.5|8|SIM_verilog_wire_type(D5G1;)Strireg
767 Awire|net@13|||0|pin@6||-5|8|conn@1|y|-10|8
768 Ectl||D5G2;X-4;|conn@0|y|I
769 Ein||D5G2;|conn@1|a|I
770 Eout||D5G2;|conn@2|y|O
771 X
772
773 # Cell invHT;1{ic}
774 CinvHT;1{ic}||artwork|1021415734000|1228431906734|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
775 Ngeneric:Facet-Center|art@0||0|0||||AV
776 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
777 NPin|pin@0||1.5|0|1|1||
778 NPin|pin@1||-1.5|0|1|1||
779 NPin|pin@2||-2.5|0||||
780 NPin|pin@3||-1.5|2|1|1||
781 NPin|pin@4||-1.5|-2|1|1||
782 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
783 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
784 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
785 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
786 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
787 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
788 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
789 Ein||D5G1;|pin@5||I
790 Eout||D5G1;|pin@6||O
791 X
792
793 # Cell invHT;1{sch}
794 CinvHT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
795 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
796 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
797 Ngeneric:Facet-Center|art@0||0|0||||AV
798 NOff-Page|conn@0||-11|0||||
799 NOff-Page|conn@1||8|0||||
800 NGround|gnd@0||0|-12.5||||
801 IinvHT;1{ic}|invHT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
802 IinvHTI;2{ic}|invHT@1||26|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
803 Ngeneric:Invisible-Pin|pin@0||26.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
804 Ngeneric:Invisible-Pin|pin@1||1|17|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
805 NWire_Pin|pin@2||-4|0||||
806 NWire_Pin|pin@3||-4|-6||||
807 NWire_Pin|pin@4||-4|6||||
808 NWire_Pin|pin@5||0|0||||
809 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[HI-threshold fixed-size (non-LE) inverter]
810 Ngeneric:Invisible-Pin|pin@7||-1|24|||||ART_message(D5G6;)S[invHT]
811 NPower|pwr@0||0|12.5||||
812 Awire|net@0|||900|pwr@0||0|12.5|PMOS@1|s|0|8
813 Awire|net@1|||1800|pin@4||-4|6|PMOS@1|g|-3|6
814 Awire|net@2|||2700|pin@5||0|0|PMOS@1|d|0|4
815 Awire|net@3|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
816 Awire|net@4|||900|pin@5||0|0|NMOS@1|d|0|-4
817 Awire|net@5|||1800|pin@3||-4|-6|NMOS@1|g|-3|-6
818 Awire|net@6|||0|pin@2||-4|0|conn@0|y|-9|0
819 Awire|net@7|||2700|pin@3||-4|-6|pin@2||-4|0
820 Awire|net@8|||2700|pin@2||-4|0|pin@4||-4|6
821 Awire|net@9|||0|conn@1|a|6|0|pin@5||0|0
822 Ein||D5G2;|conn@0|a|I
823 Eout||D5G2;|conn@1|y|O
824 X
825
826 # Cell invHTI;2{ic}
827 CinvHTI;2{ic}|invHT|artwork|1021415734000|1228431964199|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
828 Ngeneric:Facet-Center|art@0||0|0||||AV
829 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
830 NPin|pin@0||1.5|0|1|1||
831 NPin|pin@1||2.5|0|1|1||
832 NPin|pin@2||1.5|0||||
833 NPin|pin@3||-1.5|2|1|1||
834 NPin|pin@4||-1.5|-2|1|1||
835 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
836 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
837 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SH
838 AThicker|net@0|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I10
839 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
840 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
841 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
842 Ein||D5G1;|pin@5||I
843 Eout||D5G1;|pin@6||O
844 X
845
846 # Cell invI;2{ic}
847 CinvI;2{ic}|inv|artwork|1021415734000|1228431072751|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
848 Ngeneric:Facet-Center|art@0||0|0||||AV
849 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
850 NPin|pin@0||1.5|0|1|1||
851 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
852 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
853 NPin|pin@5||-1.5|2|1|1||
854 NPin|pin@6||-1.5|-2|1|1||
855 NPin|pin@7||2.5|0|1|1||
856 NPin|pin@8||1.5|0||||
857 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I10
858 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I10
859 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I10
860 AThicker|net@4|||FS0|pin@7||2.5|0|pin@8||1.5|0|ART_color()I10
861 Ein||D5G1;|pin@1||I
862 Eout||D5G1;|pin@4||O
863 X
864
865 # Cell invK;1{ic}
866 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
867 Ngeneric:Facet-Center|art@0||0|0||||AV
868 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
869 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
870 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
871 NPin|pin@1||1.5|0|1|1||
872 NPin|pin@2||2.5|0||||
873 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
874 NPin|pin@4||-1.5|-2|1|1||
875 NPin|pin@5||-1.5|2|1|1||
876 NPin|pin@6||1.5|0|1|1||
877 AThicker|net@0|||FS0|pin@2||2.5|0|pin@1||1.5|0|ART_color()I10
878 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@5||-1.5|2|ART_color()I10
879 AThicker|net@2|||FS337|pin@6||1.5|0|pin@4||-1.5|-2|ART_color()I10
880 AThicker|net@3|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
881 Ein||D5G1;|pin@3||I
882 Eout||D5G1;|pin@0||O
883 X
884
885 # Cell invK;1{sch}
886 CinvK;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNOJPX-14;Y-6.5;)S@Delay|ATTR_X(D5FLeave alone;G1;HNOLPX-14;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-14;Y-7.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-8.5;)Sweak1|ATTR_verilog_template(D5G1;NTX30;Y-15;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
887 INMOS;1{ic}|NMOS@0||2|-5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
888 IPMOS;1{ic}|PMOS@0||2|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
889 Ngeneric:Facet-Center|art@0||0|0||||AV
890 NOff-Page|conn@0||8|0||||
891 NOff-Page|conn@1||-11|0||||
892 NGround|gnd@0||2|-12||||
893 IinvK;1{ic}|invK@0||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_LEKEEPER(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su()I-1
894 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[LO threshold fixed-size keeper inverter]
895 Ngeneric:Invisible-Pin|pin@1||-1|23.5|||||ART_message(D5G6;)S[invK]
896 Ngeneric:Invisible-Pin|pin@2||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
897 Ngeneric:Invisible-Pin|pin@3||28.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
898 NWire_Pin|pin@4||-2|-5|0.5|0.5||
899 NWire_Pin|pin@5||-2|6|0.5|0.5||
900 NWire_Pin|pin@6||-2|0||||
901 NWire_Pin|pin@7||2|0||||
902 NPower|pwr@0||2|11.5||||
903 Awire|net@0|||2700|PMOS@0|s|2|8|pwr@0||2|11.5
904 Awire|net@1|||0|PMOS@0|g|-1|6|pin@5||-2|6
905 Awire|net@2|||2700|pin@7||2|0|PMOS@0|d|2|4
906 Awire|net@3|||2700|gnd@0||2|-10|NMOS@0|s|2|-7
907 Awire|net@4|||2700|NMOS@0|d|2|-3|pin@7||2|0
908 Awire|net@5|||0|NMOS@0|g|-1|-5|pin@4||-2|-5
909 Awire|net@6|||2700|pin@4||-2|-5|pin@6||-2|0
910 Awire|net@7|||2700|pin@6||-2|0|pin@5||-2|6
911 Awire|net@8|||0|conn@0|a|6|0|pin@7||2|0
912 Awire|net@9|||1800|conn@1|y|-9|0|pin@6||-2|0
913 Ein||D5G2;|conn@1|a|I
914 Eout||D5G2;|conn@0|y|O
915 X
916
917 # Cell invKV;1{ic}
918 CinvKV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.5;Y1.75;)S1|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|prototype_center()I[2000,0]
919 Ngeneric:Facet-Center|art@0||0|0||||AV
920 NOpened-Thicker-Polygon|art@1||-0.5|0.5|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
921 NThick-Circle|art@2||-2|0|1|1|||ART_color()I10
922 NOpened-Thicker-Polygon|art@3||-0.5|-0.75|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
923 NPin|pin@0||1.5|0|1|1||
924 NPin|pin@1||-1.5|2|1|1||
925 NPin|pin@2||-1.5|-2|1|1||
926 NPin|pin@3||2.5|0||||
927 NPin|pin@4||1.5|0|1|1||
928 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
929 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
930 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I10
931 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I10
932 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I10
933 AThicker|net@3|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I10
934 Ein||D5G1;|pin@6||I
935 Eout||D5G1;|pin@5||O
936 X
937
938 # Cell invKV;1{sch}
939 CinvKV;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-11.5;Y-5.5;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-11.5;Y-3.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-11.5;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-11;Y-6.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-11;Y-7.5;)Sweak1|ATTR_verilog_template(D5G1;NTX24.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
940 INMOS;1{ic}|NMOS@0||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
941 IPMOS;1{ic}|PMOS@0||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
942 Ngeneric:Facet-Center|art@0||0|0||||AV
943 NOff-Page|conn@0||-11|0||||
944 NOff-Page|conn@1||8|0||||
945 NGround|gnd@0||0|-11||||
946 IinvKV;1{ic}|invKV@0||21.5|9|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.5;Y-2.25;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.5;Y1.75;)S1|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
947 Ngeneric:Invisible-Pin|pin@0||26|-7.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
948 NWire_Pin|pin@1||-4|-6||||
949 NWire_Pin|pin@2||-4|6||||
950 NWire_Pin|pin@3||0|0||||
951 NWire_Pin|pin@4||-4|0||||
952 Ngeneric:Invisible-Pin|pin@5||-0.5|17|||||ART_message(D5G6;)S[invKV]
953 Ngeneric:Invisible-Pin|pin@6||-1|13.5|||||ART_message(D5G2;)S[Two parameter variable ratio keeper]
954 NPower|pwr@0||0|10.5||||
955 Awire|net@0|||1800|pin@1||-4|-6|NMOS@0|g|-3|-6
956 Awire|net@1|||2700|PMOS@0|s|0|8|pwr@0||0|10.5
957 Awire|net@2|||1800|pin@2||-4|6|PMOS@0|g|-3|6
958 Awire|net@3|||2700|pin@3||0|0|PMOS@0|d|0|4
959 Awire|net@4|||900|NMOS@0|s|0|-8|gnd@0||0|-9
960 Awire|net@5|||2700|NMOS@0|d|0|-4|pin@3||0|0
961 Awire|net@6|||1800|conn@0|y|-9|0|pin@4||-4|0
962 Awire|net@7|||0|conn@1|a|6|0|pin@3||0|0
963 Awire|net@8|||2700|pin@1||-4|-6|pin@4||-4|0
964 Awire|net@9|||2700|pin@4||-4|0|pin@2||-4|6
965 Ein||D5G2;|conn@0|a|I
966 Eout||D5G2;|conn@1|y|O
967 X
968
969 # Cell invLT;1{ic}
970 CinvLT;1{ic}||artwork|1021415734000|1228431575062|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
971 Ngeneric:Facet-Center|art@0||0|0||||AV
972 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
973 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
974 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
975 NPin|pin@2||-1.5|-2|1|1||
976 NPin|pin@3||-1.5|2|1|1||
977 NPin|pin@4||-2.5|0||||
978 NPin|pin@5||-1.5|0|1|1||
979 NPin|pin@6||1.5|0|1|1||
980 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
981 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
982 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
983 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
984 AThicker|net@3|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
985 Ein||D5G1;|pin@1||I
986 Eout||D5G1;|pin@0||O
987 X
988
989 # Cell invLT;1{sch}
990 CinvLT;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-4;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-7;)Sstrong1|ATTR_verilog_template(D5G1;NTX23;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
991 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
992 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
993 Ngeneric:Facet-Center|art@0||0|0||||AV
994 NOff-Page|conn@0||11|0||||
995 NOff-Page|conn@1||-11.5|0||||
996 NGround|gnd@0||0|-12.5||||
997 IinvLT;1{ic}|invLT@0||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
998 IinvLTI;2{ic}|invLTI@0||27|10.5|||D5G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
999 NWire_Pin|pin@0||-4|0||||
1000 NWire_Pin|pin@1||0|0||||
1001 Ngeneric:Invisible-Pin|pin@2||-1|24|||||ART_message(D5G6;)S[invLT]
1002 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[LO-threshold fixed-size (non-LE) inverter]
1003 NWire_Pin|pin@4||-4|6||||
1004 NWire_Pin|pin@5||-4|-6||||
1005 Ngeneric:Invisible-Pin|pin@6||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
1006 Ngeneric:Invisible-Pin|pin@7||24|-9|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
1007 NPower|pwr@0||0|12.5||||
1008 Awire|net@0|||0|pin@0||-4|0|conn@1|y|-9.5|0
1009 Awire|net@1|||900|pin@4||-4|6|pin@0||-4|0
1010 Awire|net@2|||900|pin@0||-4|0|pin@5||-4|-6
1011 Awire|net@3|||1800|pin@1||0|0|conn@0|a|9|0
1012 Awire|net@4|||2700|NMOS@1|d|0|-4|pin@1||0|0
1013 Awire|net@5|||2700|pin@1||0|0|PMOS@1|d|0|4
1014 Awire|net@6|||900|pwr@0||0|12.5|PMOS@1|s|0|8
1015 Awire|net@7|||1800|pin@4||-4|6|PMOS@1|g|-3|6
1016 Awire|net@8|||2700|gnd@0||0|-10.5|NMOS@1|s|0|-8
1017 Awire|net@9|||1800|pin@5||-4|-6|NMOS@1|g|-3|-6
1018 Ein||D5G2;|conn@1|a|I
1019 Eout||D5G2;|conn@0|y|O
1020 X
1021
1022 # Cell invLTI;2{ic}
1023 CinvLTI;2{ic}|invLT|artwork|1021415734000|1228431729256|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1024 Ngeneric:Facet-Center|art@0||0|0||||AV
1025 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
1026 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1027 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
1028 NPin|pin@2||-1.5|-2|1|1||
1029 NPin|pin@3||-1.5|2|1|1||
1030 NPin|pin@4||1.5|0||||
1031 NPin|pin@5||2.5|0|1|1||
1032 NPin|pin@6||1.5|0|1|1||
1033 Ngeneric:Invisible-Pin|pin@7||-0.5|0|||||ART_message(C10;D5G1.5;)SL
1034 AThicker|net@0|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1035 AThicker|net@1|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1036 AThicker|net@2|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1037 AThicker|net@3|||FS0|pin@5||2.5|0|pin@4||1.5|0|ART_color()I10
1038 Ein||D5G1;|pin@1||I
1039 Eout||D5G1;|pin@0||O
1040 X
1041
1042 # Cell invV;1{ic}
1043 CinvV;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;HNOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;HNOLPX1.75;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1044 Ngeneric:Facet-Center|art@0||0|0||||AV
1045 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1046 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1047 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1048 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1049 NPin|pin@2||-1.5|-2|1|1||
1050 NPin|pin@3||-1.5|2|1|1||
1051 NPin|pin@4||-2.5|0||||
1052 NPin|pin@5||-1.5|0|1|1||
1053 NPin|pin@6||1.5|0|1|1||
1054 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1055 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1056 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1057 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1058 Ein||D5G1;|pin@0||I
1059 Eout||D5G1;|pin@1||O
1060 X
1061
1062 # Cell invV;1{sch}
1063 CinvV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_XN(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX19.5;Y-16;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1064 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XN
1065 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@XP
1066 Ngeneric:Facet-Center|art@0||0|0||||AV
1067 NOff-Page|conn@0||7|0||||
1068 NOff-Page|conn@1||-12|0||||
1069 NGround|gnd@0||0|-12||||
1070 IinvV;1{ic}|invV@0||24|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4;)I100|ATTR_XN(D5FLeave alone;G1.5;NOLPX1.75;Y-2.5;)S1|ATTR_XP(D5FLeave alone;G1.5;NOLPX1.75;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1071 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[two-parameter variable ratio inverter]
1072 Ngeneric:Invisible-Pin|pin@1||-0.5|21.5|||||ART_message(D5G6;)S[invV]
1073 NWire_Pin|pin@2||0|0||||
1074 NWire_Pin|pin@3||-5|0||||
1075 NWire_Pin|pin@4||-5|-6||||
1076 NWire_Pin|pin@5||-5|6||||
1077 Ngeneric:Invisible-Pin|pin@6||28|-11.5|||||ART_message(D5G2;)S[X is drive strength,"P and N drive strengths are XP, XN"]
1078 NPower|pwr@0||0|10.5||||
1079 Awire|net@0|||900|NMOS@1|s|0|-8|gnd@0||0|-10
1080 Awire|net@1|||900|pin@2||0|0|NMOS@1|d|0|-4
1081 Awire|net@2|||1800|pin@4||-5|-6|NMOS@1|g|-3|-6
1082 Awire|net@3|||2700|PMOS@1|s|0|8|pwr@0||0|10.5
1083 Awire|net@4|||1800|pin@5||-5|6|PMOS@1|g|-3|6
1084 Awire|net@5|||2700|pin@2||0|0|PMOS@1|d|0|4
1085 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1086 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1087 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1088 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1089 Ein||D5G2;|conn@1|a|I
1090 Eout||D5G2;|conn@0|y|O
1091 X
1092
1093 # Cell invVn;1{ic}
1094 CinvVn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1095 Ngeneric:Facet-Center|art@0||0|0||||AV
1096 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1097 NOpened-Thicker-Polygon|art@2||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1098 NOpened-Thicker-Polygon|art@3||0.25|-0.12|0.5|0.75|||ART_color()I10|trace()V[-0.25/-0.375,-0.25/0.375,0.25/-0.375,0.25/0.375]
1099 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
1100 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
1101 NPin|pin@2||-1.5|-2|1|1||
1102 NPin|pin@3||-1.5|2|1|1||
1103 NPin|pin@4||-2.5|0||||
1104 NPin|pin@5||-1.5|0|1|1||
1105 NPin|pin@6||1.5|0|1|1||
1106 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I10
1107 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I10
1108 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I10
1109 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1110 Ein||D5G1;|pin@0||I
1111 Eout||D5G1;|pin@1||O
1112 X
1113
1114 # Cell invVn;1{sch}
1115 CinvVn;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_NPdrvR(D5FLeave alone;G1;HNOLPX-15.5;Y-6.5;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-15.5;Y-5.5;)S1|ATTR_drive0(D5G1;HNPTX-15.5;Y-8.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-9.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX18.5;Y-19.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1116 INMOS;1{ic}|NMOS@1||0|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*@NPdrvR
1117 IPMOS;1{ic}|PMOS@1||0|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X
1118 Ngeneric:Facet-Center|art@0||0|0||||AV
1119 NOff-Page|conn@0||7|0||||
1120 NOff-Page|conn@1||-12|0||||
1121 NGround|gnd@0||0|-12||||
1122 IinvVn;1{ic}|invVn@0||26.75|6|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_NPdrvR(D5FLeave alone;G1;NOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1123 Ngeneric:Invisible-Pin|pin@0||0.5|17.5|||||ART_message(D5G2;)S[variable ratio inverter]
1124 Ngeneric:Invisible-Pin|pin@1||-0.5|22|||||ART_message(D5G6;)S[invVn]
1125 NWire_Pin|pin@2||0|0||||
1126 NWire_Pin|pin@3||-5|0||||
1127 NWire_Pin|pin@4||-5|-6||||
1128 NWire_Pin|pin@5||-5|6||||
1129 Ngeneric:Invisible-Pin|pin@6||19.5|-15.5|||||ART_message(D5G2;)S[X is drive strength,"P drive strength is X, N drive strength is X*NPdrvR"]
1130 Ngeneric:Invisible-Pin|pin@7||0.5|15.5|||||ART_message(D5G2;)S["PMOS sized normally, NMOS sized by ratio value"]
1131 NPower|pwr@0||0|11||||
1132 Awire|net@0|||900|NMOS@1|s|0|-8|gnd@0||0|-10
1133 Awire|net@1|||2700|NMOS@1|d|0|-4|pin@2||0|0
1134 Awire|net@2|||1800|pin@4||-5|-6|NMOS@1|g|-3|-6
1135 Awire|net@3|||2700|PMOS@1|s|0|8|pwr@0||0|11
1136 Awire|net@4|||1800|pin@5||-5|6|PMOS@1|g|-3|6
1137 Awire|net@5|||2700|pin@2||0|0|PMOS@1|d|0|4
1138 Awire|net@6|||1800|pin@2||0|0|conn@0|a|5|0
1139 Awire|net@7|||0|pin@3||-5|0|conn@1|y|-10|0
1140 Awire|net@8|||2700|pin@4||-5|-6|pin@3||-5|0
1141 Awire|net@9|||2700|pin@3||-5|0|pin@5||-5|6
1142 Ein||D5G2;|conn@1|a|I
1143 Eout||D5G2;|conn@0|y|O
1144 X
1145
1146 # Cell invVp;1{ic}
1147 CinvVp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;HNOLPX2;Y-2;)S1|ATTR_X(D5FLeave alone;G1.5;HNOLPX1.5;Y2;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1148 Ngeneric:Facet-Center|art@0||0|0||||AV
1149 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1150 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1151 NOpened-Thicker-Polygon|art@3||0.25|-0.25|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1152 NPin|pin@0||1.5|0|1|1||
1153 NPin|pin@1||-1.5|0|1|1||
1154 NPin|pin@2||-2.5|0||||
1155 NPin|pin@3||-1.5|2|1|1||
1156 NPin|pin@4||-1.5|-2|1|1||
1157 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1158 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
1159 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I10
1160 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I10
1161 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I10
1162 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I10
1163 Ein||D5G1;|pin@6||I
1164 Eout||D5G1;|pin@5||O
1165 X
1166
1167 # Cell invVp;1{sch}
1168 CinvVp;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_PNdrvR(D5FLeave alone;G1;HNOLPX-12;Y-6;)S1|ATTR_X(D5FLeave alone;G1;HNOLPX-12.5;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX22;Y-15.5;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
1169 INMOS;1{ic}|NMOS@1||0.5|-6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1170 IPMOS;1{ic}|PMOS@1||0.5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;)S@X*@PNdrvR
1171 Ngeneric:Facet-Center|art@0||0|0||||AV
1172 NOff-Page|conn@0||-12|0||||
1173 NOff-Page|conn@1||7|0||||
1174 NGround|gnd@0||0.5|-12||||
1175 IinvVp;1{ic}|invVp@0||26.5|6.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_PNdrvR(D5G1;NPX2;Y-2;)I1|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1176 NWire_Pin|pin@0||-4.5|6||||
1177 NWire_Pin|pin@1||-4.5|-6||||
1178 NWire_Pin|pin@2||-4.5|0||||
1179 NWire_Pin|pin@3||0.5|0||||
1180 Ngeneric:Invisible-Pin|pin@4||0.5|14.5|||||ART_message(D5G2;)S["NMOS sized normally, PMOS sized by ratio value"]
1181 Ngeneric:Invisible-Pin|pin@5||25.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,"N drive strength is X, P drive strength is X*PNdrvR"]
1182 Ngeneric:Invisible-Pin|pin@6||-0.5|21|||||ART_message(D5G6;)S[invVp]
1183 Ngeneric:Invisible-Pin|pin@7||0.5|16.5|||||ART_message(D5G2;)S[variable ratio inverter]
1184 NPower|pwr@0||0.5|11||||
1185 Awire|net@0|||1800|conn@0|y|-10|0|pin@2||-4.5|0
1186 Awire|net@1|||0|conn@1|a|5|0|pin@3||0.5|0
1187 Awire|net@2|||2700|pin@2||-4.5|0|pin@0||-4.5|6
1188 Awire|net@3|||2700|pin@1||-4.5|-6|pin@2||-4.5|0
1189 Awire|net@4|||1800|pin@0||-4.5|6|PMOS@1|g|-2.5|6
1190 Awire|net@5|||2700|pin@3||0.5|0|PMOS@1|d|0.5|4
1191 Awire|net@6|||2700|PMOS@1|s|0.5|8|pwr@0||0.5|11
1192 Awire|net@7|||2700|NMOS@1|d|0.5|-4|pin@3||0.5|0
1193 Awire|net@8|||1800|pin@1||-4.5|-6|NMOS@1|g|-2.5|-6
1194 Awire|net@9|||900|NMOS@1|s|0.5|-8|gnd@0||0.5|-10
1195 Ein||D5G2;|conn@0|a|I
1196 Eout||D5G2;|conn@1|y|O
1197 X
1198
1199 # Cell mullerC;1{ic}
1200 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1201 Ngeneric:Facet-Center|art@0||0|0||||AV
1202 NOpened-Thicker-Polygon|art@1||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1203 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1204 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1205 NPin|pin@0||-0.25|-2|1|1||
1206 NPin|pin@1||-1.5|-0.75|1|1||
1207 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1208 NPin|pin@3||-1.5|-1|1|1||
1209 NPin|pin@4||-2.5|-1||||
1210 NPin|pin@5||-0.5|2|1|1||
1211 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1212 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1213 NPin|pin@8||-1.5|2|1|1||
1214 NPin|pin@9||-1.5|-2|1|1||
1215 NPin|pin@10||-0.5|-2|1|1||
1216 NPin|pin@11||-2.5|1||||
1217 NPin|pin@12||-1.5|1|1|1||
1218 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1219 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1220 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1221 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1222 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1223 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1224 Eina||D5G1;|pin@2||I
1225 Einb||D5G1;|pin@6||I
1226 Eout||D5G1;|pin@7||O
1227 X
1228
1229 # Cell mullerC;1{sch}
1230 CmullerC;1{sch}||schematic|1021415734000|1157995398986||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1231 Ngeneric:Facet-Center|art@0||0|0||||AV
1232 NOff-Page|conn@0||-19|0||||
1233 NOff-Page|conn@1||15.5|-5|||RR|
1234 NOff-Page|conn@2||15.5|0||||
1235 ImullerC;1{ic}|mullerC@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1236 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1237 NWire_Pin|pin@0||-6.5|0||||
1238 Ngeneric:Invisible-Pin|pin@1||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1239 Ngeneric:Invisible-Pin|pin@2||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1240 NWire_Pin|pin@3||-6.5|8.5||||
1241 NWire_Pin|pin@4||6|-5||||
1242 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter muller C-element]
1243 NWire_Pin|pin@6||6|4.5||||
1244 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[mullerC]
1245 NWire_Pin|pin@8||-6.5|-9||||
1246 NWire_Pin|pin@9||0|0||||
1247 Ipms2;1{ic}|pms2@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
1248 Awire|net@0|||0|conn@2|a|13.5|0|pin@9||0|0
1249 Awire|net@1|||0|pin@0||-6.5|0|conn@0|y|-17|0
1250 Awire|net@2|||2700|pin@8||-6.5|-9|pin@0||-6.5|0
1251 Awire|net@3|||2700|pin@0||-6.5|0|pin@3||-6.5|8.5
1252 Awire|net@4|||1800|pin@4||6|-5|conn@1|y|13.5|-5
1253 Awire|net@5|||0|pms2@0|g|-3|8.5|pin@3||-6.5|8.5
1254 Awire|net@6|||1800|pms2@0|g2|3|4.5|pin@6||6|4.5
1255 Awire|net@7|||2700|pin@9||0|0|pms2@0|d|0|2.5
1256 Awire|net@8|||2700|pin@4||6|-5|pin@6||6|4.5
1257 Awire|net@9|||1800|pin@8||-6.5|-9|nms2@0|g|-3|-9
1258 Awire|net@10|||1800|nms2@0|g2|3|-5|pin@4||6|-5
1259 Awire|net@11|||900|pin@9||0|0|nms2@0|d|0|-3
1260 Eina||D5G2;|conn@0|a|I
1261 Einb||D5G2;|conn@1|a|I
1262 Eout||D5G2;|conn@2|y|O
1263 X
1264
1265 # Cell mullerC_sy;1{ic}
1266 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1267 Ngeneric:Facet-Center|art@0||0|0||||AV
1268 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1269 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1270 NOpened-Thicker-Polygon|art@3||-0.12|0|0.75|1.25|||ART_color()I10|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1271 NPin|pin@0||-1.5|1|1|1||
1272 NPin|pin@1||-2.5|1||||
1273 NPin|pin@2||-0.5|-2|1|1||
1274 NPin|pin@3||-1.5|-2|1|1||
1275 NPin|pin@4||-1.5|2|1|1||
1276 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1277 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1278 NPin|pin@7||-0.5|2|1|1||
1279 NPin|pin@8||-2.5|-1||||
1280 NPin|pin@9||-1.5|-1|1|1||
1281 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1282 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1283 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1284 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1285 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1286 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1287 Eina||D5G1;|pin@10||I
1288 Einb||D5G1;|pin@6||I
1289 Eout||D5G1;|pin@5||O
1290 X
1291
1292 # Cell mullerC_sy;1{sch}
1293 CmullerC_sy;1{sch}||schematic|1021415734000|1157995387844||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
1294 Ngeneric:Facet-Center|art@0||0|0||||AV
1295 NOff-Page|conn@0||15.5|0||||
1296 NOff-Page|conn@1||15.5|-5|||RR|
1297 NOff-Page|conn@2||-19|0||||
1298 ImullerC_sy;1{ic}|mullerC_@0||26.5|16.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1299 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1300 NWire_Pin|pin@0||0|0||||
1301 NWire_Pin|pin@1||-6.5|-9||||
1302 Ngeneric:Invisible-Pin|pin@2||-0.5|25|||||ART_message(D5G6;)S[mullerC_sy]
1303 NWire_Pin|pin@3||6|4.5||||
1304 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1305 NWire_Pin|pin@5||6|-5||||
1306 NWire_Pin|pin@6||-6.5|8.5||||
1307 Ngeneric:Invisible-Pin|pin@7||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1308 Ngeneric:Invisible-Pin|pin@8||23|-14|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1309 NWire_Pin|pin@9||-6.5|0||||
1310 Ipms2_sy;1{ic}|pms2_sy@0||0|8.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S@X
1311 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|pin@5||6|-5
1312 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1313 Awire|net@2|||1800|pin@1||-6.5|-9|nms2_sy@0|g|-3|-9
1314 Awire|net@3|||1800|pms2_sy@0|g2|3|4.5|pin@3||6|4.5
1315 Awire|net@4|||0|pms2_sy@0|g|-3|8.5|pin@6||-6.5|8.5
1316 Awire|net@5|||2700|pin@0||0|0|pms2_sy@0|d|0|2.5
1317 Awire|net@6|||2700|pin@5||6|-5|pin@3||6|4.5
1318 Awire|net@7|||1800|pin@5||6|-5|conn@1|y|13.5|-5
1319 Awire|net@8|||2700|pin@9||-6.5|0|pin@6||-6.5|8.5
1320 Awire|net@9|||2700|pin@1||-6.5|-9|pin@9||-6.5|0
1321 Awire|net@10|||0|pin@9||-6.5|0|conn@2|y|-17|0
1322 Awire|net@11|||0|conn@0|a|13.5|0|pin@0||0|0
1323 Eina||D5G2;|conn@2|a|I
1324 Einb||D5G2;|conn@1|a|I
1325 Eout||D5G2;|conn@0|y|O
1326 X
1327
1328 # Cell mux21_tri;1{ic}
1329 Cmux21_tri;1{ic}||artwork|1092084237000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
1330 Ngeneric:Facet-Center|art@0||0|0||||AV
1331 NThick-Circle|art@1||2.5|0|1|1|||ART_color()I10
1332 Nschematic:Bus_Pin|pin@0||-2|2||||
1333 Nschematic:Bus_Pin|pin@2||-2|-2||||
1334 Nschematic:Bus_Pin|pin@4||3|0||||
1335 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1336 NPin|pin@8||-1|4|1|1||
1337 NPin|pin@9||-1|-4|1|1||
1338 NPin|pin@10||2|2.5|1|1||
1339 NPin|pin@11||2|-2.5|1|1||
1340 NPin|pin@13||2|-2.5|1|1||
1341 NPin|pin@14||-1|-4|1|1||
1342 NPin|pin@15||-1|4|1|1||
1343 NPin|pin@16||2|2.5|1|1||
1344 NPin|pin@17||-2|-2|1|1||
1345 NPin|pin@18||-1|-2|1|1||
1346 NPin|pin@19||-2|2|1|1||
1347 NPin|pin@20||-1|2|1|1||
1348 NPin|pin@21||0.5|4.5|1|1||
1349 NPin|pin@22||0.5|3.25|1|1||
1350 Ngeneric:Invisible-Pin|pin@25||0|2|||||ART_message(D5G1;)S0
1351 Ngeneric:Invisible-Pin|pin@26||0|-2|||||ART_message(D5G1;)S1
1352 AThicker|net@4|||FS2700|pin@9||-1|-4|pin@8||-1|4|ART_color()I10
1353 AThicker|net@5|||FS2700|pin@11||2|-2.5|pin@10||2|2.5|ART_color()I10
1354 AThicker|net@8|||FS2066|pin@14||-1|-4|pin@13||2|-2.5|ART_color()I10
1355 AThicker|net@9|||FS3334|pin@16||2|2.5|pin@15||-1|4|ART_color()I10
1356 AThicker|net@10|||FS0|pin@18||-1|-2|pin@17||-2|-2|ART_color()I10
1357 AThicker|net@11|||FS0|pin@20||-1|2|pin@19||-2|2|ART_color()I10
1358 AThicker|net@12|||FS2700|pin@22||0.5|3.25|pin@21||0.5|4.5|ART_color()I10
1359 Ein0||D5G1;|pin@0||I
1360 Ein1||D5G1;|pin@2||I
1361 Eout||D5G1;|pin@4||O
1362 Esel||D5G1;|pin@6||I
1363 X
1364
1365 # Cell mux21_tri;1{sch}
1366 Cmux21_tri;1{sch}||schematic|1092081747000|1157998412989||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20;Y-10;)S1|prototype_center()I[0,0]
1367 Ngeneric:Facet-Center|art@0||0|0||||AV
1368 NOff-Page|conn@0||-16|-6||||
1369 NOff-Page|conn@1||-16|0||||
1370 NOff-Page|conn@2||-16|6||||
1371 NOff-Page|conn@3||10|0||||
1372 Iinv;1{ic}|inv@0||-4|0|||D5G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@X/2.0|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1373 Imux21_tri;1{ic}|mux2@0||22|13|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y2;)S1
1374 NWire_Pin|pin@1||5|-6||||
1375 NWire_Pin|pin@2||5|6||||
1376 NWire_Pin|pin@3||0|0||||
1377 NWire_Pin|pin@4||0|10||||
1378 NWire_Pin|pin@5||-8|10||||
1379 NWire_Pin|pin@6||-8|0||||
1380 NWire_Pin|pin@7||-8|-10||||
1381 NWire_Pin|pin@8||0|-10||||
1382 Ngeneric:Invisible-Pin|pin@10||-5|19|||||ART_message(D5G5;)Smux21_tri
1383 Ngeneric:Invisible-Pin|pin@11||-5|15|||||ART_message(D5G2;)Sa 2:1 mux using tristate inverters
1384 NWire_Pin|pin@12||5|0||||
1385 ItriInv;1{ic}|triInv@5||0|6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1386 ItriInv;1{ic}|triInv@6||0|-6|||D5G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2;)S@X
1387 Awire|net@0|||1800|conn@0|y|-14|-6|triInv@6|in|-2.5|-6
1388 Awire|net@1|||1800|conn@2|y|-14|6|triInv@5|in|-2.5|6
1389 Awire|net@4|||0|pin@1||5|-6|triInv@6|out|2.5|-6
1390 Awire|net@6|||0|pin@2||5|6|triInv@5|out|2.5|6
1391 Awire|net@7|||1800|conn@1|y|-14|0|pin@6||-8|0
1392 Awire|net@8|||1800|inv@0|out|-1.5|0|pin@3||0|0
1393 Awire|net@9|||900|pin@3||0|0|triInv@6|enB|0|-4
1394 Awire|net@10|||2700|pin@3||0|0|triInv@5|en|0|4
1395 Awire|net@11|||2700|triInv@5|enB|0|8|pin@4||0|10
1396 Awire|net@12|||0|pin@4||0|10|pin@5||-8|10
1397 Awire|net@13|||1800|pin@6||-8|0|inv@0|in|-6.5|0
1398 Awire|net@14|||900|pin@5||-8|10|pin@6||-8|0
1399 Awire|net@15|||900|pin@6||-8|0|pin@7||-8|-10
1400 Awire|net@16|||1800|pin@7||-8|-10|pin@8||0|-10
1401 Awire|net@17|||2700|pin@8||0|-10|triInv@6|en|0|-8
1402 Awire|net@22|||2700|pin@1||5|-6|pin@12||5|0
1403 Awire|net@23|||2700|pin@12||5|0|pin@2||5|6
1404 Awire|net@24|||0|conn@3|a|8|0|pin@12||5|0
1405 Ein0||D5G2;|conn@2|a|I
1406 Ein1||D5G2;|conn@0|a|I
1407 Eout||D5G2;|conn@3|y|O
1408 Esel||D5G2;|conn@1|a|I
1409 X
1410
1411 # Cell nand2;1{ic}
1412 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1413 Ngeneric:Facet-Center|art@0||0|0||||AV
1414 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1415 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1416 NPin|pin@0||-1.5|1|1|1||
1417 NPin|pin@1||-2.5|1||||
1418 NPin|pin@2||-0.5|-2|1|1||
1419 NPin|pin@3||-1.5|-2|1|1||
1420 NPin|pin@4||-1.5|2|1|1||
1421 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1422 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1423 NPin|pin@7||-0.5|2|1|1||
1424 NPin|pin@8||-2.5|-1||||
1425 NPin|pin@9||-1.5|-1|1|1||
1426 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1427 NPin|pin@11||-1.5|-0.75|1|1||
1428 NPin|pin@12||-0.25|-2|1|1||
1429 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1430 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1431 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1432 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1433 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1434 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I10
1435 Eina||D5G1;|pin@10||I
1436 Einb||D5G1;|pin@6||I
1437 Eout||D5G1;|pin@5||O
1438 X
1439
1440 # Cell nand2;1{sch}
1441 Cnand2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX21;Y-18.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1442 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1443 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1444 Ngeneric:Facet-Center|art@0||0|0||||AV
1445 NOff-Page|conn@0||15.5|0||||
1446 NOff-Page|conn@1||19.5|-5|||RR|
1447 NOff-Page|conn@2||-21.5|-1||||
1448 Inand2;1{ic}|nand2@0||15.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1449 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
1450 NWire_Pin|pin@2||0|0||||
1451 NWire_Pin|pin@3||-9|-9||||
1452 NWire_Pin|pin@15||4.5|7.5||||
1453 NWire_Pin|pin@16||-5|7.5||||
1454 Ngeneric:Invisible-Pin|pin@17||-0.5|25|||||ART_message(D5G6;)S[nand2]
1455 NWire_Pin|pin@18||9|4||||
1456 NWire_Pin|pin@19||4.5|0||||
1457 Ngeneric:Invisible-Pin|pin@20||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
1458 NWire_Pin|pin@21||-5|0||||
1459 NWire_Pin|pin@22||9|-5||||
1460 NWire_Pin|pin@23||-9|4||||
1461 Ngeneric:Invisible-Pin|pin@24||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
1462 Ngeneric:Invisible-Pin|pin@25||22.5|-13.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1463 NWire_Pin|pin@26||-9|-1||||
1464 NPower|pwr@0||-5|10.5||||
1465 Awire|net@14|||900|pin@2||0|0|nms2@0|d|0|-3
1466 Awire|net@15|||0|pin@19||4.5|0|pin@2||0|0
1467 Awire|net@16|||0|pin@2||0|0|pin@21||-5|0
1468 Awire|net@17|||1800|nms2@0|g2|3|-5|pin@22||9|-5
1469 Awire|net@18|||1800|pin@3||-9|-9|nms2@0|g|-3|-9
1470 Awire|net@20|||900|pin@16||-5|7.5|PMOS@2|s|-5|6
1471 Awire|net@21|||1800|pin@23||-9|4|PMOS@2|g|-8|4
1472 Awire|net@22|||2700|pin@21||-5|0|PMOS@2|d|-5|2
1473 Awire|net@23|||2700|PMOS@3|s|4.5|6|pin@15||4.5|7.5
1474 Awire|net@24|||1800|PMOS@3|g|7.5|4|pin@18||9|4
1475 Awire|net@25|||2700|pin@19||4.5|0|PMOS@3|d|4.5|2
1476 Awire|net@36|||2700|pin@22||9|-5|pin@18||9|4
1477 Awire|net@38|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
1478 Awire|net@39|||0|pin@15||4.5|7.5|pin@16||-5|7.5
1479 Awire|net@42|||1800|pin@22||9|-5|conn@1|y|17.5|-5
1480 Awire|net@43|||2700|pin@3||-9|-9|pin@26||-9|-1
1481 Awire|net@44|||2700|pin@26||-9|-1|pin@23||-9|4
1482 Awire|net@45|||1800|conn@2|y|-19.5|-1|pin@26||-9|-1
1483 Awire|net@46|||0|conn@0|a|13.5|0|pin@19||4.5|0
1484 Eina||D5G2;|conn@2|a|I
1485 Einb||D5G2;|conn@1|a|I
1486 Eout||D5G2;|conn@0|y|O
1487 X
1488
1489 # Cell nand2HLT_sy;1{ic}
1490 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1491 Ngeneric:Facet-Center|art@0||0|0||||AV
1492 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1493 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1494 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1495 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1496 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1497 NPin|pin@1||-1.5|-1|1|1||
1498 NPin|pin@2||-2.5|-1||||
1499 NPin|pin@3||-0.5|2|1|1||
1500 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1501 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1502 NPin|pin@6||-1.5|2|1|1||
1503 NPin|pin@7||-1.5|-2|1|1||
1504 NPin|pin@8||-0.5|-2|1|1||
1505 NPin|pin@9||-2.5|1||||
1506 NPin|pin@10||-1.5|1|1|1||
1507 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1508 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1509 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1510 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1511 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1512 Eina||D5G1;|pin@0||I
1513 Einb||D5G1;|pin@4||I
1514 Eout||D5G1;|pin@5||O
1515 X
1516
1517 # Cell nand2HLT_sy;1{sch}
1518 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX24.5;Y-20;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1519 IPMOS;1{ic}|PMOS@2||6|3.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1520 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S3.*@X/4.
1521 Ngeneric:Facet-Center|art@0||0|0||||AV
1522 NOff-Page|conn@0||-17|-9.75||||
1523 NOff-Page|conn@1||21|-5.75|||RR|
1524 NOff-Page|conn@2||22.5|0||||
1525 Inand2HLT_sy;1{ic}|nand2HLT@0||38|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1526 Inms2_sy;1{ic}|nms2_sy@0||0|-9.75|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1527 Ngeneric:Invisible-Pin|pin@18||32|-14|||||ART_message(D5G2;)S[X is drive strength,The pull-down is 1.5 times as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1528 NWire_Pin|pin@19||-9.5|4||||
1529 NWire_Pin|pin@20||-5|0||||
1530 NWire_Pin|pin@21||-9.5|-9.75||||
1531 NWire_Pin|pin@22||6|0||||
1532 NWire_Pin|pin@23||10.25|3.25||||
1533 NWire_Pin|pin@24||10.25|-5.75||||
1534 Ngeneric:Invisible-Pin|pin@25||3.5|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1535 NWire_Pin|pin@26||-5|7.5||||
1536 NWire_Pin|pin@27||6|7.5||||
1537 Ngeneric:Invisible-Pin|pin@28||2|20|||||ART_message(D5G2;)S[high-LO-threshold NAND]
1538 Ngeneric:Invisible-Pin|pin@29||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1539 Ngeneric:Invisible-Pin|pin@30||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1540 NWire_Pin|pin@31||0|0||||
1541 NPower|pwr@0||-5|10.5||||
1542 Awire|net@30|||2700|pin@21||-9.5|-9.75|pin@19||-9.5|4
1543 Awire|net@31|||2700|pin@24||10.25|-5.75|pin@23||10.25|3.25
1544 Awire|net@32|||0|pin@27||6|7.5|pin@26||-5|7.5
1545 Awire|net@33|||2700|pin@26||-5|7.5|pwr@0||-5|10.5
1546 Awire|net@34|||2700|pin@22||6|0|PMOS@2|d|6|1.25
1547 Awire|net@35|||1800|PMOS@2|g|9|3.25|pin@23||10.25|3.25
1548 Awire|net@36|||2700|PMOS@2|s|6|5.25|pin@27||6|7.5
1549 Awire|net@37|||2700|pin@20||-5|0|PMOS@3|d|-5|2
1550 Awire|net@38|||1800|pin@19||-9.5|4|PMOS@3|g|-8|4
1551 Awire|net@39|||900|pin@26||-5|7.5|PMOS@3|s|-5|6
1552 Awire|net@40|||0|pin@31||0|0|pin@20||-5|0
1553 Awire|net@41|||0|pin@22||6|0|pin@31||0|0
1554 Awire|net@42|||900|pin@31||0|0|nms2_sy@0|d|0|-3.75
1555 Awire|net@43|||0|pin@24||10.25|-5.75|nms2_sy@0|g2|3|-5.75
1556 Awire|net@44|||0|nms2_sy@0|g|-3|-9.75|pin@21||-9.5|-9.75
1557 Awire|net@45|||0|conn@2|a|20.5|0|pin@22||6|0
1558 Awire|net@46|||0|conn@1|y|19|-5.75|pin@24||10.25|-5.75
1559 Awire|net@47|||0|pin@21||-9.5|-9.75|conn@0|y|-15|-9.75
1560 Eina||D5G2;|conn@0|a|I
1561 Einb||D5G2;|conn@1|a|I
1562 Eout||D5G2;|conn@2|y|O
1563 X
1564
1565 # Cell nand2HT;1{ic}
1566 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1567 Ngeneric:Facet-Center|art@0||0|0||||AV
1568 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1569 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1570 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
1571 NPin|pin@0||-0.25|-2|1|1||
1572 NPin|pin@1||-1.5|-0.75|1|1||
1573 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1574 NPin|pin@3||-1.5|-1|1|1||
1575 NPin|pin@4||-2.5|-1||||
1576 NPin|pin@5||-0.5|2|1|1||
1577 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1578 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1579 NPin|pin@8||-1.5|2|1|1||
1580 NPin|pin@9||-1.5|-2|1|1||
1581 NPin|pin@10||-0.5|-2|1|1||
1582 NPin|pin@11||-2.5|1||||
1583 NPin|pin@12||-1.5|1|1|1||
1584 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1585 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1586 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1587 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1588 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1589 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1590 Eina||D5G1;|pin@2||I
1591 Einb||D5G1;|pin@6||I
1592 Eout||D5G1;|pin@7||O
1593 X
1594
1595 # Cell nand2HT;1{sch}
1596 Cnand2HT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1597 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S2.*@X
1598 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1599 Ngeneric:Facet-Center|art@0||0|0||||AV
1600 NOff-Page|conn@0||-14|-1||||
1601 NOff-Page|conn@1||16.5|-5|||RR|
1602 NOff-Page|conn@2||21|0|||Y|
1603 Inand2HT;1{ic}|nand2HT@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1604 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1605 NWire_Pin|pin@0||0|8||||
1606 NWire_Pin|pin@1||-5|8||||
1607 NWire_Pin|pin@2||4.5|8||||
1608 Ngeneric:Invisible-Pin|pin@3||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1609 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1610 NWire_Pin|pin@5||-9|4||||
1611 NWire_Pin|pin@6||0|0||||
1612 NWire_Pin|pin@7||-5|0||||
1613 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1614 NWire_Pin|pin@9||-9|-1||||
1615 NWire_Pin|pin@10||4.5|0||||
1616 NWire_Pin|pin@11||9|4||||
1617 NWire_Pin|pin@12||9|-5||||
1618 Ngeneric:Invisible-Pin|pin@13||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1619 NWire_Pin|pin@14||-9|-9||||
1620 NPower|pwr@0||0|11.5||||
1621 Awire|net@0|||0|nms2@0|g|-3|-9|pin@14||-9|-9
1622 Awire|net@1|||1800|nms2@0|g2|3|-5|pin@12||9|-5
1623 Awire|net@2|||2700|pin@0||0|8|pwr@0||0|11.5
1624 Awire|net@3|||1800|pin@1||-5|8|pin@0||0|8
1625 Awire|net@4|||1800|pin@0||0|8|pin@2||4.5|8
1626 Awire|net@5|||1800|pin@10||4.5|0|conn@2|a|19|0
1627 Awire|net@6|||2700|PMOS@2|s|-5|6|pin@1||-5|8
1628 Awire|net@7|||900|pin@2||4.5|8|PMOS@3|s|4.5|6
1629 Awire|net@8|||1800|pin@5||-9|4|PMOS@2|g|-8|4
1630 Awire|net@9|||2700|pin@7||-5|0|PMOS@2|d|-5|2
1631 Awire|net@10|||2700|pin@10||4.5|0|PMOS@3|d|4.5|2
1632 Awire|net@11|||0|pin@11||9|4|PMOS@3|g|7.5|4
1633 Awire|net@12|||2700|pin@9||-9|-1|pin@5||-9|4
1634 Awire|net@13|||900|pin@6||0|0|nms2@0|d|0|-3
1635 Awire|net@14|||0|pin@10||4.5|0|pin@6||0|0
1636 Awire|net@15|||0|pin@6||0|0|pin@7||-5|0
1637 Awire|net@16|||0|pin@9||-9|-1|conn@0|y|-12|-1
1638 Awire|net@17|||2700|pin@12||9|-5|pin@11||9|4
1639 Awire|net@18|||1800|pin@12||9|-5|conn@1|y|14.5|-5
1640 Awire|net@19|||2700|pin@14||-9|-9|pin@9||-9|-1
1641 Eina||D5G2;|conn@0|a|I
1642 Einb||D5G2;|conn@1|a|I
1643 Eout||D5G2;|conn@2|y|O
1644 X
1645
1646 # Cell nand2HTen;1{ic}
1647 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1648 Ngeneric:Facet-Center|art@0||0|0||||AV
1649 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
1650 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1651 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1652 Ngeneric:Invisible-Pin|pin@0||-0.38|-1.25|||||ART_message(D5G1.5;)S[en]
1653 NPin|pin@1||-1.5|1|1|1||
1654 NPin|pin@2||-2.5|1||||
1655 NPin|pin@3||-0.5|-2|1|1||
1656 NPin|pin@4||-1.5|-2|1|1||
1657 NPin|pin@5||-1.5|2|1|1||
1658 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1659 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1660 NPin|pin@8||-0.5|2|1|1||
1661 NPin|pin@9||-2.5|-1||||
1662 NPin|pin@10||-1.5|-1|1|1||
1663 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1664 NPin|pin@12||-1.5|-0.75|1|1||
1665 NPin|pin@13||-0.25|-2|1|1||
1666 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1667 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1668 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1669 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1670 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1671 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1672 Eina||D5G1;|pin@11||I
1673 Einb||D5G1;|pin@7||I
1674 Eout||D5G1;|pin@6||O
1675 X
1676
1677 # Cell nand2HTen;1{sch}
1678 Cnand2HTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16.5;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-16.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX6;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1679 IPMOS;1{ic}|PMOS@2||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S2.*@X
1680 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/5., 5./6.)
1681 Ngeneric:Facet-Center|art@0||0|0||||AV
1682 NOff-Page|conn@0||21|0|||Y|
1683 NOff-Page|conn@1||16.5|-5|||RR|
1684 NOff-Page|conn@2||-14|-1||||
1685 Inand2HTen;1{ic}|nand2HTe@0||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
1686 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X|ATTR_LEGATE(OJT)S@LEGATE|ATTR_LEPARALLGRP(T)I-1
1687 NWire_Pin|pin@0||-9|-9||||
1688 Ngeneric:Invisible-Pin|pin@1||9|6|||||ART_message(D5G1;)S[fixed size]
1689 Ngeneric:Invisible-Pin|pin@2||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1690 NWire_Pin|pin@3||9|-5||||
1691 NWire_Pin|pin@4||9|4||||
1692 NWire_Pin|pin@5||4.5|0||||
1693 NWire_Pin|pin@6||-9|-1||||
1694 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND where ina is enable (DC) input]
1695 NWire_Pin|pin@8||-5|0||||
1696 NWire_Pin|pin@9||0|0||||
1697 NWire_Pin|pin@10||-9|4||||
1698 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1699 Ngeneric:Invisible-Pin|pin@12||31.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1700 NWire_Pin|pin@13||4.5|8||||
1701 NWire_Pin|pin@14||-5|8||||
1702 NWire_Pin|pin@15||0|8||||
1703 NPower|pwr@0||0|11.5||||
1704 Awire|net@0|||2700|pin@0||-9|-9|pin@6||-9|-1
1705 Awire|net@1|||1800|pin@3||9|-5|conn@1|y|14.5|-5
1706 Awire|net@2|||2700|pin@3||9|-5|pin@4||9|4
1707 Awire|net@3|||0|pin@6||-9|-1|conn@2|y|-12|-1
1708 Awire|net@4|||0|pin@9||0|0|pin@8||-5|0
1709 Awire|net@5|||0|pin@5||4.5|0|pin@9||0|0
1710 Awire|net@6|||900|pin@9||0|0|nms2@0|d|0|-3
1711 Awire|net@7|||2700|pin@6||-9|-1|pin@10||-9|4
1712 Awire|net@8|||0|pin@4||9|4|PMOS@2|g|7.5|4
1713 Awire|net@9|||2700|pin@5||4.5|0|PMOS@2|d|4.5|2
1714 Awire|net@10|||2700|pin@8||-5|0|PMOS@3|d|-5|2
1715 Awire|net@11|||1800|pin@10||-9|4|PMOS@3|g|-8|4
1716 Awire|net@12|||900|pin@13||4.5|8|PMOS@2|s|4.5|6
1717 Awire|net@13|||2700|PMOS@3|s|-5|6|pin@14||-5|8
1718 Awire|net@14|||1800|pin@5||4.5|0|conn@0|a|19|0
1719 Awire|net@15|||1800|pin@15||0|8|pin@13||4.5|8
1720 Awire|net@16|||1800|pin@14||-5|8|pin@15||0|8
1721 Awire|net@17|||2700|pin@15||0|8|pwr@0||0|11.5
1722 Awire|net@18|||1800|nms2@0|g2|3|-5|pin@3||9|-5
1723 Awire|net@19|||0|nms2@0|g|-3|-9|pin@0||-9|-9
1724 Eina||D5G2;|conn@2|a|I
1725 Einb||D5G2;|conn@1|a|I
1726 Eout||D5G2;|conn@0|y|O
1727 X
1728
1729 # Cell nand2LT;1{ic}
1730 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1731 Ngeneric:Facet-Center|art@0||0|0||||AV
1732 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1733 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1734 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1735 NPin|pin@0||-0.25|-2|0.5|0.5||
1736 NPin|pin@1||-1.5|-0.75|0.5|0.5||
1737 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1738 NPin|pin@3||-1.5|-1|1|1||
1739 NPin|pin@4||-2.5|-1||||
1740 NPin|pin@5||-0.5|2|1|1||
1741 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1742 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1743 NPin|pin@8||-1.5|2|1|1||
1744 NPin|pin@9||-1.5|-2|1|1||
1745 NPin|pin@10||-0.5|-2|1|1||
1746 NPin|pin@11||-2.5|1||||
1747 NPin|pin@12||-1.5|1|1|1||
1748 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I10
1749 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I10
1750 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I10
1751 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I10
1752 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I10
1753 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I10
1754 Eina||D5G1;|pin@2||I
1755 Einb||D5G1;|pin@6||I
1756 Eout||D5G1;|pin@7||O
1757 X
1758
1759 # Cell nand2LT;1{sch}
1760 Cnand2LT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1761 IPMOS;1{ic}|PMOS@2||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1762 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1763 Ngeneric:Facet-Center|art@0||0|0||||AV
1764 NOff-Page|conn@0||-14.5|-9||||
1765 NOff-Page|conn@1||17|-5|||RR|
1766 NOff-Page|conn@2||17|0||||
1767 Inand2LT;1{ic}|nand2LT@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1768 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1769 Ngeneric:Invisible-Pin|pin@0||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1770 NWire_Pin|pin@1||-9.5|4||||
1771 NWire_Pin|pin@2||-5|0||||
1772 NWire_Pin|pin@3||-9.5|-9||||
1773 NWire_Pin|pin@4||6|0||||
1774 NWire_Pin|pin@5||11|4||||
1775 NWire_Pin|pin@6||11|-5||||
1776 Ngeneric:Invisible-Pin|pin@7||3.5|25|||||ART_message(D5G6;)S[nand2LT]
1777 NWire_Pin|pin@8||-5|7.5||||
1778 NWire_Pin|pin@9||6|7.5||||
1779 Ngeneric:Invisible-Pin|pin@10||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1780 Ngeneric:Invisible-Pin|pin@11||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1781 Ngeneric:Invisible-Pin|pin@12||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1782 NWire_Pin|pin@13||0|0||||
1783 NPower|pwr@0||-5|10.5||||
1784 Awire|net@0|||0|pin@6||11|-5|nms2@0|g2|3|-5
1785 Awire|net@1|||900|pin@13||0|0|nms2@0|d|0|-3
1786 Awire|net@2|||0|nms2@0|g|-3|-9|pin@3||-9.5|-9
1787 Awire|net@3|||2700|pin@3||-9.5|-9|pin@1||-9.5|4
1788 Awire|net@4|||0|pin@3||-9.5|-9|conn@0|y|-12.5|-9
1789 Awire|net@5|||2700|pin@6||11|-5|pin@5||11|4
1790 Awire|net@6|||1800|pin@6||11|-5|conn@1|y|15|-5
1791 Awire|net@7|||0|pin@9||6|7.5|pin@8||-5|7.5
1792 Awire|net@8|||2700|pin@8||-5|7.5|pwr@0||-5|10.5
1793 Awire|net@9|||1800|pin@4||6|0|conn@2|a|15|0
1794 Awire|net@10|||2700|pin@4||6|0|PMOS@2|d|6|2
1795 Awire|net@11|||1800|PMOS@2|g|9|4|pin@5||11|4
1796 Awire|net@12|||2700|PMOS@2|s|6|6|pin@9||6|7.5
1797 Awire|net@13|||2700|pin@2||-5|0|PMOS@3|d|-5|2
1798 Awire|net@14|||1800|pin@1||-9.5|4|PMOS@3|g|-8|4
1799 Awire|net@15|||900|pin@8||-5|7.5|PMOS@3|s|-5|6
1800 Awire|net@16|||0|pin@13||0|0|pin@2||-5|0
1801 Awire|net@17|||0|pin@4||6|0|pin@13||0|0
1802 Eina||D5G2;|conn@0|a|I
1803 Einb||D5G2;|conn@1|a|I
1804 Eout||D5G2;|conn@2|y|O
1805 X
1806
1807 # Cell nand2LT_sy;1{ic}
1808 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1809 Ngeneric:Facet-Center|art@0||0|0||||AV
1810 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1811 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1812 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1813 NPin|pin@0||-1.5|1|1|1||
1814 NPin|pin@1||-2.5|1||||
1815 NPin|pin@2||-0.5|-2|1|1||
1816 NPin|pin@3||-1.5|-2|1|1||
1817 NPin|pin@4||-1.5|2|1|1||
1818 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1819 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1820 NPin|pin@7||-0.5|2|1|1||
1821 NPin|pin@8||-2.5|-1||||
1822 NPin|pin@9||-1.5|-1|1|1||
1823 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1824 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I10
1825 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I10
1826 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I10
1827 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I10
1828 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I10
1829 Eina||D5G1;|pin@10||I
1830 Einb||D5G1;|pin@6||I
1831 Eout||D5G1;|pin@5||O
1832 X
1833
1834 # Cell nand2LT_sy;1{sch}
1835 Cnand2LT_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1836 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1837 IPMOS;1{ic}|PMOS@3||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
1838 Ngeneric:Facet-Center|art@0||0|0||||AV
1839 NOff-Page|conn@0||17|0||||
1840 NOff-Page|conn@1||17|-5|||RR|
1841 NOff-Page|conn@2||-14.5|-9||||
1842 Inand2LT_sy;1{ic}|nand2LT_@0||38.5|19|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1843 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1844 NWire_Pin|pin@0||0|0||||
1845 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1846 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1847 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1848 NWire_Pin|pin@4||6|7.5||||
1849 NWire_Pin|pin@5||-5|7.5||||
1850 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LT_sy]
1851 NWire_Pin|pin@7||11|-5||||
1852 NWire_Pin|pin@8||11|4||||
1853 NWire_Pin|pin@9||6|0||||
1854 NWire_Pin|pin@10||-9.5|-9||||
1855 NWire_Pin|pin@11||-5|0||||
1856 NWire_Pin|pin@12||-9.5|4||||
1857 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1858 NPower|pwr@0||-5|10.5||||
1859 Awire|net@0|||0|nms2_sy@0|g|-3|-9|pin@10||-9.5|-9
1860 Awire|net@1|||0|pin@7||11|-5|nms2_sy@0|g2|3|-5
1861 Awire|net@2|||900|pin@0||0|0|nms2_sy@0|d|0|-3
1862 Awire|net@3|||0|pin@9||6|0|pin@0||0|0
1863 Awire|net@4|||0|pin@0||0|0|pin@11||-5|0
1864 Awire|net@5|||900|pin@5||-5|7.5|PMOS@2|s|-5|6
1865 Awire|net@6|||1800|pin@12||-9.5|4|PMOS@2|g|-8|4
1866 Awire|net@7|||2700|pin@11||-5|0|PMOS@2|d|-5|2
1867 Awire|net@8|||2700|PMOS@3|s|6|6|pin@4||6|7.5
1868 Awire|net@9|||1800|PMOS@3|g|9|4|pin@8||11|4
1869 Awire|net@10|||2700|pin@9||6|0|PMOS@3|d|6|2
1870 Awire|net@11|||1800|pin@9||6|0|conn@0|a|15|0
1871 Awire|net@12|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1872 Awire|net@13|||0|pin@4||6|7.5|pin@5||-5|7.5
1873 Awire|net@14|||1800|pin@7||11|-5|conn@1|y|15|-5
1874 Awire|net@15|||2700|pin@7||11|-5|pin@8||11|4
1875 Awire|net@16|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1876 Awire|net@17|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1877 Eina||D5G2;|conn@2|a|I
1878 Einb||D5G2;|conn@1|a|I
1879 Eout||D5G2;|conn@0|y|O
1880 X
1881
1882 # Cell nand2LTen;1{ic}
1883 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1884 Ngeneric:Facet-Center|art@0||0|0||||AV
1885 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1886 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1887 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1888 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1889 NPin|pin@1||-1.5|1|1|1||
1890 NPin|pin@2||-2.5|1||||
1891 NPin|pin@3||-0.5|-2|1|1||
1892 NPin|pin@4||-1.5|-2|1|1||
1893 NPin|pin@5||-1.5|2|1|1||
1894 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
1895 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1896 NPin|pin@8||-0.5|2|1|1||
1897 NPin|pin@9||-2.5|-1||||
1898 NPin|pin@10||-1.5|-1|1|1||
1899 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
1900 NPin|pin@12||-1.5|-0.75|0.5|0.5||
1901 NPin|pin@13||-0.25|-2|0.5|0.5||
1902 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
1903 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
1904 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
1905 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
1906 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
1907 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
1908 Eina||D5G1;|pin@11||I
1909 Einb||D5G1;|pin@7||I
1910 Eout||D5G1;|pin@6||O
1911 X
1912
1913 # Cell nand2LTen;1{sch}
1914 Cnand2LTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-13.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-13.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-13.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX26.5;Y-17;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1915 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/20., 0.5)
1916 IPMOS;1{ic}|PMOS@3||6|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X/2.
1917 Ngeneric:Facet-Center|art@0||0|0||||AV
1918 NOff-Page|conn@0||17|0||||
1919 NOff-Page|conn@1||17|-5|||RR|
1920 NOff-Page|conn@2||-14.5|-9||||
1921 Inand2LTen;1{ic}|nand2LTe@0||42|18|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1922 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1923 NWire_Pin|pin@0||0|0||||
1924 Ngeneric:Invisible-Pin|pin@1||3|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1925 Ngeneric:Invisible-Pin|pin@2||2.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1926 Ngeneric:Invisible-Pin|pin@3||2|20|||||ART_message(D5G2;)S[LO-threshold NAND where ina is enable (DC) input]
1927 NWire_Pin|pin@4||6|7.5||||
1928 NWire_Pin|pin@5||-5|7.5||||
1929 Ngeneric:Invisible-Pin|pin@6||3.5|25|||||ART_message(D5G6;)S[nand2LTen]
1930 NWire_Pin|pin@7||11|-5||||
1931 NWire_Pin|pin@8||11|4||||
1932 NWire_Pin|pin@9||6|0||||
1933 NWire_Pin|pin@10||-9.5|-9||||
1934 NWire_Pin|pin@11||-5|0||||
1935 NWire_Pin|pin@12||-9.5|4||||
1936 Ngeneric:Invisible-Pin|pin@13||36.5|-10|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1937 NPower|pwr@0||-5|10.5||||
1938 Awire|net@0|||0|pin@9||6|0|pin@0||0|0
1939 Awire|net@1|||0|pin@0||0|0|pin@11||-5|0
1940 Awire|net@2|||900|pin@5||-5|7.5|PMOS@2|s|-5|6
1941 Awire|net@3|||1800|pin@12||-9.5|4|PMOS@2|g|-8|4
1942 Awire|net@4|||2700|pin@11||-5|0|PMOS@2|d|-5|2
1943 Awire|net@5|||2700|PMOS@3|s|6|6|pin@4||6|7.5
1944 Awire|net@6|||1800|PMOS@3|g|9|4|pin@8||11|4
1945 Awire|net@7|||2700|pin@9||6|0|PMOS@3|d|6|2
1946 Awire|net@8|||1800|pin@9||6|0|conn@0|a|15|0
1947 Awire|net@9|||2700|pin@5||-5|7.5|pwr@0||-5|10.5
1948 Awire|net@10|||0|pin@4||6|7.5|pin@5||-5|7.5
1949 Awire|net@11|||1800|pin@7||11|-5|conn@1|y|15|-5
1950 Awire|net@12|||2700|pin@7||11|-5|pin@8||11|4
1951 Awire|net@13|||0|pin@10||-9.5|-9|conn@2|y|-12.5|-9
1952 Awire|net@14|||2700|pin@10||-9.5|-9|pin@12||-9.5|4
1953 Awire|net@15|||0|nms2@0|g|-3|-9|pin@10||-9.5|-9
1954 Awire|net@16|||900|pin@0||0|0|nms2@0|d|0|-3
1955 Awire|net@17|||0|pin@7||11|-5|nms2@0|g2|3|-5
1956 Eina||D5G2;|conn@2|a|I
1957 Einb||D5G2;|conn@1|a|I
1958 Eout||D5G2;|conn@0|y|O
1959 X
1960
1961 # Cell nand2_sy;1{ic}
1962 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
1963 Ngeneric:Facet-Center|art@0||0|0||||AV
1964 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
1965 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
1966 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
1967 NPin|pin@1||-1.5|-1|1|1||
1968 NPin|pin@2||-2.5|-1||||
1969 NPin|pin@3||-0.5|2|1|1||
1970 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
1971 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1972 NPin|pin@6||-1.5|2|1|1||
1973 NPin|pin@7||-1.5|-2|1|1||
1974 NPin|pin@8||-0.5|-2|1|1||
1975 NPin|pin@9||-2.5|1||||
1976 NPin|pin@10||-1.5|1|1|1||
1977 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@2||-2.5|-1|ART_color()I10
1978 AThicker|net@1|||FS0|pin@3||-0.5|2|pin@6||-1.5|2|ART_color()I10
1979 AThicker|net@2|||FS2700|pin@7||-1.5|-2|pin@6||-1.5|2|ART_color()I10
1980 AThicker|net@3|||FS0|pin@8||-0.5|-2|pin@7||-1.5|-2|ART_color()I10
1981 AThicker|net@4|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
1982 Eina||D5G1;|pin@0||I
1983 Einb||D5G1;|pin@4||I
1984 Eout||D5G1;|pin@5||O
1985 X
1986
1987 # Cell nand2_sy;1{sch}
1988 Cnand2_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-17.5;Y-16;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17.5;Y-15;)S1|ATTR_drive0(D5G1;HNPTX-17.5;Y-17;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y-18;)Sstrong1|ATTR_verilog_template(D5G1;NTX25;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1989 IPMOS;1{ic}|PMOS@2||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1990 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
1991 Ngeneric:Facet-Center|art@0||0|0||||AV
1992 NOff-Page|conn@0||-23.5|-9||||
1993 NOff-Page|conn@1||19.5|-5|||RR|
1994 NOff-Page|conn@2||19.5|0||||
1995 Inand2_sy;1{ic}|nand2_sy@0||29|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1996 Inms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
1997 NWire_Pin|pin@4||13.5|-5||||
1998 NWire_Pin|pin@5||0|0||||
1999 Ngeneric:Invisible-Pin|pin@6||35|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2000 Ngeneric:Invisible-Pin|pin@7||3.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2001 NWire_Pin|pin@8||-9.5|4||||
2002 NWire_Pin|pin@9||-5|0||||
2003 Ngeneric:Invisible-Pin|pin@10||3.5|20|||||ART_message(D5G2;)S[one-parameter symmetric NAND]
2004 NWire_Pin|pin@11||-9.5|-9||||
2005 NWire_Pin|pin@12||5.5|0||||
2006 NWire_Pin|pin@13||13.5|4||||
2007 Ngeneric:Invisible-Pin|pin@14||3.5|25|||||ART_message(D5G6;)S[nand2_sy]
2008 NWire_Pin|pin@15||-5|7.5||||
2009 NWire_Pin|pin@16||5.5|7.5||||
2010 NPower|pwr@0||-5|10.5||||
2011 Awire|net@8|||1800|pin@12||5.5|0|conn@2|a|17.5|0
2012 Awire|net@9|||2700|pin@4||13.5|-5|pin@13||13.5|4
2013 Awire|net@10|||0|conn@1|y|17.5|-5|pin@4||13.5|-5
2014 Awire|net@11|||0|nms2_sy@0|g|-3|-9|pin@11||-9.5|-9
2015 Awire|net@12|||900|pin@5||0|0|nms2_sy@0|d|0|-3
2016 Awire|net@13|||1800|pin@9||-5|0|pin@5||0|0
2017 Awire|net@14|||1800|pin@5||0|0|pin@12||5.5|0
2018 Awire|net@15|||2700|PMOS@2|s|5.5|6|pin@16||5.5|7.5
2019 Awire|net@16|||1800|PMOS@2|g|8.5|4|pin@13||13.5|4
2020 Awire|net@17|||2700|pin@12||5.5|0|PMOS@2|d|5.5|2
2021 Awire|net@18|||900|pin@15||-5|7.5|PMOS@3|s|-5|6
2022 Awire|net@19|||1800|pin@8||-9.5|4|PMOS@3|g|-8|4
2023 Awire|net@20|||2700|pin@9||-5|0|PMOS@3|d|-5|2
2024 Awire|net@21|||2700|pin@11||-9.5|-9|pin@8||-9.5|4
2025 Awire|net@22|||0|pin@16||5.5|7.5|pin@15||-5|7.5
2026 Awire|net@23|||2700|pin@15||-5|7.5|pwr@0||-5|10.5
2027 Awire|net@30|||1800|nms2_sy@0|g2|3|-5|pin@4||13.5|-5
2028 Awire|net@31|||1800|conn@0|y|-21.5|-9|pin@11||-9.5|-9
2029 Eina||D5G2;|conn@0|a|I
2030 Einb||D5G2;|conn@1|a|I
2031 Eout||D5G2;|conn@2|y|O
2032 X
2033
2034 # Cell nand2en;1{ic}
2035 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2036 Ngeneric:Facet-Center|art@0||0|0||||AV
2037 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2038 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2039 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2040 NPin|pin@1||-0.25|-2|1|1||
2041 NPin|pin@2||-1.5|-0.75|1|1||
2042 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2043 NPin|pin@4||-1.5|-1|1|1||
2044 NPin|pin@5||-2.5|-1||||
2045 NPin|pin@6||-0.5|2|1|1||
2046 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2047 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2048 NPin|pin@9||-1.5|2|1|1||
2049 NPin|pin@10||-1.5|-2|1|1||
2050 NPin|pin@11||-0.5|-2|1|1||
2051 NPin|pin@12||-2.5|1||||
2052 NPin|pin@13||-1.5|1|1|1||
2053 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I10
2054 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I10
2055 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@9||-1.5|2|ART_color()I10
2056 AThicker|net@3|||FS2700|pin@10||-1.5|-2|pin@9||-1.5|2|ART_color()I10
2057 AThicker|net@4|||FS0|pin@11||-0.5|-2|pin@10||-1.5|-2|ART_color()I10
2058 AThicker|net@5|||FS0|pin@13||-1.5|1|pin@12||-2.5|1|ART_color()I10
2059 Eina||D5G1;|pin@3||I
2060 Einb||D5G1;|pin@7||I
2061 Eout||D5G1;|pin@8||O
2062 X
2063
2064 # Cell nand2en;1{sch}
2065 Cnand2en;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2066 IPMOS;1{ic}|PMOS@2||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)Smax(@X/10., 5.2/6.)
2067 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX3.5;Y0.5;)S@X
2068 Ngeneric:Facet-Center|art@0||0|0||||AV
2069 NOff-Page|conn@0||-14|-1||||
2070 NOff-Page|conn@1||14|-5|||RR|
2071 NOff-Page|conn@2||14|0||||
2072 Inand2en;1{ic}|nand2en@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2073 Inms2b;1{ic}|nms2@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
2074 NWire_Pin|pin@0||4.5|0||||
2075 NWire_Pin|pin@1||-9|-9||||
2076 NWire_Pin|pin@2||0|0||||
2077 Ngeneric:Invisible-Pin|pin@3||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2078 Ngeneric:Invisible-Pin|pin@4||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2079 NWire_Pin|pin@5||-9|4||||
2080 NWire_Pin|pin@6||-5|0||||
2081 Ngeneric:Invisible-Pin|pin@7||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2082 NWire_Pin|pin@8||-9|-1||||
2083 NWire_Pin|pin@9||9|4||||
2084 NWire_Pin|pin@10||9|-5||||
2085 Ngeneric:Invisible-Pin|pin@11||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2086 NWire_Pin|pin@12||-5|7.5||||
2087 NWire_Pin|pin@13||4.5|7.5||||
2088 NPower|pwr@0||-5|10.5||||
2089 Awire|net@0|||900|pin@12||-5|7.5|PMOS@2|s|-5|6
2090 Awire|net@1|||1800|pin@5||-9|4|PMOS@2|g|-8|4
2091 Awire|net@2|||2700|pin@6||-5|0|PMOS@2|d|-5|2
2092 Awire|net@3|||900|pin@13||4.5|7.5|PMOS@3|s|4.5|6
2093 Awire|net@4|||0|pin@9||9|4|PMOS@3|g|7.5|4
2094 Awire|net@5|||2700|pin@0||4.5|0|PMOS@3|d|4.5|2
2095 Awire|net@6|||0|pin@10||9|-5|nms2@0|g2|3|-5
2096 Awire|net@7|||0|conn@2|a|12|0|pin@0||4.5|0
2097 Awire|net@8|||0|pin@0||4.5|0|pin@2||0|0
2098 Awire|net@9|||1800|pin@1||-9|-9|nms2@0|g|-3|-9
2099 Awire|net@10|||2700|pin@1||-9|-9|pin@8||-9|-1
2100 Awire|net@11|||900|pin@2||0|0|nms2@0|d|0|-3
2101 Awire|net@12|||1800|pin@6||-5|0|pin@2||0|0
2102 Awire|net@13|||2700|pin@8||-9|-1|pin@5||-9|4
2103 Awire|net@14|||0|pin@8||-9|-1|conn@0|y|-12|-1
2104 Awire|net@15|||2700|pin@10||9|-5|pin@9||9|4
2105 Awire|net@16|||1800|pin@10||9|-5|conn@1|y|12|-5
2106 Awire|net@17|||0|pin@13||4.5|7.5|pin@12||-5|7.5
2107 Awire|net@18|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2108 Eina||D5G2;|conn@0|a|I
2109 Einb||D5G2;|conn@1|a|I
2110 Eout||D5G2;|conn@2|y|O
2111 X
2112
2113 # Cell nand2en_3n;1{ic}
2114 Cnand2en_3n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2115 Ngeneric:Facet-Center|art@0||0|0||||AV
2116 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2117 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2118 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[3n]
2119 NPin|pin@1||-1.5|1|1|1||
2120 NPin|pin@2||-2.5|1||||
2121 NPin|pin@3||-0.5|-2|1|1||
2122 NPin|pin@4||-1.5|-2|1|1||
2123 NPin|pin@5||-1.5|2|1|1||
2124 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2125 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2126 NPin|pin@8||-0.5|2|1|1||
2127 NPin|pin@9||-2.5|-1||||
2128 NPin|pin@10||-1.5|-1|1|1||
2129 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2130 NPin|pin@12||-1.5|-0.75|1|1||
2131 NPin|pin@13||-0.25|-2|1|1||
2132 Ngeneric:Invisible-Pin|pin@14||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2133 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I10
2134 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I10
2135 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I10
2136 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I10
2137 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I10
2138 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I10
2139 Eina||D5G1;|pin@11||I
2140 Einb||D5G1;|pin@7||I
2141 Eout||D5G1;|pin@6||O
2142 X
2143
2144 # Cell nand2en_3n;1{sch}
2145 Cnand2en_3n;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-20;Y-5.5;)I100|ATTR_X(D5G1;HNPX-20;Y-4.5;)I1|ATTR_drive0(D5G1;HNPTX-20;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y-7.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX25.5;Y-14;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
2146 IPMOS;1{ic}|PMOS@2||5.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
2147 IPMOS;1{ic}|PMOS@3||-9|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./6.)
2148 Ngeneric:Facet-Center|art@0||0|0||||AV
2149 NOff-Page|conn@0||15|0||||
2150 NOff-Page|conn@1||15|-5|||RR|
2151 NOff-Page|conn@2||-18|-1||||
2152 Inand2en_3n;1{ic}|nand2en_@0||25|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5G1.5;NPX2.5;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2153 Inms2b;1{ic}|nms2@0||-2|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2154 Inms2b;1{ic}|nms2@1||5.5|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2155 Inms2b;1{ic}|nms2@2||-9|-9|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X/3.
2156 NWire_Pin|pin@0||-2|0||||
2157 Ngeneric:Invisible-Pin|pin@1||-0.5|15|||||ART_message(D5G2;)S[3 n-stacks for larger sizes]
2158 NWire_Pin|pin@2||5.5|7.5||||
2159 NWire_Pin|pin@3||-9|7.5||||
2160 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en_3n]
2161 NWire_Pin|pin@5||10|-5||||
2162 NWire_Pin|pin@6||10|4||||
2163 NWire_Pin|pin@7||-13|-1||||
2164 Ngeneric:Invisible-Pin|pin@8||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
2165 NWire_Pin|pin@9||-9|0||||
2166 NWire_Pin|pin@10||-13|4||||
2167 Ngeneric:Invisible-Pin|pin@11||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2 (2/10 for enable input)]
2168 Ngeneric:Invisible-Pin|pin@12||31.5|-8|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2169 NWire_Pin|pin@13||-13|-9||||
2170 NWire_Pin|pin@14||5.5|0||||
2171 NPower|pwr@0||-9|10.5||||
2172 Awire|net@0|||2700|nms2@1|d|5.5|-3|pin@14||5.5|0
2173 Awire|net@1|||1800|nms2@1|g2|8.5|-5|pin@5||10|-5
2174 Awire|net@2|||1800|nms2@0|g2|1|-5|nms2@1|g2|8.5|-5
2175 Awire|net@3|||900|pin@0||-2|0|nms2@0|d|-2|-3
2176 Awire|net@4|||0|pin@14||5.5|0|pin@0||-2|0
2177 Awire|net@5|||0|pin@0||-2|0|pin@9||-9|0
2178 Awire|net@6|||1800|nms2@2|g2|-6|-5|nms2@0|g2|1|-5
2179 Awire|net@7|||0|nms2@1|g|2.5|-9|nms2@0|g|-5|-9
2180 Awire|net@8|||1800|nms2@2|g|-12|-9|nms2@0|g|-5|-9
2181 Awire|net@9|||900|pin@9||-9|0|nms2@2|d|-9|-3
2182 Awire|net@10|||2700|pin@3||-9|7.5|pwr@0||-9|10.5
2183 Awire|net@11|||0|pin@2||5.5|7.5|pin@3||-9|7.5
2184 Awire|net@12|||1800|pin@5||10|-5|conn@1|y|13|-5
2185 Awire|net@13|||2700|pin@5||10|-5|pin@6||10|4
2186 Awire|net@14|||0|pin@7||-13|-1|conn@2|y|-16|-1
2187 Awire|net@15|||2700|pin@7||-13|-1|pin@10||-13|4
2188 Awire|net@16|||2700|pin@13||-13|-9|pin@7||-13|-1
2189 Awire|net@17|||1800|pin@13||-13|-9|nms2@2|g|-12|-9
2190 Awire|net@18|||0|conn@0|a|13|0|pin@14||5.5|0
2191 Awire|net@19|||2700|pin@14||5.5|0|PMOS@2|d|5.5|2
2192 Awire|net@20|||0|pin@6||10|4|PMOS@2|g|8.5|4
2193 Awire|net@21|||900|pin@2||5.5|7.5|PMOS@2|s|5.5|6
2194 Awire|net@22|||2700|pin@9||-9|0|PMOS@3|d|-9|2
2195 Awire|net@23|||1800|pin@10||-13|4|PMOS@3|g|-12|4
2196 Awire|net@24|||900|pin@3||-9|7.5|PMOS@3|s|-9|6
2197 Eina||D5G2;|conn@2|a|I
2198 Einb||D5G2;|conn@1|a|I
2199 Eout||D5G2;|conn@0|y|O
2200 X
2201
2202 # Cell nand2n;1{ic}
2203 Cnand2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2204 Ngeneric:Facet-Center|art@0||0|0||||AV
2205 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I10
2206 NThick-Circle|art@2||-1|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2207 NThick-Circle|art@3||-1|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2208 NThick-Circle|art@4||-3.25|0|6|6|3200||ART_color()I10|ART_degrees()I800
2209 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
2210 NPin|pin@0||2|0||||
2211 NPin|pin@1||2.5|0|1|1||
2212 NPin|pin@2||-0.5|-1.25|1|1||
2213 NPin|pin@3||0|-1.75|1|1||
2214 Nschematic:Bus_Pin|pin@4||-2.5|-1|-2|-2||
2215 NPin|pin@5||-1.5|-1|1|1||
2216 NPin|pin@6||-2.5|-1||||
2217 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2218 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2219 NPin|pin@9||-2.5|1||||
2220 NPin|pin@10||-1.5|1|1|1||
2221 AThicker|net@0|||FS0|pin@1||2.5|0|pin@0||2|0|ART_color()I10
2222 AThicker|net@1|||FS3150|pin@3||0|-1.75|pin@2||-0.5|-1.25|ART_color()I10
2223 AThicker|net@2|||FS0|pin@5||-1.5|-1|pin@6||-2.5|-1|ART_color()I10
2224 AThicker|net@3|||FS0|pin@10||-1.5|1|pin@9||-2.5|1|ART_color()I10
2225 Eina||D5G1;|pin@4||I
2226 Einb||D5G1;|pin@7||I
2227 Eout||D5G1;|pin@8||O
2228 X
2229
2230 # Cell nand2n;1{sch}
2231 Cnand2n;1{sch}||schematic|1021415734000|1157998157812||ATTR_Delay(D5G1;HNPX-16;Y-5.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-16;Y-4.5;)S1|ATTR_drive0(D5G1;HNPTX-16;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-7.5;)Sstrong1|prototype_center()I[0,0]
2232 Ngeneric:Facet-Center|art@0||0|0||||AV
2233 NOff-Page|conn@0||-9.5|-1||||
2234 NOff-Page|conn@1||-9.5|1||||
2235 NOff-Page|conn@2||9|0||||
2236 Inand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2237 Inand2n;1{ic}|nand2n@0||24|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2238 Ngeneric:Invisible-Pin|pin@0||22|-9.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2239 Ngeneric:Invisible-Pin|pin@1||-2.5|11|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
2240 Ngeneric:Invisible-Pin|pin@2||-2.5|13.5|||||ART_message(D5G2;)S[one-parameter NAND (NOR rep)]
2241 Ngeneric:Invisible-Pin|pin@3||-2.5|18.5|||||ART_message(D5G6;)S[nand2n]
2242 Awire|net@0|||1800|nand2@0|out|2.5|0|conn@2|a|7|0
2243 Awire|net@1|||0|nand2@0|inb|-2.5|1|conn@1|y|-7.5|1
2244 Awire|net@2|||1800|conn@0|y|-7.5|-1|nand2@0|ina|-2.5|-1
2245 Eina||D5G2;|conn@0|a|I
2246 Einb||D5G2;|conn@1|a|I
2247 Eout||D5G2;|conn@2|y|O
2248 X
2249
2250 # Cell nand2n_sy;1{ic}
2251 Cnand2n_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX2;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
2252 Ngeneric:Facet-Center|art@0||0|0||||AV
2253 NThick-Circle|art@1||-1.5|1|1|1|||ART_color()I10
2254 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2255 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
2256 NThick-Circle|art@4||-1.5|-1|1|1|||ART_color()I10
2257 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
2258 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
2259 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
2260 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2261 NPin|pin@3||-2|1|1|1||
2262 NPin|pin@4||-2.5|1||||
2263 NPin|pin@5||1.5|0|1|1||
2264 NPin|pin@6||2.5|0||||
2265 NPin|pin@7||-2.5|-1||||
2266 NPin|pin@8||-2|-1|1|1||
2267 NPin|pin@9||-1|-1.25|1|1||
2268 AThicker|net@0|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I10
2269 AThicker|net@1|||FS0|pin@6||2.5|0|pin@5||1.5|0|ART_color()I10
2270 AThicker|net@2|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I10
2271 AThicker|net@3|||FS2700|pin@9||-1|-1.25|pin@9||-1|-1.25|ART_color()I78
2272 Eina||D5G1;|pin@2||I
2273 Einb||D5G1;|pin@1||I
2274 Eout||D5G1;|pin@0||O
2275 X
2276
2277 # Cell nand2n_sy;1{sch}
2278 Cnand2n_sy;1{sch}||schematic|1021415734000|1224793363940||ATTR_Delay(D5G1;HNPX-16.5;Y-6.5;)I100|ATTR_X(D5FLeave alone;G1;HNPX-16.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPTX-16.5;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-8.5;)Sstrong1|prototype_center()I[0,0]
2279 Ngeneric:Facet-Center|art@0||0|0||||AV
2280 NOff-Page|conn@0||-11.5|-1||||
2281 NOff-Page|conn@1||10|0||||
2282 NOff-Page|conn@2||-11.5|1||||
2283 Inand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1|ATTR_LEPARALLGRP()I-1|ATTR_su(OJT)S@su
2284 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2285 Ngeneric:Invisible-Pin|pin@0||-9|18.5|||||ART_message(D5G6;)S[nand2n_sy]
2286 Ngeneric:Invisible-Pin|pin@1||-10|13.5|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2287 Awire|net@0|||0|nand2_sy@0|inb|-2.5|1|conn@2|y|-9.5|1
2288 Awire|net@1|||0|nand2_sy@0|ina|-2.5|-1|conn@0|y|-9.5|-1
2289 Awire|net@2|||0|conn@1|a|8|0|nand2_sy@0|out|2.5|0
2290 Eina||D5G2;|conn@0|a|I
2291 Einb||D5G2;|conn@2|a|I
2292 Eout||D5G2;|conn@1|y|O
2293 X
2294
2295 # Cell nand3;1{ic}
2296 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2297 Ngeneric:Facet-Center|art@0||0|0||||AV
2298 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2299 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
2300 NPin|pin@0||-0.25|-3|1|1||
2301 NPin|pin@1||-1.5|-1.75|1|1||
2302 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2303 NPin|pin@3||-1.5|-2|1|1||
2304 NPin|pin@4||-2.5|-2||||
2305 NPin|pin@5||-0.5|3|1|1||
2306 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2307 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2308 NPin|pin@8||-1.5|3|1|1||
2309 NPin|pin@9||-1.5|-3|1|1||
2310 NPin|pin@10||-0.5|-3|1|1||
2311 NPin|pin@11||-2.5|0||||
2312 NPin|pin@12||-1.5|0|1|1||
2313 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2314 NPin|pin@14||-1.5|2|1|1||
2315 NPin|pin@15||-2.5|2||||
2316 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2317 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I10
2318 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I10
2319 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I10
2320 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2321 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I10
2322 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I10
2323 Eina||D5G1;|pin@2||I
2324 Einb||D5G1;|pin@6||I
2325 Einc||D5G1;|pin@13||I
2326 Eout||D5G1;|pin@7||O
2327 X
2328
2329 # Cell nand3;1{sch}
2330 Cnand3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2331 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2332 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2333 IPMOS;1{ic}|PMOS@5||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
2334 Ngeneric:Facet-Center|art@0||0|0||||AV
2335 NOff-Page|conn@0||-15|-12||||
2336 NOff-Page|conn@1||14|-1|||RR|
2337 NOff-Page|conn@2||0|14.5|||R|
2338 NOff-Page|conn@3||-22|4||||
2339 Inand3;1{ic}|nand3@0||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2340 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2341 NWire_Pin|pin@0||-5|7.5||||
2342 NWire_Pin|pin@1||-14|7.5||||
2343 NWire_Pin|pin@2||4.5|7.5||||
2344 Ngeneric:Invisible-Pin|pin@3||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2345 Ngeneric:Invisible-Pin|pin@4||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2346 NWire_Pin|pin@5||-9|4||||
2347 NWire_Pin|pin@6||0|0||||
2348 NWire_Pin|pin@7||-5|0||||
2349 Ngeneric:Invisible-Pin|pin@8||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2350 NWire_Pin|pin@9||-9|-12||||
2351 NWire_Pin|pin@10||4.5|0||||
2352 NWire_Pin|pin@11||9|4||||
2353 NWire_Pin|pin@12||9|-1||||
2354 Ngeneric:Invisible-Pin|pin@13||-0.5|27|||||ART_message(D5G6;)S[nand3]
2355 NWire_Pin|pin@14||-14|0||||
2356 NWire_Pin|pin@15||-18|4||||
2357 NWire_Pin|pin@16||-18|-4||||
2358 NWire_Pin|pin@17||9|-8||||
2359 NPower|pwr@0||-5|11.5||||
2360 Awire|net@0|||2700|pin@17||9|-8|pin@12||9|-1
2361 Awire|net@1|||0|nms3@0|g|-3|-12|pin@9||-9|-12
2362 Awire|net@2|||2700|pin@0||-5|7.5|pwr@0||-5|11.5
2363 Awire|net@3|||900|pin@0||-5|7.5|PMOS@5|s|-5|6
2364 Awire|net@4|||1800|pin@1||-14|7.5|pin@0||-5|7.5
2365 Awire|net@5|||1800|pin@0||-5|7.5|pin@2||4.5|7.5
2366 Awire|net@6|||2700|PMOS@3|s|-14|6|pin@1||-14|7.5
2367 Awire|net@7|||900|pin@2||4.5|7.5|PMOS@4|s|4.5|6
2368 Awire|net@8|||0|PMOS@3|g|-17|4|pin@15||-18|4
2369 Awire|net@9|||2700|pin@14||-14|0|PMOS@3|d|-14|2
2370 Awire|net@10|||1800|PMOS@4|g|7.5|4|pin@11||9|4
2371 Awire|net@11|||2700|pin@10||4.5|0|PMOS@4|d|4.5|2
2372 Awire|net@12|||1800|pin@5||-9|4|PMOS@5|g|-8|4
2373 Awire|net@13|||2700|pin@7||-5|0|PMOS@5|d|-5|2
2374 Awire|net@14|||2700|pin@9||-9|-12|pin@5||-9|4
2375 Awire|net@15|||2700|pin@6||0|0|conn@2|a|0|12.5
2376 Awire|net@16|||0|pin@10||4.5|0|pin@6||0|0
2377 Awire|net@17|||0|pin@6||0|0|pin@7||-5|0
2378 Awire|net@18|||0|pin@9||-9|-12|conn@0|y|-13|-12
2379 Awire|net@19|||2700|pin@12||9|-1|pin@11||9|4
2380 Awire|net@20|||1800|pin@12||9|-1|conn@1|y|12|-1
2381 Awire|net@21|||900|pin@6||0|0|nms3@0|d|0|-2
2382 Awire|net@22|||0|pin@7||-5|0|pin@14||-14|0
2383 Awire|net@23|||0|pin@15||-18|4|conn@3|y|-20|4
2384 Awire|net@24|||2700|pin@16||-18|-4|pin@15||-18|4
2385 Awire|net@25|||0|nms3@0|g3|-3|-4|pin@16||-18|-4
2386 Awire|net@26|||0|pin@17||9|-8|nms3@0|g2|3|-8
2387 Eina||D5G2;|conn@0|a|I
2388 Einb||D5G2;|conn@1|a|I
2389 Einc||D5G2;|conn@3|y|I
2390 Eout||D5G2;|conn@2|y|O
2391 X
2392
2393 # Cell nand3LT;1{ic}
2394 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2395 Ngeneric:Facet-Center|art@0||0|0||||AV
2396 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2397 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2398 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2399 NPin|pin@0||-0.25|-3|1|1||
2400 NPin|pin@1||-1.5|-1.75|1|1||
2401 NPin|pin@2||-2.5|2||||
2402 NPin|pin@3||-1.5|2|1|1||
2403 Ngeneric:Invisible-Pin|pin@4||-2.5|2||||
2404 NPin|pin@5||-1.5|0|1|1||
2405 NPin|pin@6||-2.5|0||||
2406 NPin|pin@7||-0.5|-3|1|1||
2407 NPin|pin@8||-1.5|-3|1|1||
2408 NPin|pin@9||-1.5|3|1|1||
2409 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
2410 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
2411 NPin|pin@12||-0.5|3|1|1||
2412 NPin|pin@13||-2.5|-2||||
2413 NPin|pin@14||-1.5|-2|1|1||
2414 Nschematic:Bus_Pin|pin@15||-2.5|-2|-2|-2||
2415 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I10
2416 AThicker|net@1|||FS0|pin@3||-1.5|2|pin@2||-2.5|2|ART_color()I10
2417 AThicker|net@2|||FS0|pin@5||-1.5|0|pin@6||-2.5|0|ART_color()I10
2418 AThicker|net@3|||FS0|pin@7||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2419 AThicker|net@4|||FS2700|pin@8||-1.5|-3|pin@9||-1.5|3|ART_color()I10
2420 AThicker|net@5|||FS0|pin@12||-0.5|3|pin@9||-1.5|3|ART_color()I10
2421 AThicker|net@6|||FS0|pin@14||-1.5|-2|pin@13||-2.5|-2|ART_color()I10
2422 Eina||D5G1;|pin@15||I
2423 Einb||D5G1;|pin@11||I
2424 Einc||D5G1;|pin@4||I
2425 Eout||D5G1;|pin@10||O
2426 X
2427
2428 # Cell nand3LT;1{sch}
2429 Cnand3LT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2430 IPMOS;1{ic}|PMOS@3||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2431 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2432 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2433 Ngeneric:Facet-Center|art@0||0|0||||AV
2434 NOff-Page|conn@0||-28|4||||
2435 NOff-Page|conn@1||0|11|||R|
2436 NOff-Page|conn@2||17|4|||RR|
2437 NOff-Page|conn@3||-27.5|-2.5||||
2438 Inand3LT;1{ic}|nand3LT@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2439 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2440 NWire_Pin|pin@0||-14|0||||
2441 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2442 NWire_Pin|pin@2||10.5|4||||
2443 NWire_Pin|pin@3||4.5|0||||
2444 Ngeneric:Invisible-Pin|pin@4||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2445 NWire_Pin|pin@5||-5|0||||
2446 NWire_Pin|pin@6||0|0||||
2447 NWire_Pin|pin@7||-9|4||||
2448 Ngeneric:Invisible-Pin|pin@8||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2449 Ngeneric:Invisible-Pin|pin@9||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2450 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2451 NWire_Pin|pin@11||-14|7.5||||
2452 NWire_Pin|pin@12||4.5|7.5||||
2453 NWire_Pin|pin@13||-5|7.5||||
2454 NWire_Pin|pin@14||-9|-2.5||||
2455 NWire_Pin|pin@15||-21.5|-2.5||||
2456 NWire_Pin|pin@16||-19.5|4||||
2457 NWire_Pin|pin@17||10.5|-12.5||||
2458 NWire_Pin|pin@18||-21.5|-16.5||||
2459 NWire_Pin|pin@19||-19.5|-8.5||||
2460 NPower|pwr@0||-5|10.5||||
2461 Awire|net@0|||2700|nms3@0|d|-5|-6.5|pin@5||-5|0
2462 Awire|net@1|||1800|pin@19||-19.5|-8.5|nms3@0|g3|-8|-8.5
2463 Awire|net@2|||0|pin@17||10.5|-12.5|nms3@0|g2|-2|-12.5
2464 Awire|net@3|||1800|pin@18||-21.5|-16.5|nms3@0|g|-8|-16.5
2465 Awire|net@4|||0|pin@5||-5|0|pin@0||-14|0
2466 Awire|net@5|||1800|pin@2||10.5|4|conn@2|y|15|4
2467 Awire|net@6|||0|pin@6||0|0|pin@5||-5|0
2468 Awire|net@7|||0|pin@3||4.5|0|pin@6||0|0
2469 Awire|net@8|||2700|pin@6||0|0|conn@1|a|0|9
2470 Awire|net@9|||0|pin@13||-5|7.5|pin@11||-14|7.5
2471 Awire|net@10|||0|pin@12||4.5|7.5|pin@13||-5|7.5
2472 Awire|net@11|||2700|pin@13||-5|7.5|pwr@0||-5|10.5
2473 Awire|net@12|||2700|pin@14||-9|-2.5|pin@7||-9|4
2474 Awire|net@13|||0|pin@15||-21.5|-2.5|conn@3|y|-25.5|-2.5
2475 Awire|net@14|||0|pin@14||-9|-2.5|pin@15||-21.5|-2.5
2476 Awire|net@15|||1800|conn@0|y|-26|4|pin@16||-19.5|4
2477 Awire|net@16|||2700|pin@3||4.5|0|PMOS@3|d|4.5|2
2478 Awire|net@17|||0|pin@2||10.5|4|PMOS@3|g|7.5|4
2479 Awire|net@18|||2700|PMOS@3|s|4.5|6|pin@12||4.5|7.5
2480 Awire|net@19|||2700|pin@5||-5|0|PMOS@4|d|-5|2
2481 Awire|net@20|||1800|pin@7||-9|4|PMOS@4|g|-8|4
2482 Awire|net@21|||900|pin@13||-5|7.5|PMOS@4|s|-5|6
2483 Awire|net@22|||2700|pin@0||-14|0|PMOS@5|d|-14|2
2484 Awire|net@23|||1800|pin@16||-19.5|4|PMOS@5|g|-17|4
2485 Awire|net@24|||900|pin@11||-14|7.5|PMOS@5|s|-14|6
2486 Awire|net@25|||2700|pin@17||10.5|-12.5|pin@2||10.5|4
2487 Awire|net@26|||900|pin@15||-21.5|-2.5|pin@18||-21.5|-16.5
2488 Awire|net@27|||900|pin@16||-19.5|4|pin@19||-19.5|-8.5
2489 Eina||D5G2;|conn@3|a|I
2490 Einb||D5G2;|conn@2|a|I
2491 Einc||D5G2;|conn@0|y|I
2492 Eout||D5G2;|conn@1|y|O
2493 X
2494
2495 # Cell nand3LT_sy3;1{ic}
2496 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2497 Ngeneric:Facet-Center|art@0||0|0||||AV
2498 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2499 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2500 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2501 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2502 NPin|pin@1||-1.5|-2|1|1||
2503 NPin|pin@2||-2.5|-2||||
2504 NPin|pin@3||-0.5|3|1|1||
2505 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2506 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2507 NPin|pin@6||-1.5|3|1|1||
2508 NPin|pin@7||-1.5|-3|1|1||
2509 NPin|pin@8||-0.5|-3|1|1||
2510 NPin|pin@9||-2.5|0||||
2511 NPin|pin@10||-1.5|0|1|1||
2512 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2513 NPin|pin@12||-1.5|2|1|1||
2514 NPin|pin@13||-2.5|2||||
2515 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2516 AThicker|net@0|||FS0|pin@1||-1.5|-2|pin@2||-2.5|-2|ART_color()I10
2517 AThicker|net@1|||FS0|pin@3||-0.5|3|pin@6||-1.5|3|ART_color()I10
2518 AThicker|net@2|||FS2700|pin@7||-1.5|-3|pin@6||-1.5|3|ART_color()I10
2519 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
2520 AThicker|net@4|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I10
2521 AThicker|net@5|||FS0|pin@12||-1.5|2|pin@13||-2.5|2|ART_color()I10
2522 Eina||D5G1;|pin@0||I
2523 Einb||D5G1;|pin@4||I
2524 Einc||D5G1;|pin@11||I
2525 Eout||D5G1;|pin@5||O
2526 X
2527
2528 # Cell nand3LT_sy3;1{sch}
2529 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2530 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2531 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2532 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/3.
2533 Ngeneric:Facet-Center|art@0||0|0||||AV
2534 NOff-Page|conn@0||-34.5|-2.5||||
2535 NOff-Page|conn@1||24|-12.5|||RR|
2536 NOff-Page|conn@2||27|0||||
2537 NOff-Page|conn@3||-35|4||||
2538 Inand3LT_sy3;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2539 Inms3_sy3;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-8.5;Y1;)S@X
2540 NWire_Pin|pin@10||-19.5|-8.5||||
2541 NWire_Pin|pin@11||-21.5|-16.5||||
2542 NWire_Pin|pin@12||10.5|-12.5||||
2543 NWire_Pin|pin@13||-19.5|4||||
2544 NWire_Pin|pin@14||-21.5|-2.5||||
2545 NWire_Pin|pin@15||-9|-2.5||||
2546 NWire_Pin|pin@16||-5|7.5||||
2547 NWire_Pin|pin@17||4.5|7.5||||
2548 NWire_Pin|pin@18||-14|7.5||||
2549 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2550 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2551 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2552 NWire_Pin|pin@22||-9|4||||
2553 NWire_Pin|pin@23||-5|0||||
2554 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2555 NWire_Pin|pin@25||4.5|0||||
2556 NWire_Pin|pin@26||10.5|4||||
2557 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
2558 NWire_Pin|pin@28||-14|0||||
2559 NPower|pwr@0||-5|10.5||||
2560 Awire|net@16|||1800|pin@23||-5|0|pin@25||4.5|0
2561 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-13|-8.5
2562 Awire|net@26|||900|pin@13||-19.5|4|pin@10||-19.5|-8.5
2563 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-13|-16.5
2564 Awire|net@28|||900|pin@14||-21.5|-2.5|pin@11||-21.5|-16.5
2565 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|1.5|-12.5
2566 Awire|net@30|||2700|pin@12||10.5|-12.5|pin@26||10.5|4
2567 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2568 Awire|net@32|||900|pin@18||-14|7.5|PMOS@3|s|-14|6
2569 Awire|net@33|||1800|pin@13||-19.5|4|PMOS@3|g|-17|4
2570 Awire|net@34|||2700|pin@28||-14|0|PMOS@3|d|-14|2
2571 Awire|net@35|||900|pin@16||-5|7.5|PMOS@4|s|-5|6
2572 Awire|net@36|||1800|pin@22||-9|4|PMOS@4|g|-8|4
2573 Awire|net@37|||2700|pin@23||-5|0|PMOS@4|d|-5|2
2574 Awire|net@38|||2700|PMOS@5|s|4.5|6|pin@17||4.5|7.5
2575 Awire|net@39|||0|pin@26||10.5|4|PMOS@5|g|7.5|4
2576 Awire|net@40|||2700|pin@25||4.5|0|PMOS@5|d|4.5|2
2577 Awire|net@41|||0|pin@15||-9|-2.5|pin@14||-21.5|-2.5
2578 Awire|net@42|||2700|pin@15||-9|-2.5|pin@22||-9|4
2579 Awire|net@43|||2700|pin@16||-5|7.5|pwr@0||-5|10.5
2580 Awire|net@44|||0|pin@17||4.5|7.5|pin@16||-5|7.5
2581 Awire|net@45|||0|pin@16||-5|7.5|pin@18||-14|7.5
2582 Awire|net@46|||0|pin@23||-5|0|pin@28||-14|0
2583 Awire|net@47|||0|conn@2|a|25|0|pin@25||4.5|0
2584 Awire|net@48|||0|conn@1|y|22|-12.5|pin@12||10.5|-12.5
2585 Awire|net@49|||0|pin@13||-19.5|4|conn@3|y|-33|4
2586 Awire|net@50|||1800|conn@0|y|-32.5|-2.5|pin@14||-21.5|-2.5
2587 Eina||D5G2;|conn@0|a|I
2588 Einb||D5G2;|conn@1|a|I
2589 Einc||D5G2;|conn@3|y|I
2590 Eout||D5G2;|conn@2|y|O
2591 X
2592
2593 # Cell nand3LT_sy6;1{ic}
2594 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2595 Ngeneric:Facet-Center|art@0||0|0||||AV
2596 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2597 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2598 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2599 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
2600 NPin|pin@1||-1.5|-2|1|1||
2601 NPin|pin@2||-2.5|-2||||
2602 NPin|pin@3||-0.5|3|1|1||
2603 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
2604 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2605 NPin|pin@6||-1.5|3|1|1||
2606 NPin|pin@7||-1.5|-3|1|1||
2607 NPin|pin@8||-0.5|-3|1|1||
2608 NPin|pin@9||-2.5|0||||
2609 NPin|pin@10||-1.5|0|1|1||
2610 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
2611 NPin|pin@12||-1.5|2|1|1||
2612 NPin|pin@13||-2.5|2||||
2613 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2614 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
2615 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
2616 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
2617 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
2618 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
2619 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
2620 Eina||D5G1;|pin@0||I
2621 Einb||D5G1;|pin@4||I
2622 Einc||D5G1;|pin@11||I
2623 Eout||D5G1;|pin@5||O
2624 X
2625
2626 # Cell nand3LT_sy6;1{sch}
2627 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2628 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2629 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2630 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/3.
2631 Ngeneric:Facet-Center|art@0||0|0||||AV
2632 NOff-Page|conn@1||24|-12.5|||RR|
2633 NOff-Page|conn@2||27|0||||
2634 NOff-Page|conn@3||-35|4||||
2635 NOff-Page|conn@4||-35|-2.5||||
2636 Inand3LT_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2637 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
2638 NWire_Pin|pin@10||-19.5|-8.5||||
2639 NWire_Pin|pin@11||-21.5|-16.5||||
2640 NWire_Pin|pin@12||10.5|-12.5||||
2641 NWire_Pin|pin@13||-19.5|4||||
2642 NWire_Pin|pin@14||-21.5|-2.5||||
2643 NWire_Pin|pin@15||-9|-2.5||||
2644 NWire_Pin|pin@16||-5|7.5||||
2645 NWire_Pin|pin@17||4.5|7.5||||
2646 NWire_Pin|pin@18||-14|7.5||||
2647 Ngeneric:Invisible-Pin|pin@19||0|16.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2648 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2649 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2650 NWire_Pin|pin@22||-9|4||||
2651 NWire_Pin|pin@23||-5|0||||
2652 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2653 NWire_Pin|pin@25||4.5|0||||
2654 NWire_Pin|pin@26||10.5|4||||
2655 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
2656 NWire_Pin|pin@28||-14|0||||
2657 NPower|pwr@0||-5|10.5||||
2658 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
2659 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
2660 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
2661 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
2662 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
2663 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
2664 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
2665 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
2666 Awire|net@32|||2700|PMOS@3|s|-14|6|pin@18||-14|7.5
2667 Awire|net@33|||0|PMOS@3|g|-17|4|pin@13||-19.5|4
2668 Awire|net@34|||900|PMOS@3|d|-14|2|pin@28||-14|0
2669 Awire|net@35|||2700|PMOS@4|s|-5|6|pin@16||-5|7.5
2670 Awire|net@36|||0|PMOS@4|g|-8|4|pin@22||-9|4
2671 Awire|net@37|||900|PMOS@4|d|-5|2|pin@23||-5|0
2672 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@5|s|4.5|6
2673 Awire|net@39|||1800|PMOS@5|g|7.5|4|pin@26||10.5|4
2674 Awire|net@40|||900|PMOS@5|d|4.5|2|pin@25||4.5|0
2675 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
2676 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
2677 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
2678 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
2679 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
2680 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
2681 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
2682 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
2683 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
2684 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
2685 Eina||D5G2;|conn@4|y|I
2686 Einb||D5G2;|conn@1|a|I
2687 Einc||D5G2;|conn@3|y|I
2688 Eout||D5G2;|conn@2|y|O
2689 X
2690
2691 # Cell nand3LTen;1{ic}
2692 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2693 Ngeneric:Facet-Center|art@0||0|0||||AV
2694 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2695 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2696 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2697 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2698 Nschematic:Bus_Pin|pin@1||-2.5|-2|-2|-2||
2699 NPin|pin@2||-1.5|-2|1|1||
2700 NPin|pin@3||-2.5|-2||||
2701 NPin|pin@4||-0.5|3|1|1||
2702 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
2703 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2704 NPin|pin@7||-1.5|3|1|1||
2705 NPin|pin@8||-1.5|-3|1|1||
2706 NPin|pin@9||-0.5|-3|1|1||
2707 NPin|pin@10||-2.5|0||||
2708 NPin|pin@11||-1.5|0|1|1||
2709 Ngeneric:Invisible-Pin|pin@12||-2.5|2||||
2710 NPin|pin@13||-1.5|2|1|1||
2711 NPin|pin@14||-2.5|2||||
2712 NPin|pin@15||-1.5|-1.75|1|1||
2713 NPin|pin@16||-0.25|-3|1|1||
2714 AThicker|net@0|||FS0|pin@2||-1.5|-2|pin@3||-2.5|-2|ART_color()I10
2715 AThicker|net@1|||FS0|pin@4||-0.5|3|pin@7||-1.5|3|ART_color()I10
2716 AThicker|net@2|||FS2700|pin@8||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2717 AThicker|net@3|||FS0|pin@9||-0.5|-3|pin@8||-1.5|-3|ART_color()I10
2718 AThicker|net@4|||FS0|pin@11||-1.5|0|pin@10||-2.5|0|ART_color()I10
2719 AThicker|net@5|||FS0|pin@13||-1.5|2|pin@14||-2.5|2|ART_color()I10
2720 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
2721 Eina||D5G1;|pin@1||I
2722 Einb||D5G1;|pin@5||I
2723 Einc||D5G1;|pin@12||I
2724 Eout||D5G1;|pin@6||O
2725 X
2726
2727 # Cell nand3LTen;1{sch}
2728 Cnand3LTen;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2729 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX1.5;Y2.5;)Smax(@X/20., 5./6.)
2730 IPMOS;1{ic}|PMOS@4||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2731 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2732 Ngeneric:Facet-Center|art@0||0|0||||AV
2733 NOff-Page|conn@0||-27.5|-2.5||||
2734 NOff-Page|conn@1||17|4|||RR|
2735 NOff-Page|conn@2||0|11|||R|
2736 NOff-Page|conn@3||-28|4||||
2737 Inand3LTen;1{ic}|nand3LTe@0||44|9|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2738 Inms3;1{ic}|nms3@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2739 Ngeneric:Invisible-Pin|pin@0||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable)"]
2740 NWire_Pin|pin@1||-19.5|-8.5||||
2741 NWire_Pin|pin@2||-21.5|-16.5||||
2742 NWire_Pin|pin@3||10.5|-12.5||||
2743 NWire_Pin|pin@4||-19.5|4||||
2744 NWire_Pin|pin@5||-21.5|-2.5||||
2745 NWire_Pin|pin@6||-9|-2.5||||
2746 NWire_Pin|pin@7||-5|7.5||||
2747 NWire_Pin|pin@8||4.5|7.5||||
2748 NWire_Pin|pin@9||-14|7.5||||
2749 Ngeneric:Invisible-Pin|pin@10||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2750 Ngeneric:Invisible-Pin|pin@11||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2751 Ngeneric:Invisible-Pin|pin@12||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2752 NWire_Pin|pin@13||-9|4||||
2753 NWire_Pin|pin@14||0|0||||
2754 NWire_Pin|pin@15||-5|0||||
2755 NWire_Pin|pin@16||4.5|0||||
2756 NWire_Pin|pin@17||10.5|4||||
2757 Ngeneric:Invisible-Pin|pin@18||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
2758 NWire_Pin|pin@19||-14|0||||
2759 NPower|pwr@0||-5|10.5||||
2760 Awire|net@0|||900|pin@7||-5|7.5|PMOS@3|s|-5|6
2761 Awire|net@1|||1800|pin@13||-9|4|PMOS@3|g|-8|4
2762 Awire|net@2|||2700|pin@15||-5|0|PMOS@3|d|-5|2
2763 Awire|net@3|||900|pin@15||-5|0|nms3@0|d|-5|-6.5
2764 Awire|net@4|||900|pin@4||-19.5|4|pin@1||-19.5|-8.5
2765 Awire|net@5|||900|pin@5||-21.5|-2.5|pin@2||-21.5|-16.5
2766 Awire|net@6|||2700|pin@3||10.5|-12.5|pin@17||10.5|4
2767 Awire|net@7|||900|pin@9||-14|7.5|PMOS@4|s|-14|6
2768 Awire|net@8|||1800|pin@4||-19.5|4|PMOS@4|g|-17|4
2769 Awire|net@9|||2700|pin@19||-14|0|PMOS@4|d|-14|2
2770 Awire|net@10|||2700|PMOS@5|s|4.5|6|pin@8||4.5|7.5
2771 Awire|net@11|||0|pin@17||10.5|4|PMOS@5|g|7.5|4
2772 Awire|net@12|||2700|pin@16||4.5|0|PMOS@5|d|4.5|2
2773 Awire|net@13|||1800|conn@3|y|-26|4|pin@4||-19.5|4
2774 Awire|net@14|||0|pin@6||-9|-2.5|pin@5||-21.5|-2.5
2775 Awire|net@15|||0|pin@5||-21.5|-2.5|conn@0|y|-25.5|-2.5
2776 Awire|net@16|||2700|pin@6||-9|-2.5|pin@13||-9|4
2777 Awire|net@17|||2700|pin@7||-5|7.5|pwr@0||-5|10.5
2778 Awire|net@18|||0|pin@8||4.5|7.5|pin@7||-5|7.5
2779 Awire|net@19|||0|pin@7||-5|7.5|pin@9||-14|7.5
2780 Awire|net@20|||2700|pin@14||0|0|conn@2|a|0|9
2781 Awire|net@21|||0|pin@16||4.5|0|pin@14||0|0
2782 Awire|net@22|||0|pin@14||0|0|pin@15||-5|0
2783 Awire|net@23|||1800|pin@17||10.5|4|conn@1|y|15|4
2784 Awire|net@24|||0|pin@15||-5|0|pin@19||-14|0
2785 Awire|net@25|||1800|pin@2||-21.5|-16.5|nms3@0|g|-8|-16.5
2786 Awire|net@26|||0|pin@3||10.5|-12.5|nms3@0|g2|-2|-12.5
2787 Awire|net@27|||1800|pin@1||-19.5|-8.5|nms3@0|g3|-8|-8.5
2788 Eina||D5G2;|conn@0|a|I
2789 Einb||D5G2;|conn@1|a|I
2790 Einc||D5G2;|conn@3|y|I
2791 Eout||D5G2;|conn@2|y|O
2792 X
2793
2794 # Cell nand3LTen_sy;1{ic}
2795 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2796 Ngeneric:Facet-Center|art@0||0|0||||AV
2797 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
2798 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2799 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2800 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2801 NPin|pin@1||-0.25|-3|1|1||
2802 NPin|pin@2||-1.5|-1.75|1|1||
2803 NPin|pin@3||-2.5|2||||
2804 NPin|pin@4||-1.5|2|1|1||
2805 Ngeneric:Invisible-Pin|pin@5||-2.5|2||||
2806 NPin|pin@6||-1.5|0|1|1||
2807 NPin|pin@7||-2.5|0||||
2808 NPin|pin@8||-0.5|-3|1|1||
2809 NPin|pin@9||-1.5|-3|1|1||
2810 NPin|pin@10||-1.5|3|1|1||
2811 Nschematic:Bus_Pin|pin@11||2.5|0|-2|-2||
2812 Nschematic:Bus_Pin|pin@12||-2.5|0|-2|-2||
2813 NPin|pin@13||-0.5|3|1|1||
2814 NPin|pin@14||-2.5|-2||||
2815 NPin|pin@15||-1.5|-2|1|1||
2816 Nschematic:Bus_Pin|pin@16||-2.5|-2|-2|-2||
2817 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2818 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
2819 AThicker|net@1|||FS0|pin@4||-1.5|2|pin@3||-2.5|2|ART_color()I10
2820 AThicker|net@2|||FS0|pin@6||-1.5|0|pin@7||-2.5|0|ART_color()I10
2821 AThicker|net@3|||FS0|pin@8||-0.5|-3|pin@9||-1.5|-3|ART_color()I10
2822 AThicker|net@4|||FS2700|pin@9||-1.5|-3|pin@10||-1.5|3|ART_color()I10
2823 AThicker|net@5|||FS0|pin@13||-0.5|3|pin@10||-1.5|3|ART_color()I10
2824 AThicker|net@6|||FS0|pin@15||-1.5|-2|pin@14||-2.5|-2|ART_color()I10
2825 Eina||D5G1;|pin@16||I
2826 Einb||D5G1;|pin@12||I
2827 Einc||D5G1;|pin@5||I
2828 Eout||D5G1;|pin@11||O
2829 X
2830
2831 # Cell nand3LTen_sy;1{sch}
2832 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-30;Y-11.5;)S1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-23;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2833 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/20., 5./6.)
2834 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2835 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2836 Ngeneric:Facet-Center|art@0||0|0||||AV
2837 NOff-Page|conn@0||-28|4||||
2838 NOff-Page|conn@1||0|11|||R|
2839 NOff-Page|conn@2||17|4|||RR|
2840 NOff-Page|conn@3||-27.5|-2.5||||
2841 Inand3LTen_sy;1{ic}|nand3LTe@0||40.5|8.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
2842 Inms3_2sy;1{ic}|nms3_2sy@0||-5|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
2843 NWire_Pin|pin@0||-14|0||||
2844 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
2845 NWire_Pin|pin@2||10.5|4||||
2846 NWire_Pin|pin@3||4.5|0||||
2847 NWire_Pin|pin@4||-5|0||||
2848 NWire_Pin|pin@5||0|0||||
2849 NWire_Pin|pin@6||-9|4||||
2850 Ngeneric:Invisible-Pin|pin@7||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2851 Ngeneric:Invisible-Pin|pin@8||28.5|-16|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2852 Ngeneric:Invisible-Pin|pin@9||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together (but one p/u is weak)]
2853 NWire_Pin|pin@10||-14|7.5||||
2854 NWire_Pin|pin@11||4.5|7.5||||
2855 NWire_Pin|pin@12||-5|7.5||||
2856 NWire_Pin|pin@13||-9|-2.5||||
2857 NWire_Pin|pin@14||-21.5|-2.5||||
2858 NWire_Pin|pin@15||-19.5|4||||
2859 NWire_Pin|pin@16||10.5|-12.5||||
2860 NWire_Pin|pin@17||-21.5|-16.5||||
2861 NWire_Pin|pin@18||-19.5|-8.5||||
2862 Ngeneric:Invisible-Pin|pin@19||0|20.5|||||ART_message(D5G2;)S["three input, fixed-size low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric"]
2863 NPower|pwr@0||-5|10.5||||
2864 Awire|net@0|||900|pin@12||-5|7.5|PMOS@3|s|-5|6
2865 Awire|net@1|||1800|pin@6||-9|4|PMOS@3|g|-8|4
2866 Awire|net@2|||2700|pin@4||-5|0|PMOS@3|d|-5|2
2867 Awire|net@3|||900|pin@4||-5|0|nms3_2sy@0|d|-5|-6.5
2868 Awire|net@4|||0|nms3_2sy@0|g|-7.25|-16.5|pin@17||-21.5|-16.5
2869 Awire|net@5|||1800|nms3_2sy@0|g2|-2|-12.5|pin@16||10.5|-12.5
2870 Awire|net@6|||0|nms3_2sy@0|g3|-8|-8.5|pin@18||-19.5|-8.5
2871 Awire|net@7|||0|pin@4||-5|0|pin@0||-14|0
2872 Awire|net@8|||1800|pin@2||10.5|4|conn@2|y|15|4
2873 Awire|net@9|||0|pin@5||0|0|pin@4||-5|0
2874 Awire|net@10|||0|pin@3||4.5|0|pin@5||0|0
2875 Awire|net@11|||2700|pin@5||0|0|conn@1|a|0|9
2876 Awire|net@12|||0|pin@12||-5|7.5|pin@10||-14|7.5
2877 Awire|net@13|||0|pin@11||4.5|7.5|pin@12||-5|7.5
2878 Awire|net@14|||2700|pin@12||-5|7.5|pwr@0||-5|10.5
2879 Awire|net@15|||2700|pin@13||-9|-2.5|pin@6||-9|4
2880 Awire|net@16|||0|pin@14||-21.5|-2.5|conn@3|y|-25.5|-2.5
2881 Awire|net@17|||0|pin@13||-9|-2.5|pin@14||-21.5|-2.5
2882 Awire|net@18|||1800|conn@0|y|-26|4|pin@15||-19.5|4
2883 Awire|net@19|||2700|pin@3||4.5|0|PMOS@4|d|4.5|2
2884 Awire|net@20|||0|pin@2||10.5|4|PMOS@4|g|7.5|4
2885 Awire|net@21|||2700|PMOS@4|s|4.5|6|pin@11||4.5|7.5
2886 Awire|net@22|||2700|pin@0||-14|0|PMOS@5|d|-14|2
2887 Awire|net@23|||1800|pin@15||-19.5|4|PMOS@5|g|-17|4
2888 Awire|net@24|||900|pin@10||-14|7.5|PMOS@5|s|-14|6
2889 Awire|net@25|||2700|pin@16||10.5|-12.5|pin@2||10.5|4
2890 Awire|net@26|||900|pin@14||-21.5|-2.5|pin@17||-21.5|-16.5
2891 Awire|net@27|||900|pin@15||-19.5|4|pin@18||-19.5|-8.5
2892 Eina||D5G2;|conn@3|a|I
2893 Einb||D5G2;|conn@2|a|I
2894 Einc||D5G2;|conn@0|y|I
2895 Eout||D5G2;|conn@1|y|O
2896 X
2897
2898 # Cell nand3MLT;1{ic}
2899 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
2900 Ngeneric:Facet-Center|art@0||0|0||||AV
2901 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I10|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
2902 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I10|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2903 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
2904 NThick-Circle|art@4||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
2905 NPin|pin@0||-2.5|2||||
2906 NPin|pin@1||-1.5|2|1|1||
2907 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2908 NPin|pin@3||-1.5|0|1|1||
2909 NPin|pin@4||-2.5|0||||
2910 NPin|pin@5||-0.5|-3|1|1||
2911 NPin|pin@6||-1.5|-3|1|1||
2912 NPin|pin@7||-1.5|3|1|1||
2913 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2914 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2915 NPin|pin@10||-0.5|3|1|1||
2916 NPin|pin@11||-2.5|-2||||
2917 NPin|pin@12||-1.5|-2|1|1||
2918 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2919 NPin|pin@14||-1.5|-1.75|1|1||
2920 NPin|pin@15||-0.25|-3|1|1||
2921 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I10
2922 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I10
2923 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I10
2924 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I10
2925 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I10
2926 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I10
2927 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I10
2928 Eina||D5G1;|pin@13||I
2929 Einb||D5G1;|pin@9||I
2930 Einc||D5G1;|pin@2||I
2931 Eout||D5G1;|pin@8||O
2932 X
2933
2934 # Cell nand3MLT;1{sch}
2935 Cnand3MLT;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
2936 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2937 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2938 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X/2.
2939 Ngeneric:Facet-Center|art@0||0|0||||AV
2940 NOff-Page|conn@0||-34.5|4||||
2941 NOff-Page|conn@1||28.5|10||||
2942 NOff-Page|conn@2||23|-1|||RR|
2943 NOff-Page|conn@3||-23|-12||||
2944 Inand3MLT;1{ic}|nand3MLT@0||38|26|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2945 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
2946 NWire_Pin|pin@15||0|10||||
2947 Ngeneric:Invisible-Pin|pin@26||33|-10.5|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2948 Ngeneric:Invisible-Pin|pin@27||0|21|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
2949 Ngeneric:Invisible-Pin|pin@28||-0.5|23|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2950 NWire_Pin|pin@29||9|-8||||
2951 NWire_Pin|pin@30||-18|-4||||
2952 NWire_Pin|pin@31||-18|4||||
2953 NWire_Pin|pin@32||-14|0||||
2954 Ngeneric:Invisible-Pin|pin@33||-0.5|30|||||ART_message(D5G6;)S[nand3MLT]
2955 NWire_Pin|pin@34||9|4||||
2956 NWire_Pin|pin@35||4.5|0||||
2957 Ngeneric:Invisible-Pin|pin@36||-0.5|25|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2958 NWire_Pin|pin@37||-5|0||||
2959 NWire_Pin|pin@38||0|0||||
2960 NWire_Pin|pin@39||-9|4||||
2961 NWire_Pin|pin@40||-9|-12||||
2962 NWire_Pin|pin@41||4.5|7.5||||
2963 NWire_Pin|pin@42||-14|7.5||||
2964 NWire_Pin|pin@43||-5|7.5||||
2965 NWire_Pin|pin@44||9|-1||||
2966 NPower|pwr@0||-5|11.5||||
2967 Awire|net@30|||2700|pin@40||-9|-12|pin@39||-9|4
2968 Awire|net@33|||2700|pin@38||0|0|pin@15||0|10
2969 Awire|net@42|||0|pin@29||9|-8|nms3@0|g2|3|-8
2970 Awire|net@43|||0|nms3@0|g3|-3|-4|pin@30||-18|-4
2971 Awire|net@44|||2700|pin@30||-18|-4|pin@31||-18|4
2972 Awire|net@45|||0|pin@37||-5|0|pin@32||-14|0
2973 Awire|net@46|||0|nms3@0|g|-3|-12|pin@40||-9|-12
2974 Awire|net@47|||900|pin@38||0|0|nms3@0|d|0|-2
2975 Awire|net@48|||0|pin@38||0|0|pin@37||-5|0
2976 Awire|net@49|||0|pin@35||4.5|0|pin@38||0|0
2977 Awire|net@50|||2700|pin@37||-5|0|PMOS@3|d|-5|2
2978 Awire|net@51|||1800|pin@39||-9|4|PMOS@3|g|-8|4
2979 Awire|net@52|||2700|pin@35||4.5|0|PMOS@4|d|4.5|2
2980 Awire|net@53|||1800|PMOS@4|g|7.5|4|pin@34||9|4
2981 Awire|net@54|||2700|pin@32||-14|0|PMOS@5|d|-14|2
2982 Awire|net@55|||0|PMOS@5|g|-17|4|pin@31||-18|4
2983 Awire|net@56|||900|pin@41||4.5|7.5|PMOS@4|s|4.5|6
2984 Awire|net@57|||2700|PMOS@5|s|-14|6|pin@42||-14|7.5
2985 Awire|net@58|||1800|pin@43||-5|7.5|pin@41||4.5|7.5
2986 Awire|net@59|||1800|pin@42||-14|7.5|pin@43||-5|7.5
2987 Awire|net@60|||900|pin@43||-5|7.5|PMOS@3|s|-5|6
2988 Awire|net@61|||2700|pin@43||-5|7.5|pwr@0||-5|11.5
2989 Awire|net@62|||900|pin@44||9|-1|pin@29||9|-8
2990 Awire|net@63|||900|pin@34||9|4|pin@44||9|-1
2991 Awire|net@64|||0|conn@2|y|21|-1|pin@44||9|-1
2992 Awire|net@65|||0|conn@1|a|26.5|10|pin@15||0|10
2993 Awire|net@70|||1800|conn@3|y|-21|-12|pin@40||-9|-12
2994 Awire|net@71|||1800|conn@0|y|-32.5|4|pin@31||-18|4
2995 Eina||D5G2;|conn@3|a|I
2996 Einb||D5G2;|conn@2|a|I
2997 Einc||D5G2;|conn@0|y|I
2998 Eout||D5G2;|conn@1|y|O
2999 X
3000
3001 # Cell nand3_sy6;1{ic}
3002 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5G1.5;HNPX3;Y2.5;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3003 Ngeneric:Facet-Center|art@0||0|0||||AV
3004 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3005 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
3006 Nschematic:Bus_Pin|pin@0||-2.5|-2|-2|-2||
3007 NPin|pin@1||-1.5|-2|1|1||
3008 NPin|pin@2||-2.5|-2||||
3009 NPin|pin@3||-0.5|3|1|1||
3010 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
3011 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3012 NPin|pin@6||-1.5|3|1|1||
3013 NPin|pin@7||-1.5|-3|1|1||
3014 NPin|pin@8||-0.5|-3|1|1||
3015 NPin|pin@9||-2.5|0||||
3016 NPin|pin@10||-1.5|0|1|1||
3017 Ngeneric:Invisible-Pin|pin@11||-2.5|2||||
3018 NPin|pin@12||-1.5|2|1|1||
3019 NPin|pin@13||-2.5|2||||
3020 Ngeneric:Invisible-Pin|pin@14||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
3021 AThicker|net@0|||FS1800|pin@2||-2.5|-2|pin@1||-1.5|-2|ART_color()I10
3022 AThicker|net@1|||FS1800|pin@6||-1.5|3|pin@3||-0.5|3|ART_color()I10
3023 AThicker|net@2|||FS900|pin@6||-1.5|3|pin@7||-1.5|-3|ART_color()I10
3024 AThicker|net@3|||FS1800|pin@7||-1.5|-3|pin@8||-0.5|-3|ART_color()I10
3025 AThicker|net@4|||FS1800|pin@9||-2.5|0|pin@10||-1.5|0|ART_color()I10
3026 AThicker|net@5|||FS1800|pin@13||-2.5|2|pin@12||-1.5|2|ART_color()I10
3027 Eina||D5G1;|pin@0||I
3028 Einb||D5G1;|pin@4||I
3029 Einc||D5G1;|pin@11||I
3030 Eout||D5G1;|pin@5||O
3031 X
3032
3033 # Cell nand3_sy6;1{sch}
3034 Cnand3_sy6;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-30;Y-12.5;)I100|ATTR_X(D5G1;HNPX-30;Y-11.5;)I1|ATTR_drive0(D5G1;HNPTX-30;Y-13.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-30;Y-14.5;)Sstrong1|ATTR_verilog_template(D5G1;NTX19;Y-24;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3035 IPMOS;1{ic}|PMOS@3||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3036 IPMOS;1{ic}|PMOS@4||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3037 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3038 Ngeneric:Facet-Center|art@0||0|0||||AV
3039 NOff-Page|conn@1||24|-12.5|||RR|
3040 NOff-Page|conn@2||27|0||||
3041 NOff-Page|conn@3||-35|4||||
3042 NOff-Page|conn@4||-35|-2.5||||
3043 Inand3_sy6;1{ic}|nand3LT_@0||35|19.5|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5G1.5;NPX3;Y2.5;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE()I1|ATTR_su()I-1
3044 Inms3_sy6;1{ic}|nms3_sy3@0||-10|-16.5|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y2;)S@X
3045 NWire_Pin|pin@10||-19.5|-8.5||||
3046 NWire_Pin|pin@11||-21.5|-16.5||||
3047 NWire_Pin|pin@12||10.5|-12.5||||
3048 NWire_Pin|pin@13||-19.5|4||||
3049 NWire_Pin|pin@14||-21.5|-2.5||||
3050 NWire_Pin|pin@15||-9|-2.5||||
3051 NWire_Pin|pin@16||-5|7.5||||
3052 NWire_Pin|pin@17||4.5|7.5||||
3053 NWire_Pin|pin@18||-14|7.5||||
3054 Ngeneric:Invisible-Pin|pin@19||0|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
3055 Ngeneric:Invisible-Pin|pin@20||28.5|-19|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
3056 Ngeneric:Invisible-Pin|pin@21||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
3057 NWire_Pin|pin@22||-9|4||||
3058 NWire_Pin|pin@23||-5|0||||
3059 Ngeneric:Invisible-Pin|pin@24||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
3060 NWire_Pin|pin@25||4.5|0||||
3061 NWire_Pin|pin@26||10.5|4||||
3062 Ngeneric:Invisible-Pin|pin@27||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
3063 NWire_Pin|pin@28||-14|0||||
3064 NPower|pwr@0||-5|10.5||||
3065 Awire|net@16|||0|pin@25||4.5|0|pin@23||-5|0
3066 Awire|net@25|||1800|pin@10||-19.5|-8.5|nms3_sy3@0|g3|-7.5|-8.5
3067 Awire|net@26|||2700|pin@10||-19.5|-8.5|pin@13||-19.5|4
3068 Awire|net@27|||1800|pin@11||-21.5|-16.5|nms3_sy3@0|g|-7.5|-16.5
3069 Awire|net@28|||2700|pin@11||-21.5|-16.5|pin@14||-21.5|-2.5
3070 Awire|net@29|||0|pin@12||10.5|-12.5|nms3_sy3@0|g2|-2.5|-12.5
3071 Awire|net@30|||900|pin@26||10.5|4|pin@12||10.5|-12.5
3072 Awire|net@31|||900|pin@23||-5|0|nms3_sy3@0|d|-5|-5.5
3073 Awire|net@32|||2700|PMOS@3|s|-14|6|pin@18||-14|7.5
3074 Awire|net@33|||0|PMOS@3|g|-17|4|pin@13||-19.5|4
3075 Awire|net@34|||900|PMOS@3|d|-14|2|pin@28||-14|0
3076 Awire|net@35|||2700|PMOS@4|s|-5|6|pin@16||-5|7.5
3077 Awire|net@36|||0|PMOS@4|g|-8|4|pin@22||-9|4
3078 Awire|net@37|||900|PMOS@4|d|-5|2|pin@23||-5|0
3079 Awire|net@38|||900|pin@17||4.5|7.5|PMOS@5|s|4.5|6
3080 Awire|net@39|||1800|PMOS@5|g|7.5|4|pin@26||10.5|4
3081 Awire|net@40|||900|PMOS@5|d|4.5|2|pin@25||4.5|0
3082 Awire|net@41|||1800|pin@14||-21.5|-2.5|pin@15||-9|-2.5
3083 Awire|net@42|||900|pin@22||-9|4|pin@15||-9|-2.5
3084 Awire|net@43|||900|pwr@0||-5|10.5|pin@16||-5|7.5
3085 Awire|net@44|||1800|pin@16||-5|7.5|pin@17||4.5|7.5
3086 Awire|net@45|||1800|pin@18||-14|7.5|pin@16||-5|7.5
3087 Awire|net@46|||1800|pin@28||-14|0|pin@23||-5|0
3088 Awire|net@47|||1800|pin@25||4.5|0|conn@2|a|25|0
3089 Awire|net@48|||1800|pin@12||10.5|-12.5|conn@1|y|22|-12.5
3090 Awire|net@49|||1800|conn@3|y|-33|4|pin@13||-19.5|4
3091 Awire|net@50|||1800|conn@4|y|-33|-2.5|pin@14||-21.5|-2.5
3092 Eina||D5G2;|conn@4|y|I
3093 Einb||D5G2;|conn@1|a|I
3094 Einc||D5G2;|conn@3|y|I
3095 Eout||D5G2;|conn@2|y|O
3096 X
3097
3098 # Cell nand3en;1{ic}
3099 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3100 Ngeneric:Facet-Center|art@0||0|0||||AV
3101 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
3102 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3103 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3104 NPin|pin@1||-2.5|2||||
3105 NPin|pin@2||-1.5|2|1|1||
3106 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
3107 NPin|pin@4||-1.5|0|1|1||
3108 NPin|pin@5||-2.5|0||||
3109 NPin|pin@6||-0.5|-3|1|1||
3110 NPin|pin@7||-1.5|-3|1|1||
3111 NPin|pin@8||-1.5|3|1|1||
3112 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
3113 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
3114 NPin|pin@11||-0.5|3|1|1||
3115 NPin|pin@12||-2.5|-2||||
3116 NPin|pin@13||-1.5|-2|1|1||
3117 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
3118 NPin|pin@15||-1.5|-1.75|1|1||
3119 NPin|pin@16||-0.25|-3|1|1||
3120 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I10
3121 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I10
3122 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I10
3123 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I10
3124 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I10
3125 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I10
3126 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I10
3127 Eina||D5G1;|pin@14||I
3128 Einb||D5G1;|pin@10||I
3129 Einc||D5G1;|pin@3||I
3130 Eout||D5G1;|pin@9||O
3131 X
3132
3133 # Cell nand3en;1{sch}
3134 Cnand3en;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3135 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2;)Smax(@X/10., 5./6.)
3136 IPMOS;1{ic}|PMOS@4||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3137 IPMOS;1{ic}|PMOS@5||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3138 Ngeneric:Facet-Center|art@0||0|0||||AV
3139 NOff-Page|conn@0||-22|4||||
3140 NOff-Page|conn@1||0|14.5|||R|
3141 NOff-Page|conn@2||14|-1|||RR|
3142 NOff-Page|conn@3||-15|-12||||
3143 Inand3en;1{ic}|nand3en@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3144 Inms3;1{ic}|nms3@0||0|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X
3145 NWire_Pin|pin@0||9|-8||||
3146 NWire_Pin|pin@1||-18|-4||||
3147 NWire_Pin|pin@2||-18|4||||
3148 NWire_Pin|pin@3||-14|0||||
3149 Ngeneric:Invisible-Pin|pin@4||-0.5|27|||||ART_message(D5G6;)S[nand3en]
3150 NWire_Pin|pin@5||9|-1||||
3151 NWire_Pin|pin@6||9|4||||
3152 NWire_Pin|pin@7||4.5|0||||
3153 NWire_Pin|pin@8||-9|-12||||
3154 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
3155 NWire_Pin|pin@10||-5|0||||
3156 NWire_Pin|pin@11||0|0||||
3157 NWire_Pin|pin@12||-9|4||||
3158 Ngeneric:Invisible-Pin|pin@13||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3159 Ngeneric:Invisible-Pin|pin@14||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3160 NWire_Pin|pin@15||4.5|7.5||||
3161 NWire_Pin|pin@16||-14|7.5||||
3162 NWire_Pin|pin@17||-5|7.5||||
3163 NPower|pwr@0||-5|11.5||||
3164 Awire|net@0|||900|pin@17||-5|7.5|PMOS@3|s|-5|6
3165 Awire|net@1|||1800|pin@12||-9|4|PMOS@3|g|-8|4
3166 Awire|net@2|||2700|pin@10||-5|0|PMOS@3|d|-5|2
3167 Awire|net@3|||1800|pin@8||-9|-12|nms3@0|g|-3|-12
3168 Awire|net@4|||900|pin@5||9|-1|pin@0||9|-8
3169 Awire|net@5|||0|pin@0||9|-8|nms3@0|g2|3|-8
3170 Awire|net@6|||0|nms3@0|g3|-3|-4|pin@1||-18|-4
3171 Awire|net@7|||2700|pin@1||-18|-4|pin@2||-18|4
3172 Awire|net@8|||0|pin@2||-18|4|conn@0|y|-20|4
3173 Awire|net@9|||0|pin@10||-5|0|pin@3||-14|0
3174 Awire|net@10|||900|pin@11||0|0|nms3@0|d|0|-2
3175 Awire|net@11|||1800|pin@5||9|-1|conn@2|y|12|-1
3176 Awire|net@12|||2700|pin@5||9|-1|pin@6||9|4
3177 Awire|net@13|||0|pin@8||-9|-12|conn@3|y|-13|-12
3178 Awire|net@14|||0|pin@11||0|0|pin@10||-5|0
3179 Awire|net@15|||0|pin@7||4.5|0|pin@11||0|0
3180 Awire|net@16|||2700|pin@11||0|0|conn@1|a|0|12.5
3181 Awire|net@17|||2700|pin@8||-9|-12|pin@12||-9|4
3182 Awire|net@18|||2700|pin@7||4.5|0|PMOS@4|d|4.5|2
3183 Awire|net@19|||1800|PMOS@4|g|7.5|4|pin@6||9|4
3184 Awire|net@20|||2700|pin@3||-14|0|PMOS@5|d|-14|2
3185 Awire|net@21|||0|PMOS@5|g|-17|4|pin@2||-18|4
3186 Awire|net@22|||900|pin@15||4.5|7.5|PMOS@4|s|4.5|6
3187 Awire|net@23|||2700|PMOS@5|s|-14|6|pin@16||-14|7.5
3188 Awire|net@24|||1800|pin@17||-5|7.5|pin@15||4.5|7.5
3189 Awire|net@25|||1800|pin@16||-14|7.5|pin@17||-5|7.5
3190 Awire|net@26|||2700|pin@17||-5|7.5|pwr@0||-5|11.5
3191 Eina||D5G2;|conn@3|a|I
3192 Einb||D5G2;|conn@2|a|I
3193 Einc||D5G2;|conn@0|y|I
3194 Eout||D5G2;|conn@1|y|O
3195 X
3196
3197 # Cell nand3en_sy;1{ic}
3198 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX3;Y2.5;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,4000]
3199 Ngeneric:Facet-Center|art@0||0|0||||AV
3200 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
3201 NThick-Circle|art@2||2|0|1|1|||ART_color()I10
3202 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
3203 NPin|pin@1||-0.25|-3|1|1||
3204 NPin|pin@2||-1.5|-1.75|1|1||
3205 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
3206 NPin|pin@4||-1.5|-2|1|1||
3207 NPin|pin@5||-2.5|-2||||
3208 NPin|pin@6||-0.5|3|1|1||
3209 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
3210 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
3211 NPin|pin@9||-1.5|3|1|1||
3212 NPin|pin@10||-1.5|-3|1|1||
3213 NPin|pin@11||-0.5|-3|1|1||
3214 NPin|pin@12||-2.5|0||||
3215 NPin|pin@13||-1.5|0|1|1||
3216 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
3217 NPin|pin@15||-1.5|2|1|1||
3218 NPin|pin@16||-2.5|2||||
3219 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
3220 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I10
3221 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I10
3222 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I10
3223 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I10
3224 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I10
3225 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I10
3226 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I10
3227 Eina||D5G1;|pin@3||I
3228 Einb||D5G1;|pin@7||I
3229 Einc||D5G1;|pin@14||I
3230 Eout||D5G1;|pin@8||O
3231 X
3232
3233 # Cell nand3en_sy;1{sch}
3234 Cnand3en_sy;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-29;Y-7;)I100|ATTR_X(D5G1;HNOLPX-29;Y-6;)S1|ATTR_drive0(D5G1;HNPTX-29;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-29;Y-9;)Sstrong1|ATTR_verilog_template(D5G1;NTX20.5;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb), $(inc));|prototype_center()I[0,0]
3235 IPMOS;1{ic}|PMOS@3||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX2;Y2.5;)Smax(@X/10., 5./6.)
3236 IPMOS;1{ic}|PMOS@4||-14|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3237 IPMOS;1{ic}|PMOS@5||4.5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
3238 Ngeneric:Facet-Center|art@0||0|0||||AV
3239 NOff-Page|conn@0||-15|-13||||
3240 NOff-Page|conn@1||14|-1|||RR|
3241 NOff-Page|conn@2||0|14.5|||R|
3242 NOff-Page|conn@3||-22|4||||
3243 Inand3en_sy;1{ic}|nand3en_@0||29|14|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3244 Inms3_2sy;1{ic}|nms3_2sy@0||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3245 Inms3_2sy;1{ic}|nms3_2sy@1||0|-13|||D0G4;|ATTR_Delay(D5G1;NOJPX5;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S@X
3246 NWire_Pin|pin@0||-18|-5||||
3247 NWire_Pin|pin@1||-5|7.5||||
3248 NWire_Pin|pin@2||-14|7.5||||
3249 NWire_Pin|pin@3||4.5|7.5||||
3250 Ngeneric:Invisible-Pin|pin@4||30|-10|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
3251 Ngeneric:Invisible-Pin|pin@5||-0.5|19.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
3252 NWire_Pin|pin@6||-9|4||||
3253 NWire_Pin|pin@7||0|0||||
3254 NWire_Pin|pin@8||-5|0||||
3255 Ngeneric:Invisible-Pin|pin@9||-0.5|22|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
3256 NWire_Pin|pin@10||-9|-13||||
3257 NWire_Pin|pin@11||4.5|0||||
3258 NWire_Pin|pin@12||9|4||||
3259 NWire_Pin|pin@13||9|-1||||
3260 Ngeneric:Invisible-Pin|pin@14||-0.5|27|||||ART_message(D5G6;)S[nand3en_sy]
3261 NWire_Pin|pin@15||-14|0||||
3262 NWire_Pin|pin@16||-18|4||||
3263 NWire_Pin|pin@17||9|-9||||
3264 NPower|pwr@0||-5|11.5||||
3265 Awire|net@0|||900|pin@1||-5|7.5|PMOS@3|s|-5|6
3266 Awire|net@1|||1800|pin@6||-9|4|PMOS@3|g|-8|4
3267 Awire|net@2|||2700|pin@8||-5|0|PMOS@3|d|-5|2
3268 Awire|net@3|||900|pin@16||-18|4|pin@0||-18|-5
3269 Awire|net@4|||2700|pin@17||9|-9|pin@13||9|-1
3270 Awire|net@5|||1800|pin@10||-9|-13|nms3_2sy@0|g|-2.25|-13
3271 Awire|net@6|||1800|nms3_2sy@0|g2|3|-9|pin@17||9|-9
3272 Awire|net@8|||900|pin@7||0|0|nms3_2sy@0|d|0|-3
3273 Awire|net@9|||2700|pin@1||-5|7.5|pwr@0||-5|11.5
3274 Awire|net@10|||1800|pin@2||-14|7.5|pin@1||-5|7.5
3275 Awire|net@11|||1800|pin@1||-5|7.5|pin@3||4.5|7.5
3276 Awire|net@12|||2700|PMOS@4|s|-14|6|pin@2||-14|7.5
3277 Awire|net@13|||900|pin@3||4.5|7.5|PMOS@5|s|4.5|6
3278 Awire|net@14|||0|PMOS@4|g|-17|4|pin@16||-18|4
3279 Awire|net@15|||2700|pin@15||-14|0|PMOS@4|d|-14|2
3280 Awire|net@16|||1800|PMOS@5|g|7.5|4|pin@12||9|4
3281 Awire|net@17|||2700|pin@11||4.5|0|PMOS@5|d|4.5|2
3282 Awire|net@18|||2700|pin@10||-9|-13|pin@6||-9|4
3283 Awire|net@19|||2700|pin@7||0|0|conn@2|a|0|12.5
3284 Awire|net@20|||0|pin@11||4.5|0|pin@7||0|0
3285 Awire|net@21|||0|pin@7||0|0|pin@8||-5|0
3286 Awire|net@22|||0|pin@10||-9|-13|conn@0|y|-13|-13
3287 Awire|net@23|||2700|pin@13||9|-1|pin@12||9|4
3288 Awire|net@24|||1800|pin@13||9|-1|conn@1|y|12|-1
3289 Awire|net@25|||0|pin@8||-5|0|pin@15||-14|0
3290 Awire|net@26|||0|pin@16||-18|4|conn@3|y|-20|4
3291 Awire|net@27|||0|nms3_2sy@1|g3|-3|-5|pin@0||-18|-5
3292 Eina||D5G2;|conn@0|a|I
3293 Einb||D5G2;|conn@1|a|I
3294 Einc||D5G2;|conn@3|y|I
3295 Eout||D5G2;|conn@2|y|O
3296 X
3297
3298 # Cell nms1;2{ic}
3299 Cnms1;2{ic}||artwork|1021415734000|1228433765304|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3300 Ngeneric:Facet-Center|art@0||0|0||||AV
3301 NPin|pin@0||-1|-2|1|1||
3302 NPin|pin@1||0|-3||||
3303 NPin|pin@2||1|-2|1|1||
3304 NPin|pin@3||0|-2|1|1||
3305 NPin|pin@4||0|-2|1|1||
3306 Nschematic:Bus_Pin|pin@5||0|2|-2|-2||
3307 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3308 NPin|pin@8||-1.5|0|1|1|RR|
3309 NPin|pin@9||-3|0|||RR|
3310 NPin|pin@10||-1.5|1|1|1||
3311 NPin|pin@11||-1.5|-1|1|1||
3312 NPin|pin@12||0|-1|1|1||
3313 NPin|pin@13||-0.75|-1|1|1||
3314 NPin|pin@14||-0.75|1|1|1||
3315 NPin|pin@15||0|1|1|1||
3316 NPin|pin@16||0|2|1|1||
3317 NPin|pin@21||0|2|1|1|YRR|
3318 NPin|pin@24||0|2|1|1|YRR|
3319 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3320 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3321 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3322 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3323 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3324 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3325 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3326 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3327 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3328 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3329 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3330 AThicker|net@11|||FS900|pin@21||0|2|pin@24||0|2|ART_color()I10
3331 Ed||D5G1;|pin@5||O
3332 Eg||D5G1;|pin@6||I
3333 X
3334
3335 # Cell nms1;1{sch}
3336 Cnms1;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3337 INMOS;1{ic}|NMOS@2||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*1.0
3338 Ngeneric:Facet-Center|art@0||0|0||||AV
3339 NOff-Page|conn@0||-10|-11||||
3340 NOff-Page|conn@1||8|0|||Y|
3341 NGround|gnd@0||0|-19||||
3342 Inms1;2{ic}|nms1@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3343 NWire_Pin|pin@0||0|0||||
3344 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)Sone fixed-size N-type transistor to GND
3345 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)Snms1
3346 Awire|net@3|||2700|gnd@0||0|-17|NMOS@2|s|0|-13
3347 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@2|g|-3|-11
3348 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3349 Awire|net@6|||900|pin@0||0|0|NMOS@2|d|0|-9
3350 Ed||D5G2;|conn@1|y|O
3351 Eg||D5G2;|conn@0|a|I
3352 X
3353
3354 # Cell nms2;1{sch}
3355 Cnms2;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9;Y-15.5;)I100|ATTR_X(D5G1;HNPX-9;Y-14.5;)I1|prototype_center()I[0,0]
3356 INMOS;1{ic}|NMOS@2||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3357 INMOS;1{ic}|NMOS@3||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOJPX3.5;Y0.5;)S@X*2.0
3358 Ngeneric:Facet-Center|art@0||0|0||||AV
3359 NOff-Page|conn@0||-10|-11||||
3360 NOff-Page|conn@1||8|0|||Y|
3361 NOff-Page|conn@2||8|-4|||YRR|
3362 NGround|gnd@0||0|-19||||
3363 Inms2b;1{ic}|nms2@0||29|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-2.25;Y1.5;)I1
3364 Inms2a;2{ic}|nms2@1||29|-11|||D5G4;|ATTR_Delay(D5G1;NPX3.75;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX-1.5;)I1
3365 NWire_Pin|pin@0||0|0||||
3366 Ngeneric:Invisible-Pin|pin@1||-0.5|4|||||ART_message(D5G2;)S[two fixed-size N-type transistors to GND]
3367 Ngeneric:Invisible-Pin|pin@2||0|8.5|||||ART_message(D5G6;)S[nms2]
3368 Awire|net@0|||2700|NMOS@3|d|0|-9|NMOS@2|s|0|-6
3369 Awire|net@1|||900|pin@0||0|0|NMOS@2|d|0|-2
3370 Awire|net@2|||0|conn@2|y|6|-4|NMOS@2|g|3|-4
3371 Awire|net@3|||2700|gnd@0||0|-17|NMOS@3|s|0|-13
3372 Awire|net@4|||1800|conn@0|y|-8|-11|NMOS@3|g|-3|-11
3373 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
3374 Ed||D5G2;|conn@1|y|O
3375 Eg||D5G2;|conn@0|a|I
3376 Eg2||D5G2;|conn@2|a|I
3377 X
3378
3379 # Cell nms2_sy;1{ic}
3380 Cnms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[0,0]
3381 Ngeneric:Facet-Center|art@0||0|0||||AV
3382 Nschematic:Bus_Pin|pin@0||0|6|-2|-2||
3383 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
3384 Nschematic:Bus_Pin|pin@2||3|4|-2|-2||
3385 NPin|pin@3||-1.5|0|1|1|RR|
3386 NPin|pin@4||-3|0|||RR|
3387 NPin|pin@5||0|-2|1|1||
3388 NPin|pin@6||1|-2|1|1||
3389 NPin|pin@7||0|-3||||
3390 NPin|pin@8||-1|-2|1|1||
3391 NPin|pin@9||-1.5|1|1|1||
3392 NPin|pin@10||-1.5|-1|1|1||
3393 NPin|pin@11||0|-1|1|1||
3394 NPin|pin@12||-0.75|-1|1|1||
3395 NPin|pin@13||-0.75|1|1|1||
3396 NPin|pin@14||-0.25|1|1|1||
3397 NPin|pin@15||0|6|||RR|
3398 NPin|pin@16||0|5|1|1|YRR|
3399 NPin|pin@17||0.75|5|1|1|YRR|
3400 NPin|pin@18||0.75|3|1|1|YRR|
3401 NPin|pin@19||1.5|3|1|1|YRR|
3402 NPin|pin@20||1.5|5|1|1|YRR|
3403 NPin|pin@21||3|4||||
3404 NPin|pin@22||1.5|4|1|1|Y|
3405 NPin|pin@23||-0.75|5|1|1||
3406 NPin|pin@24||-0.75|3|1|1||
3407 NPin|pin@25||-1.5|4|1|1|RR|
3408 NPin|pin@26||-2.25|4|1|1|RR|
3409 NPin|pin@27||-1.5|5|1|1||
3410 NPin|pin@28||-1.5|3|1|1||
3411 NPin|pin@29||1.5|0|1|1|Y|
3412 NPin|pin@30||2.25|0|1|1|Y|
3413 NPin|pin@31||1.5|1|1|1|YRR|
3414 NPin|pin@32||1.5|-1|1|1|YRR|
3415 NPin|pin@33||0|-1|1|1|YRR|
3416 NPin|pin@34||0.75|-1|1|1|YRR|
3417 NPin|pin@35||0.75|1|1|1|YRR|
3418 NPin|pin@36||0.25|3|1|1||
3419 NPin|pin@37||-0.25|3|1|1||
3420 NPin|pin@38||0.25|1|1|1||
3421 NPin|pin@39||2.25|4|1|1||
3422 NPin|pin@40||-2.25|0|1|1||
3423 AThicker|net@0|||FS900|pin@13||-0.75|1|pin@12||-0.75|-1|ART_color()I10
3424 AThicker|net@1|||FS0|pin@14||-0.25|1|pin@13||-0.75|1|ART_color()I10
3425 AThicker|net@2|||FS1350|pin@8||-1|-2|pin@7||0|-3|ART_color()I10
3426 AThicker|net@3|||FS0|pin@5||0|-2|pin@8||-1|-2|ART_color()I10
3427 AThicker|net@4|||FS900|pin@9||-1.5|1|pin@10||-1.5|-1|ART_color()I10
3428 AThicker|net@5|||FS1800|pin@4||-3|0|pin@3||-1.5|0|ART_color()I10
3429 AThicker|net@6|||FS1800|pin@12||-0.75|-1|pin@11||0|-1|ART_color()I10
3430 AThicker|net@7|||FS900|pin@11||0|-1|pin@5||0|-2|ART_color()I10
3431 AThicker|net@8|||FS2250|pin@7||0|-3|pin@6||1|-2|ART_color()I10
3432 AThicker|net@9|||FS0|pin@6||1|-2|pin@5||0|-2|ART_color()I10
3433 AThicker|net@10|||FS900|pin@15||0|6|pin@16||0|5|ART_color()I10
3434 AThicker|net@11|||FS900|pin@20||1.5|5|pin@19||1.5|3|ART_color()I10
3435 AThicker|net@12|||FS1800|pin@16||0|5|pin@17||0.75|5|ART_color()I10
3436 AThicker|net@13|||FS900|pin@17||0.75|5|pin@18||0.75|3|ART_color()I10
3437 AThicker|net@14|||FS1800|pin@23||-0.75|5|pin@16||0|5|ART_color()I10
3438 AThicker|net@15|||FS2700|pin@24||-0.75|3|pin@23||-0.75|5|ART_color()I10
3439 AThicker|net@16|||FS1800|pin@26||-2.25|4|pin@25||-1.5|4|ART_color()I10
3440 AThicker|net@17|||FS900|pin@27||-1.5|5|pin@28||-1.5|3|ART_color()I10
3441 AThicker|net@18|||FS0|pin@30||2.25|0|pin@29||1.5|0|ART_color()I10
3442 AThicker|net@19|||FS900|pin@35||0.75|1|pin@34||0.75|-1|ART_color()I10
3443 AThicker|net@20|||FS0|pin@34||0.75|-1|pin@33||0|-1|ART_color()I10
3444 AThicker|net@21|||FS900|pin@31||1.5|1|pin@32||1.5|-1|ART_color()I10
3445 AThicker|net@22|||FS760|pin@36||0.25|3|pin@14||-0.25|1|ART_color()I10
3446 AThicker|net@23|||FS0|pin@18||0.75|3|pin@36||0.25|3|ART_color()I10
3447 AThicker|net@24|||FS0|pin@37||-0.25|3|pin@24||-0.75|3|ART_color()I10
3448 AThicker|net@25|||FS2840|pin@38||0.25|1|pin@37||-0.25|3|ART_color()I10
3449 AThicker|net@26|||FS0|pin@35||0.75|1|pin@38||0.25|1|ART_color()I10
3450 AThicker|net@27|||FS0|pin@21||3|4|pin@22||1.5|4|ART_color()I10
3451 AThicker|net@28|||FS900|pin@39||2.25|4|pin@30||2.25|0|ART_color()I10
3452 AThicker|net@29|||FS2700|pin@40||-2.25|0|pin@26||-2.25|4|ART_color()I10
3453 Ed||D5G1;|pin@0||O
3454 Eg||D5G1;|pin@1||I
3455 Eg2||D5G1;|pin@2||I
3456 X
3457
3458 # Cell nms2_sy;1{sch}
3459 Cnms2_sy;1{sch}||schematic|1021415734000|1157998666994||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3460 Ngeneric:Facet-Center|art@0||0|0||||AV
3461 NOff-Page|conn@0||16.5|0||||
3462 NOff-Page|conn@1||16|-5.5|||YRR|
3463 NOff-Page|conn@2||-15|-5.5||||
3464 Inms2b;1{ic}|nms2@0||8|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3465 Inms2b;1{ic}|nms2@1||-6.5|-9.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOLPX-2.25;Y1.5;)S@X/2.
3466 Inms2_sy;1{ic}|nms2_sy@0||25|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_X(D5G1.5;NOLPX-3.75;Y2.5;)S1
3467 Ngeneric:Invisible-Pin|pin@0||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3468 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric fixed-size N-type two-stack]
3469 NWire_Pin|pin@2||-6.5|0||||
3470 NWire_Pin|pin@3||-1|-9.5||||
3471 NWire_Pin|pin@4||3|-5.5||||
3472 NWire_Pin|pin@5||3|-9.5||||
3473 NWire_Pin|pin@6||-1|-5.5||||
3474 NWire_Pin|pin@7||8|0||||
3475 Awire|net@0|||2250|pin@3||-1|-9.5|pin@4||3|-5.5
3476 Awire|net@1|||3150|pin@5||3|-9.5|pin@6||-1|-5.5
3477 Awire|net@2|||0|pin@6||-1|-5.5|nms2@1|g2|-3.5|-5.5
3478 Awire|net@3|||0|nms2@0|g|5|-9.5|pin@5||3|-9.5
3479 Awire|net@4|||0|nms2@0|g2|11|-5.5|pin@4||3|-5.5
3480 Awire|net@5|||0|conn@1|y|14|-5.5|nms2@0|g2|11|-5.5
3481 Awire|net@6|||1800|nms2@1|g|-9.5|-9.5|pin@3||-1|-9.5
3482 Awire|net@7|||2700|nms2@1|d|-6.5|-3.5|pin@2||-6.5|0
3483 Awire|net@8|||1800|pin@7||8|0|conn@0|a|14.5|0
3484 Awire|net@9|||1800|pin@2||-6.5|0|pin@7||8|0
3485 Awire|net@10|||900|pin@7||8|0|nms2@0|d|8|-3.5
3486 Awire|net@11|||1800|conn@2|y|-13|-5.5|nms2@1|g2|-3.5|-5.5
3487 Ed||D5G2;|conn@0|y|O
3488 Eg||D5G2;|conn@2|a|I
3489 Eg2||D5G2;|conn@1|a|I
3490 X
3491
3492 # Cell nms2a;2{ic}
3493 Cnms2a;2{ic}|nms2|artwork|1021415734000|1228433108557|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3494 Ngeneric:Facet-Center|art@0||0|0||||AV
3495 NPin|pin@0||-1|-2|1|1||
3496 NPin|pin@1||0|-3||||
3497 NPin|pin@2||1|-2|1|1||
3498 NPin|pin@3||0|-2|1|1||
3499 NPin|pin@4||0|-2|1|1||
3500 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3501 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3502 Nschematic:Bus_Pin|pin@7||-3|4|-2|-2||
3503 NPin|pin@8||-1.5|0|1|1|RR|
3504 NPin|pin@9||-3|0|||RR|
3505 NPin|pin@10||-1.5|1|1|1||
3506 NPin|pin@11||-1.5|-1|1|1||
3507 NPin|pin@12||0|-1|1|1||
3508 NPin|pin@13||-0.75|-1|1|1||
3509 NPin|pin@14||-0.75|1|1|1||
3510 NPin|pin@15||0|1|1|1||
3511 NPin|pin@16||0|2|1|1||
3512 NPin|pin@17||0|6|||RR|
3513 NPin|pin@18||0|5|1|1|YRR|
3514 NPin|pin@19||-0.75|5|1|1|YRR|
3515 NPin|pin@20||-0.75|3|1|1|YRR|
3516 NPin|pin@21||0|3|1|1|YRR|
3517 NPin|pin@22||-1.5|3|1|1|YRR|
3518 NPin|pin@23||-1.5|5|1|1|YRR|
3519 NPin|pin@24||0|2|1|1|YRR|
3520 NPin|pin@25||-3|4||||
3521 NPin|pin@26||-1.5|4|1|1|Y|
3522 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3523 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3524 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3525 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3526 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3527 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3528 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3529 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3530 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3531 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3532 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3533 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3534 AThicker|net@12|||FS1800|pin@20||-0.75|3|pin@21||0|3|ART_color()I10
3535 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3536 AThicker|net@14|||FS0|pin@18||0|5|pin@19||-0.75|5|ART_color()I10
3537 AThicker|net@15|||FS900|pin@23||-1.5|5|pin@22||-1.5|3|ART_color()I10
3538 AThicker|net@16|||FS900|pin@19||-0.75|5|pin@20||-0.75|3|ART_color()I10
3539 AThicker|net@17|||FS1800|pin@25||-3|4|pin@26||-1.5|4|ART_color()I10
3540 Ed||D5G1;|pin@5||O
3541 Eg||D5G1;|pin@6||I
3542 Eg2||D5G1;|pin@7||I
3543 X
3544
3545 # Cell nms2b;1{ic}
3546 Cnms2b;1{ic}|nms2|artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNPX-2.25;Y1.5;)I1|prototype_center()I[0,0]
3547 Ngeneric:Facet-Center|art@0||0|0||||AV
3548 NPin|pin@0||-1|-2|1|1||
3549 NPin|pin@1||0|-3||||
3550 NPin|pin@2||1|-2|1|1||
3551 NPin|pin@3||0|-2|1|1||
3552 NPin|pin@4||0|-2|1|1||
3553 Nschematic:Bus_Pin|pin@5||0|6|-2|-2||
3554 Nschematic:Bus_Pin|pin@6||-3|0|-2|-2||
3555 Nschematic:Bus_Pin|pin@7||3|4|-2|-2||
3556 NPin|pin@8||-1.5|0|1|1|RR|
3557 NPin|pin@9||-3|0|||RR|
3558 NPin|pin@10||-1.5|1|1|1||
3559 NPin|pin@11||-1.5|-1|1|1||
3560 NPin|pin@12||0|-1|1|1||
3561 NPin|pin@13||-0.75|-1|1|1||
3562 NPin|pin@14||-0.75|1|1|1||
3563 NPin|pin@15||0|1|1|1||
3564 NPin|pin@16||0|2|1|1||
3565 NPin|pin@17||0|6|||RR|
3566 NPin|pin@18||0|5|1|1|YRR|
3567 NPin|pin@19||0.75|5|1|1|YRR|
3568 NPin|pin@20||0.75|3|1|1|YRR|
3569 NPin|pin@21||0|3|1|1|YRR|
3570 NPin|pin@22||1.5|3|1|1|YRR|
3571 NPin|pin@23||1.5|5|1|1|YRR|
3572 NPin|pin@24||0|2|1|1|YRR|
3573 NPin|pin@25||3|4||||
3574 NPin|pin@26||1.5|4|1|1|Y|
3575 AThicker|net@0|||FS0|pin@3||0|-2|pin@0||-1|-2|ART_color()I10
3576 AThicker|net@1|||FS0|pin@2||1|-2|pin@3||0|-2|ART_color()I10
3577 AThicker|net@2|||FS1350|pin@0||-1|-2|pin@1||0|-3|ART_color()I10
3578 AThicker|net@3|||FS2250|pin@1||0|-3|pin@2||1|-2|ART_color()I10
3579 AThicker|net@4|||FS900|pin@12||0|-1|pin@4||0|-2|ART_color()I10
3580 AThicker|net@5|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I10
3581 AThicker|net@6|||FS1800|pin@13||-0.75|-1|pin@12||0|-1|ART_color()I10
3582 AThicker|net@7|||FS900|pin@16||0|2|pin@15||0|1|ART_color()I10
3583 AThicker|net@8|||FS900|pin@14||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3584 AThicker|net@9|||FS0|pin@15||0|1|pin@14||-0.75|1|ART_color()I10
3585 AThicker|net@10|||FS1800|pin@9||-3|0|pin@8||-1.5|0|ART_color()I10
3586 AThicker|net@11|||FS900|pin@21||0|3|pin@24||0|2|ART_color()I10
3587 AThicker|net@12|||FS0|pin@20||0.75|3|pin@21||0|3|ART_color()I10
3588 AThicker|net@13|||FS900|pin@17||0|6|pin@18||0|5|ART_color()I10
3589 AThicker|net@14|||FS1800|pin@18||0|5|pin@19||0.75|5|ART_color()I10
3590 AThicker|net@15|||FS900|pin@23||1.5|5|pin@22||1.5|3|ART_color()I10
3591 AThicker|net@16|||FS900|pin@19||0.75|5|pin@20||0.75|3|ART_color()I10
3592 AThicker|net@17|||FS0|pin@25||3|4|pin@26||1.5|4|ART_color()I10
3593 Ed||D5G1;|pin@5||O
3594 Eg||D5G1;|pin@6||I
3595 Eg2||D5G1;|pin@7||I
3596 X
3597
3598 # Cell nms3;1{ic}
3599 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_X(D5G1.5;HNOLPX-2;Y0.5;)S1|prototype_center()I[0,0]
3600 Ngeneric:Facet-Center|art@0||0|0||||AV
3601 NPin|pin@0||1.5|4|1|1|Y|
3602 NPin|pin@1||3|4||||
3603 NPin|pin@2||0|2|1|1|YRR|
3604 NPin|pin@3||1.5|5|1|1|YRR|
3605 NPin|pin@4||1.5|3|1|1|YRR|
3606 NPin|pin@5||0|3|1|1|YRR|
3607 NPin|pin@6||0.75|3|1|1|YRR|
3608 NPin|pin@7||0.75|5|1|1|YRR|
3609 NPin|pin@8||0|5|1|1|YRR|
3610 NPin|pin@9||0|6|||RR|
3611 NPin|pin@10||0|2|1|1||
3612 NPin|pin@11||0|1|1|1||
3613 NPin|pin@12||-0.75|1|1|1||
3614 NPin|pin@13||-0.75|-1|1|1||
3615 NPin|pin@14||0|-1|1|1||
3616 NPin|pin@15||-1.5|-1|1|1||
3617 NPin|pin@16||-1.5|1|1|1||
3618 NPin|pin@17||-1|-2|1|1||
3619 NPin|pin@18||0|-3||||
3620 NPin|pin@19||1|-2|1|1||
3621 NPin|pin@20||0|-2|1|1||
3622 NPin|pin@21||-3|0|||RR|
3623 NPin|pin@22||-1.5|0|1|1|RR|
3624 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3625 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3626 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
3627 Ngeneric:Invisible-Pin|pin@26||-3|8||||
3628 NPin|pin@27||-1.5|8|1|1|RR|
3629 NPin|pin@28||-3|8|||RR|
3630 NPin|pin@29||0|6|1|1||
3631 NPin|pin@30||-1.5|9|1|1||
3632 NPin|pin@31||-1.5|7|1|1||
3633 NPin|pin@32||0|7|1|1||
3634 NPin|pin@33||-0.75|7|1|1||
3635 NPin|pin@34||-0.75|9|1|1||
3636 NPin|pin@35||0|9|1|1||
3637 NPin|pin@36||0|10||||
3638 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
3639 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
3640 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
3641 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
3642 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
3643 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
3644 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
3645 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
3646 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
3647 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
3648 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
3649 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
3650 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
3651 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
3652 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
3653 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
3654 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
3655 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
3656 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
3657 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
3658 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
3659 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
3660 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
3661 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
3662 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
3663 Ed||D5G1;|pin@25||O
3664 Eg||D5G1;|pin@24||I
3665 Eg2||D5G1;|pin@23||I
3666 Eg3||D5G1;|pin@26||I
3667 X
3668
3669 # Cell nms3;1{sch}
3670 Cnms3;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
3671 INMOS;1{ic}|NMOS@3||0|2.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3672 INMOS;1{ic}|NMOS@4||0|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3673 INMOS;1{ic}|NMOS@5||0|-4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S3.0*@X
3674 Ngeneric:Facet-Center|art@0||0|0||||AV
3675 NOff-Page|conn@0||8|-4|||YRR|
3676 NOff-Page|conn@1||5|6||||
3677 NOff-Page|conn@2||-10|-11||||
3678 NOff-Page|conn@3||-10|2.5||||
3679 NGround|gnd@0||0|-19||||
3680 Inms3;1{ic}|nms3@0||34|1|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_X(D5G1.5;NOLPX-2;Y0.5;)S1
3681 Inms3a;1{ic}|nms3a@0||35|-15|||D5G4;|ATTR_Delay(D5G1;NPX4.5;Y-0.5;)I100|ATTR_X(D5G1;NOLPX4.5;Y0.5;)S1
3682 Inms3b;1{ic}|nms3b@0||24.5|-17|||D5G4;|ATTR_Delay(D5G1;NPX-3.25;Y0.5;)I100|ATTR_X(D5G1;NOLPX-3.25;Y1.5;)S1
3683 Inms3c;1{ic}|nms3c@0||24.5|1.5|||D5G4;|ATTR_Delay(D5G1;NPX-3.5;Y-3;)I100|ATTR_X(D5G1;NOLPX-3.5;Y-2;)S1
3684 Ngeneric:Invisible-Pin|pin@0||0|13.5|||||ART_message(D5G6;)S[nms3]
3685 Ngeneric:Invisible-Pin|pin@1||0|9|||||ART_message(D5G2;)S[three fixed-size N-type transistors to GND]
3686 NWire_Pin|pin@2||0|6||||
3687 Awire|net@0|||1800|pin@2||0|6|conn@1|a|3|6
3688 Awire|net@1|||0|NMOS@3|g|-3|2.5|conn@3|y|-8|2.5
3689 Awire|net@2|||2700|NMOS@3|d|0|4.5|pin@2||0|6
3690 Awire|net@3|||1800|conn@2|y|-8|-11|NMOS@4|g|-3|-11
3691 Awire|net@4|||2700|gnd@0||0|-17|NMOS@4|s|0|-13
3692 Awire|net@5|||0|conn@0|y|6|-4|NMOS@5|g|3|-4
3693 Awire|net@6|||2700|NMOS@5|d|0|-2|NMOS@3|s|0|0.5
3694 Awire|net@7|||2700|NMOS@4|d|0|-9|NMOS@5|s|0|-6
3695 Ed||D5G2;|conn@1|y|O
3696 Eg||D5G2;|conn@2|a|I
3697 Eg2||D5G2;|conn@0|a|I
3698 Eg3||D5G2;|conn@3|y|I
3699 X
3700
3701 # Cell nms3_2sy;1{ic}
3702 Cnms3_2sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX-3.75;Y2.5;)S1|prototype_center()I[26000,-36000]
3703 Ngeneric:Facet-Center|art@0||0|0||||AV
3704 Nschematic:Bus_Pin|pin@0||-3|8||||
3705 NPin|pin@2||-3|8|||RR|
3706 NPin|pin@3||2.25|4|1|1|Y|
3707 NPin|pin@4||3|4|1|1|Y|
3708 NPin|pin@5||-1.5|0|1|1|RR|
3709 NPin|pin@6||-2.25|0|1|1|RR|
3710 NPin|pin@7||0|-2|1|1||
3711 NPin|pin@8||-0.75|-1|1|1||
3712 NPin|pin@9||0|-1|1|1|YRR|
3713 NPin|pin@10||0|1|1|1|YRR|
3714 NPin|pin@11||-0.75|1|1|1||
3715 NPin|pin@12||-0.75|-1|1|1||
3716 NPin|pin@13||-1.5|1|1|1||
3717 NPin|pin@14||-1.5|-1|1|1||
3718 NPin|pin@15||-2.25|4|1|1||
3719 NPin|pin@16||2.25|8|1|1||
3720 NPin|pin@17||0.25|5|1|1||
3721 NPin|pin@18||-0.25|7|1|1||
3722 NPin|pin@19||0.25|7|1|1||
3723 NPin|pin@20||0.75|5|1|1|YRR|
3724 NPin|pin@21||0.75|3|1|1|YRR|
3725 NPin|pin@22||0|3|1|1|YRR|
3726 NPin|pin@23||1.5|3|1|1|YRR|
3727 NPin|pin@24||1.5|5|1|1|YRR|
3728 NPin|pin@25||2.25|4|1|1|Y|
3729 NPin|pin@26||1.5|4|1|1|Y|
3730 NPin|pin@27||-1.5|7|1|1||
3731 NPin|pin@28||-1.5|9|1|1||
3732 NPin|pin@29||-2.25|8|1|1|RR|
3733 NPin|pin@30||-1.5|8|1|1|RR|
3734 NPin|pin@31||-0.75|7|1|1||
3735 NPin|pin@32||-0.75|9|1|1||
3736 NPin|pin@33||1.5|8|1|1|Y|
3737 NPin|pin@34||2.25|8||||
3738 NPin|pin@35||1.5|9|1|1|YRR|
3739 NPin|pin@36||1.5|7|1|1|YRR|
3740 NPin|pin@37||0.75|7|1|1|YRR|
3741 NPin|pin@38||0.75|9|1|1|YRR|
3742 NPin|pin@39||0|9|1|1|YRR|
3743 NPin|pin@40||0|10|||RR|
3744 NPin|pin@41||-0.25|5|1|1||
3745 NPin|pin@42||-0.75|5|1|1||
3746 NPin|pin@43||-0.75|3|1|1||
3747 NPin|pin@44||0|3|1|1||
3748 NPin|pin@45||-1.5|3|1|1||
3749 NPin|pin@46||-1.5|5|1|1||
3750 NPin|pin@47||-1|-2|1|1||
3751 NPin|pin@48||0|-3||||
3752 NPin|pin@49||1|-2|1|1||
3753 NPin|pin@50||-2.25|4|||RR|
3754 NPin|pin@51||-1.5|4|1|1|RR|
3755 Nschematic:Bus_Pin|pin@52||3|4|-2|-2||
3756 Nschematic:Bus_Pin|pin@53||-2.25|0|-2|-2||
3757 Nschematic:Bus_Pin|pin@54||0|10|-2|-2||
3758 NPin|pin@55||-3|8|1|1|RR|
3759 NPin|pin@56||-2.25|8|1|1|RR|
3760 AThicker|net@1|||FS0|pin@4||3|4|pin@3||2.25|4|ART_color()I10
3761 AThicker|net@2|||FS1800|pin@6||-2.25|0|pin@5||-1.5|0|ART_color()I10
3762 AThicker|net@3|||FS2700|pin@7||0|-2|pin@9||0|-1|ART_color()I10
3763 AThicker|net@4|||FS1800|pin@47||-1|-2|pin@7||0|-2|ART_color()I10
3764 AThicker|net@5|||FS1800|pin@7||0|-2|pin@49||1|-2|ART_color()I10
3765 AThicker|net@6|||FS1800|pin@8||-0.75|-1|pin@9||0|-1|ART_color()I10
3766 AThicker|net@7|||FS2700|pin@10||0|1|pin@44||0|3|ART_color()I10
3767 AThicker|net@8|||FS900|pin@13||-1.5|1|pin@14||-1.5|-1|ART_color()I10
3768 AThicker|net@9|||FS2700|pin@12||-0.75|-1|pin@11||-0.75|1|ART_color()I10
3769 AThicker|net@10|||FS1800|pin@11||-0.75|1|pin@10||0|1|ART_color()I10
3770 AThicker|net@11|||FS2700|pin@15||-2.25|4|pin@29||-2.25|8|ART_color()I10
3771 AThicker|net@12|||FS900|pin@16||2.25|8|pin@25||2.25|4|ART_color()I10
3772 AThicker|net@13|||FS0|pin@34||2.25|8|pin@33||1.5|8|ART_color()I10
3773 AThicker|net@14|||FS0|pin@20||0.75|5|pin@17||0.25|5|ART_color()I10
3774 AThicker|net@15|||FS2840|pin@17||0.25|5|pin@18||-0.25|7|ART_color()I10
3775 AThicker|net@16|||FS0|pin@18||-0.25|7|pin@31||-0.75|7|ART_color()I10
3776 AThicker|net@17|||FS0|pin@37||0.75|7|pin@19||0.25|7|ART_color()I10
3777 AThicker|net@18|||FS760|pin@19||0.25|7|pin@41||-0.25|5|ART_color()I10
3778 AThicker|net@19|||FS900|pin@24||1.5|5|pin@23||1.5|3|ART_color()I10
3779 AThicker|net@20|||FS0|pin@21||0.75|3|pin@22||0|3|ART_color()I10
3780 AThicker|net@21|||FS900|pin@20||0.75|5|pin@21||0.75|3|ART_color()I10
3781 AThicker|net@22|||FS0|pin@25||2.25|4|pin@26||1.5|4|ART_color()I10
3782 AThicker|net@23|||FS900|pin@28||-1.5|9|pin@27||-1.5|7|ART_color()I10
3783 AThicker|net@24|||FS1800|pin@29||-2.25|8|pin@30||-1.5|8|ART_color()I10
3784 AThicker|net@25|||FS2700|pin@31||-0.75|7|pin@32||-0.75|9|ART_color()I10
3785 AThicker|net@26|||FS1800|pin@32||-0.75|9|pin@39||0|9|ART_color()I10
3786 AThicker|net@27|||FS900|pin@38||0.75|9|pin@37||0.75|7|ART_color()I10
3787 AThicker|net@28|||FS1800|pin@39||0|9|pin@38||0.75|9|ART_color()I10
3788 AThicker|net@29|||FS900|pin@35||1.5|9|pin@36||1.5|7|ART_color()I10
3789 AThicker|net@30|||FS900|pin@40||0|10|pin@39||0|9|ART_color()I10
3790 AThicker|net@31|||FS2250|pin@48||0|-3|pin@49||1|-2|ART_color()I10
3791 AThicker|net@32|||FS1800|pin@43||-0.75|3|pin@44||0|3|ART_color()I10
3792 AThicker|net@33|||FS1800|pin@50||-2.25|4|pin@51||-1.5|4|ART_color()I10
3793 AThicker|net@34|||FS900|pin@46||-1.5|5|pin@45||-1.5|3|ART_color()I10
3794 AThicker|net@35|||FS1350|pin@47||-1|-2|pin@48||0|-3|ART_color()I10
3795 AThicker|net@36|||FS0|pin@41||-0.25|5|pin@42||-0.75|5|ART_color()I10
3796 AThicker|net@37|||FS900|pin@42||-0.75|5|pin@43||-0.75|3|ART_color()I10
3797 AThicker|net@38|||FS1800|pin@55||-3|8|pin@56||-2.25|8|ART_color()I10
3798 Ed||D5G1;|pin@54||O
3799 Eg||D5G1;|pin@53||I
3800 Eg2||D5G1;|pin@52||I
3801 Eg3||D5G1;|pin@0||I
3802 X
3803
3804 # Cell nms3_2sy;1{sch}
3805 Cnms3_2sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-17;Y-12.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-17;Y-11.5;)S1|prototype_center()I[0,0]
3806 INMOS;1{ic}|NMOS@5||8|-5.5|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3807 INMOS;1{ic}|NMOS@6||8|-11|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3808 INMOS;1{ic}|NMOS@7||1.5|-19.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3.
3809 INMOS;1{ic}|NMOS@8||-6.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3810 INMOS;1{ic}|NMOS@9||-6.5|-11|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*3./2.
3811 Ngeneric:Facet-Center|art@0||0|0||||AV
3812 NOff-Page|conn@0||-14.5|-5.5||||
3813 NOff-Page|conn@1||-12.5|-19.5||||
3814 NOff-Page|conn@2||16|-5.5|||YRR|
3815 NOff-Page|conn@3||16.5|0||||
3816 NGround|gnd@0||1.5|-25||||
3817 Inms3_2sy;1{ic}|nms3_2sy@0||26.75|7.75|||D0G4;|ATTR_Delay(D5G1;NPX5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-3.75;Y2.5;)S1
3818 NWire_Pin|pin@0||8|0||||
3819 NWire_Pin|pin@1||8|-15||||
3820 NWire_Pin|pin@2||-6.5|-15||||
3821 NWire_Pin|pin@3||1.5|-15||||
3822 NWire_Pin|pin@4||-2|-5.5||||
3823 NWire_Pin|pin@5||3.5|-11||||
3824 NWire_Pin|pin@6||3.5|-5.5||||
3825 NWire_Pin|pin@7||-2|-11||||
3826 NWire_Pin|pin@8||-6.5|0||||
3827 Ngeneric:Invisible-Pin|pin@9||-4|9|||||ART_message(D5G2;)S[fixed-size N-type three-stack where two inputs are symmetric]
3828 Ngeneric:Invisible-Pin|pin@10||-4|14|||||ART_message(D5G6;)S[nms3_2sy]
3829 Awire|net@0|||900|NMOS@7|s|1.5|-21.5|gnd@0||1.5|-23
3830 Awire|net@1|||0|NMOS@8|g|-9.5|-5.5|conn@0|y|-12.5|-5.5
3831 Awire|net@2|||1800|NMOS@5|g|11|-5.5|conn@2|y|14|-5.5
3832 Awire|net@3|||0|NMOS@7|g|-1.5|-19.5|conn@1|y|-10.5|-19.5
3833 Awire|net@4|||1800|NMOS@8|g|-9.5|-5.5|pin@4||-2|-5.5
3834 Awire|net@5|||0|NMOS@5|g|11|-5.5|pin@6||3.5|-5.5
3835 Awire|net@6|||2700|NMOS@6|d|8|-9|NMOS@5|s|8|-7.5
3836 Awire|net@7|||900|pin@0||8|0|NMOS@5|d|8|-3.5
3837 Awire|net@8|||0|conn@3|a|14.5|0|pin@0||8|0
3838 Awire|net@9|||0|pin@0||8|0|pin@8||-6.5|0
3839 Awire|net@10|||2700|pin@1||8|-15|NMOS@6|s|8|-13
3840 Awire|net@11|||0|NMOS@6|g|5|-11|pin@5||3.5|-11
3841 Awire|net@12|||0|pin@1||8|-15|pin@3||1.5|-15
3842 Awire|net@13|||900|NMOS@9|s|-6.5|-13|pin@2||-6.5|-15
3843 Awire|net@14|||1800|pin@2||-6.5|-15|pin@3||1.5|-15
3844 Awire|net@15|||900|pin@3||1.5|-15|NMOS@7|d|1.5|-17.5
3845 Awire|net@16|||900|pin@8||-6.5|0|NMOS@8|d|-6.5|-3.5
3846 Awire|net@17|||900|NMOS@8|s|-6.5|-7.5|NMOS@9|d|-6.5|-9
3847 Awire|net@18|||1800|NMOS@9|g|-3.5|-11|pin@7||-2|-11
3848 Awire|net@19|||3150|pin@5||3.5|-11|pin@4||-2|-5.5
3849 Awire|net@20|||2250|pin@7||-2|-11|pin@6||3.5|-5.5
3850 Ed||D5G2;|conn@3|y|O
3851 Eg||D5G2;|conn@1|a|I
3852 Eg2||D5G2;|conn@2|a|I
3853 Eg3||D5G2;|conn@0|a|I
3854 X
3855
3856 # Cell nms3_sy3;1{ic}
3857 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX-8.5;Y1;)S1|prototype_center()I[28000,-16000]
3858 Ngeneric:Facet-Center|art@0||0|0||||AV
3859 NPin|pin@0||10|8|1|1|Y|
3860 NPin|pin@1||7.75|8|1|1||
3861 NPin|pin@2||3.5|0|1|1|Y|
3862 NPin|pin@3||6|0|1|1|Y|
3863 NPin|pin@4||6|4|1|1||
3864 NPin|pin@5||3.5|4|1|1||
3865 NPin|pin@6||7.75|0|1|1|Y|
3866 NPin|pin@7||10|0|1|1||
3867 NPin|pin@8||10|4|1|1||
3868 NPin|pin@9||7.75|4|1|1|Y|
3869 NPin|pin@10||3.5|8|1|1||
3870 NPin|pin@11||6|8|1|1||
3871 NPin|pin@12||8.5|7|1|1||
3872 NPin|pin@13||8.5|5|1|1||
3873 NPin|pin@14||8.5|1|1|1||
3874 NPin|pin@15||8.5|3|1|1||
3875 NPin|pin@16||0|3|1|1||
3876 NPin|pin@17||0|1|1|1||
3877 NPin|pin@18||5|1|1|1||
3878 NPin|pin@19||5|3|1|1||
3879 NPin|pin@20||5|7|1|1||
3880 NPin|pin@21||5|5|1|1||
3881 NPin|pin@22||1|0|1|1|Y|
3882 NPin|pin@23||-1.5|0|1|1|Y|
3883 NPin|pin@24||2.75|8|1|1||
3884 NPin|pin@25||2.75|0|1|1|Y|
3885 NPin|pin@26||-1.5|4|1|1||
3886 NPin|pin@27||1|4|1|1||
3887 NPin|pin@28||1|8|1|1||
3888 NPin|pin@29||-1.5|8|1|1||
3889 NPin|pin@30||5|11||||
3890 NPin|pin@31||5|10|1|1||
3891 NPin|pin@32||5|-3|1|1||
3892 NPin|pin@33||0|-1|1|1|Y|
3893 NPin|pin@34||0|-2||||
3894 NPin|pin@35||5|-2||||
3895 NPin|pin@36||5|-1|1|1|Y|
3896 NPin|pin@37||8.5|-1|1|1|Y|
3897 NPin|pin@38||8.5|-2||||
3898 NPin|pin@39||8.5|-2|1|1|Y|
3899 NPin|pin@40||8.5|10|1|1||
3900 NPin|pin@41||8.5|10||||
3901 NPin|pin@42||8.5|9|1|1||
3902 NPin|pin@43||5|9|1|1||
3903 NPin|pin@44||5|10||||
3904 NPin|pin@45||0|5|1|1||
3905 NPin|pin@46||11.5|4|1|1|RR|
3906 NPin|pin@47||10|4|||RR|
3907 NPin|pin@48||10|8|||RR|
3908 NPin|pin@49||10.75|8|1|1|RR|
3909 NPin|pin@50||10.75|0||||
3910 NPin|pin@51||10|0|1|1|Y|
3911 NPin|pin@52||2.75|0|1|1|Y|
3912 NPin|pin@53||3.5|0||||
3913 NPin|pin@54||3.5|8||||
3914 NPin|pin@55||2.75|8|1|1|Y|
3915 NPin|pin@56||2.75|4|1|1|Y|
3916 NPin|pin@57||3.5|4||||
3917 NPin|pin@58||8.5|9|1|1|YRR|
3918 NPin|pin@59||9.25|9|1|1|YRR|
3919 NPin|pin@60||9.25|7|1|1|YRR|
3920 NPin|pin@61||8.5|7|1|1|YRR|
3921 NPin|pin@62||8.5|-1|1|1|YRR|
3922 NPin|pin@63||9.25|-1|1|1|YRR|
3923 NPin|pin@64||9.25|1|1|1|YRR|
3924 NPin|pin@65||8.5|1|1|1|YRR|
3925 NPin|pin@66||8.5|5|1|1||
3926 NPin|pin@67||9.25|5|1|1||
3927 NPin|pin@68||9.25|3|1|1||
3928 NPin|pin@69||8.5|3|1|1||
3929 NPin|pin@70||10|3|1|1||
3930 NPin|pin@71||10|5|1|1||
3931 NPin|pin@72||10|9|1|1||
3932 NPin|pin@73||10|7|1|1||
3933 NPin|pin@74||10|-1|1|1||
3934 NPin|pin@75||10|1|1|1||
3935 NPin|pin@76||3.5|1|1|1|YRR|
3936 NPin|pin@77||3.5|-1|1|1|YRR|
3937 NPin|pin@78||3.5|7|1|1|YRR|
3938 NPin|pin@79||3.5|9|1|1|YRR|
3939 NPin|pin@80||3.5|5|1|1|YRR|
3940 NPin|pin@81||3.5|3|1|1|YRR|
3941 NPin|pin@82||5|3|1|1|YRR|
3942 NPin|pin@83||4.25|3|1|1|YRR|
3943 NPin|pin@84||4.25|5|1|1|YRR|
3944 NPin|pin@85||5|5|1|1|YRR|
3945 NPin|pin@86||5|1|1|1||
3946 NPin|pin@87||4.25|1|1|1||
3947 NPin|pin@88||4.25|-1|1|1||
3948 NPin|pin@89||5|-1|1|1||
3949 NPin|pin@90||5|7|1|1||
3950 NPin|pin@91||4.25|7|1|1||
3951 NPin|pin@92||4.25|9|1|1||
3952 NPin|pin@93||5|9|1|1||
3953 NPin|pin@94||0|10||||
3954 NPin|pin@95||0|9|1|1||
3955 NPin|pin@96||-0.75|9|1|1||
3956 NPin|pin@97||-0.75|7|1|1||
3957 NPin|pin@98||0|7|1|1||
3958 NPin|pin@99||-1.5|7|1|1||
3959 NPin|pin@100||-1.5|9|1|1||
3960 NPin|pin@101||-3|8|||RR|
3961 NPin|pin@102||-1.5|8|1|1|RR|
3962 Ngeneric:Invisible-Pin|pin@103||-3|8||||
3963 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
3964 Nschematic:Bus_Pin|pin@105||-3|0|-2|-2||
3965 Nschematic:Bus_Pin|pin@106||11.5|4|-2|-2||
3966 NPin|pin@107||-1.5|0|1|1|RR|
3967 NPin|pin@108||-3|0|||RR|
3968 NPin|pin@109||6|-3|1|1||
3969 NPin|pin@110||5|-4||||
3970 NPin|pin@111||4|-3|1|1||
3971 NPin|pin@112||-1.5|1|1|1||
3972 NPin|pin@113||-1.5|-1|1|1||
3973 NPin|pin@114||0|-1|1|1||
3974 NPin|pin@115||-0.75|-1|1|1||
3975 NPin|pin@116||-0.75|1|1|1||
3976 NPin|pin@117||0|1|1|1||
3977 NPin|pin@118||0|5|1|1|YRR|
3978 NPin|pin@119||-0.75|5|1|1|YRR|
3979 NPin|pin@120||-0.75|3|1|1|YRR|
3980 NPin|pin@121||0|3|1|1|YRR|
3981 NPin|pin@122||-1.5|3|1|1|YRR|
3982 NPin|pin@123||-1.5|5|1|1|YRR|
3983 NPin|pin@124||-1.5|4||||
3984 NPin|pin@125||-2.25|4|1|1|Y|
3985 ASolid|net@0|||FS777|pin@1||7.75|8|pin@3||6|0|ART_color()I10
3986 ASolid|net@1|||FS0|pin@0||10|8|pin@1||7.75|8|ART_color()I10
3987 ASolid|net@2|||FS0|pin@3||6|0|pin@2||3.5|0|ART_color()I10
3988 ASolid|net@3|||FS2936|pin@6||7.75|0|pin@4||6|4|ART_color()I10
3989 ASolid|net@4|||FS0|pin@7||10|0|pin@6||7.75|0|ART_color()I10
3990 ASolid|net@5|||FS0|pin@4||6|4|pin@5||3.5|4|ART_color()I10
3991 ASolid|net@6|||FS0|pin@8||10|4|pin@9||7.75|4|ART_color()I10
3992 ASolid|net@7|||FS2936|pin@9||7.75|4|pin@11||6|8|ART_color()I10
3993 ASolid|net@8|||FS0|pin@11||6|8|pin@10||3.5|8|ART_color()I10
3994 AThicker|net@9|||FS2700|pin@13||8.5|5|pin@12||8.5|7|ART_color()I10
3995 AThicker|net@10|||FS2700|pin@14||8.5|1|pin@15||8.5|3|ART_color()I10
3996 AThicker|net@11|||FS2700|pin@17||0|1|pin@16||0|3|ART_color()I10
3997 AThicker|net@12|||FS2700|pin@18||5|1|pin@19||5|3|ART_color()I10
3998 AThicker|net@13|||FS2700|pin@21||5|5|pin@20||5|7|ART_color()I10
3999 ASolid|net@14|||FS0|pin@22||1|0|pin@23||-1.5|0|ART_color()I10
4000 ASolid|net@15|||FS777|pin@24||2.75|8|pin@22||1|0|ART_color()I10
4001 ASolid|net@16|||FS2936|pin@25||2.75|0|pin@27||1|4|ART_color()I10
4002 ASolid|net@17|||FS0|pin@27||1|4|pin@26||-1.5|4|ART_color()I10
4003 AThicker|net@18|||FS2700|pin@45||0|5|pin@98||0|7|ART_color()I10
4004 ASolid|net@19|||FS2936|pin@56||2.75|4|pin@28||1|8|ART_color()I10
4005 ASolid|net@20|||FS0|pin@28||1|8|pin@29||-1.5|8|ART_color()I10
4006 AThicker|net@21|||FS900|pin@30||5|11|pin@31||5|10|ART_color()I10
4007 AThicker|net@22|||FS2700|pin@32||5|-3|pin@35||5|-2|ART_color()I10
4008 AThicker|net@23|||FS1800|pin@111||4|-3|pin@32||5|-3|ART_color()I10
4009 AThicker|net@24|||FS1800|pin@32||5|-3|pin@109||6|-3|ART_color()I10
4010 AThicker|net@25|||FS1800|pin@34||0|-2|pin@39||8.5|-2|ART_color()I10
4011 AThicker|net@26|||FS2700|pin@38||8.5|-2|pin@37||8.5|-1|ART_color()I10
4012 AThicker|net@27|||FS2700|pin@34||0|-2|pin@33||0|-1|ART_color()I10
4013 AThicker|net@28|||FS2700|pin@35||5|-2|pin@36||5|-1|ART_color()I10
4014 AThicker|net@29|||FS1800|pin@94||0|10|pin@40||8.5|10|ART_color()I10
4015 AThicker|net@30|||FS900|pin@41||8.5|10|pin@42||8.5|9|ART_color()I10
4016 AThicker|net@31|||FS900|pin@44||5|10|pin@43||5|9|ART_color()I10
4017 AThicker|net@32|||FS1800|pin@47||10|4|pin@46||11.5|4|ART_color()I10
4018 AThicker|net@33|||FS1800|pin@48||10|8|pin@49||10.75|8|ART_color()I10
4019 AThicker|net@34|||FS0|pin@50||10.75|0|pin@51||10|0|ART_color()I10
4020 AThicker|net@35|||FS0|pin@53||3.5|0|pin@52||2.75|0|ART_color()I10
4021 AThicker|net@36|||FS0|pin@54||3.5|8|pin@55||2.75|8|ART_color()I10
4022 AThicker|net@37|||FS0|pin@57||3.5|4|pin@56||2.75|4|ART_color()I10
4023 AThicker|net@38|||FS0|pin@63||9.25|-1|pin@62||8.5|-1|ART_color()I10
4024 AThicker|net@39|||FS1800|pin@66||8.5|5|pin@67||9.25|5|ART_color()I10
4025 AThicker|net@40|||FS900|pin@72||10|9|pin@73||10|7|ART_color()I10
4026 AThicker|net@41|||FS1800|pin@58||8.5|9|pin@59||9.25|9|ART_color()I10
4027 AThicker|net@42|||FS900|pin@59||9.25|9|pin@60||9.25|7|ART_color()I10
4028 AThicker|net@43|||FS0|pin@60||9.25|7|pin@61||8.5|7|ART_color()I10
4029 AThicker|net@44|||FS900|pin@71||10|5|pin@70||10|3|ART_color()I10
4030 AThicker|net@45|||FS900|pin@67||9.25|5|pin@68||9.25|3|ART_color()I10
4031 AThicker|net@46|||FS0|pin@68||9.25|3|pin@69||8.5|3|ART_color()I10
4032 AThicker|net@47|||FS900|pin@75||10|1|pin@74||10|-1|ART_color()I10
4033 AThicker|net@48|||FS900|pin@64||9.25|1|pin@63||9.25|-1|ART_color()I10
4034 AThicker|net@49|||FS1800|pin@65||8.5|1|pin@64||9.25|1|ART_color()I10
4035 AThicker|net@50|||FS900|pin@76||3.5|1|pin@77||3.5|-1|ART_color()I10
4036 AThicker|net@51|||FS900|pin@79||3.5|9|pin@78||3.5|7|ART_color()I10
4037 AThicker|net@52|||FS900|pin@80||3.5|5|pin@81||3.5|3|ART_color()I10
4038 AThicker|net@53|||FS1800|pin@83||4.25|3|pin@82||5|3|ART_color()I10
4039 AThicker|net@54|||FS900|pin@92||4.25|9|pin@91||4.25|7|ART_color()I10
4040 AThicker|net@55|||FS1800|pin@91||4.25|7|pin@90||5|7|ART_color()I10
4041 AThicker|net@56|||FS0|pin@85||5|5|pin@84||4.25|5|ART_color()I10
4042 AThicker|net@57|||FS900|pin@84||4.25|5|pin@83||4.25|3|ART_color()I10
4043 AThicker|net@58|||FS0|pin@93||5|9|pin@92||4.25|9|ART_color()I10
4044 AThicker|net@59|||FS1800|pin@88||4.25|-1|pin@89||5|-1|ART_color()I10
4045 AThicker|net@60|||FS0|pin@86||5|1|pin@87||4.25|1|ART_color()I10
4046 AThicker|net@61|||FS900|pin@87||4.25|1|pin@88||4.25|-1|ART_color()I10
4047 AThicker|net@62|||FS900|pin@100||-1.5|9|pin@99||-1.5|7|ART_color()I10
4048 AThicker|net@63|||FS900|pin@94||0|10|pin@95||0|9|ART_color()I10
4049 AThicker|net@64|||FS0|pin@95||0|9|pin@96||-0.75|9|ART_color()I10
4050 AThicker|net@65|||FS1800|pin@97||-0.75|7|pin@98||0|7|ART_color()I10
4051 AThicker|net@66|||FS1800|pin@101||-3|8|pin@102||-1.5|8|ART_color()I10
4052 AThicker|net@67|||FS900|pin@96||-0.75|9|pin@97||-0.75|7|ART_color()I10
4053 AThicker|net@68|||FS900|pin@112||-1.5|1|pin@113||-1.5|-1|ART_color()I10
4054 AThicker|net@69|||FS1800|pin@115||-0.75|-1|pin@114||0|-1|ART_color()I10
4055 AThicker|net@70|||FS900|pin@116||-0.75|1|pin@115||-0.75|-1|ART_color()I10
4056 AThicker|net@71|||FS0|pin@117||0|1|pin@116||-0.75|1|ART_color()I10
4057 AThicker|net@72|||FS1350|pin@111||4|-3|pin@110||5|-4|ART_color()I10
4058 AThicker|net@73|||FS2250|pin@110||5|-4|pin@109||6|-3|ART_color()I10
4059 AThicker|net@74|||FS1800|pin@108||-3|0|pin@107||-1.5|0|ART_color()I10
4060 AThicker|net@75|||FS1800|pin@120||-0.75|3|pin@121||0|3|ART_color()I10
4061 AThicker|net@76|||FS0|pin@118||0|5|pin@119||-0.75|5|ART_color()I10
4062 AThicker|net@77|||FS900|pin@123||-1.5|5|pin@122||-1.5|3|ART_color()I10
4063 AThicker|net@78|||FS900|pin@119||-0.75|5|pin@120||-0.75|3|ART_color()I10
4064 AThicker|net@79|||FS0|pin@124||-1.5|4|pin@125||-2.25|4|ART_color()I10
4065 Ed||D5G1;|pin@104||O
4066 Eg||D5G1;|pin@105||I
4067 Eg2||D5G1;|pin@106||I
4068 Eg3||D5G1;|pin@103||I
4069 X
4070
4071 # Cell nms3_sy3;1{sch}
4072 Cnms3_sy3;1{sch}||schematic|1021415734000|1157754726659||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4073 Ngeneric:Facet-Center|art@0||0|0||||AV
4074 NOff-Page|conn@0||-7|0||||
4075 NOff-Page|conn@1||-7|-8||||
4076 NOff-Page|conn@2||42.5|4||||
4077 NOff-Page|conn@3||45.5|-4|||YRR|
4078 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4079 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4080 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2;Y0.5;)S@X/3.
4081 Inms3_sy3;1{ic}|nms3_sy3@0||45|13|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX-8.5;Y1;)S1
4082 NWire_Pin|pin@0||41|-4||||
4083 NWire_Pin|pin@1||41|0||||
4084 NWire_Pin|pin@2||36|4||||
4085 NWire_Pin|pin@3||32|-4||||
4086 NWire_Pin|pin@4||28|0||||
4087 NWire_Pin|pin@5||23.5|-4||||
4088 NWire_Pin|pin@6||27.5|-8||||
4089 NWire_Pin|pin@7||23.5|-8||||
4090 NWire_Pin|pin@8||31.5|0||||
4091 NWire_Pin|pin@9||19|4||||
4092 NWire_Pin|pin@10||2|4||||
4093 NWire_Pin|pin@11||14.5|0||||
4094 NWire_Pin|pin@12||6.5|-8||||
4095 NWire_Pin|pin@13||10.5|-8||||
4096 NWire_Pin|pin@14||6.5|-4||||
4097 NWire_Pin|pin@15||14|-4||||
4098 NWire_Pin|pin@16||10|0||||
4099 Ngeneric:Invisible-Pin|pin@17||8|12.5|||||ART_message(D5G2;)S[three 3-way symmetric fixed-size N-type transistors to GND]
4100 Ngeneric:Invisible-Pin|pin@18||8|17|||||ART_message(D5G6;)S[nms3_sy3]
4101 Awire|net@0|||0|conn@3|y|43.5|-4|pin@0||41|-4
4102 Awire|net@1|||2700|pin@0||41|-4|pin@1||41|0
4103 Awire|net@2|||0|pin@1||41|0|nms3@0|g3|33|0
4104 Awire|net@3|||1800|pin@2||36|4|conn@2|a|40.5|4
4105 Awire|net@4|||2700|nms3@0|d|36|2|pin@2||36|4
4106 Awire|net@5|||0|pin@2||36|4|pin@9||19|4
4107 Awire|net@6|||0|nms3@0|g2|39|-4|pin@3||32|-4
4108 Awire|net@7|||3150|pin@3||32|-4|pin@4||28|0
4109 Awire|net@8|||0|pin@4||28|0|nms3@1|g3|16|0
4110 Awire|net@9|||0|nms3@0|g3|33|0|pin@8||31.5|0
4111 Awire|net@10|||1800|pin@6||27.5|-8|nms3@0|g|33|-8
4112 Awire|net@11|||1800|nms3@1|g2|22|-4|pin@5||23.5|-4
4113 Awire|net@12|||3150|pin@6||27.5|-8|pin@5||23.5|-4
4114 Awire|net@13|||1800|nms3@1|g|16|-8|pin@7||23.5|-8
4115 Awire|net@14|||450|pin@8||31.5|0|pin@7||23.5|-8
4116 Awire|net@15|||2700|nms3@1|d|19|2|pin@9||19|4
4117 Awire|net@16|||0|pin@9||19|4|pin@10||2|4
4118 Awire|net@17|||900|pin@10||2|4|nms3@2|d|2|2
4119 Awire|net@18|||0|nms3@2|g3|-1|0|conn@0|y|-5|0
4120 Awire|net@19|||0|nms3@2|g|-1|-8|conn@1|y|-5|-8
4121 Awire|net@20|||0|nms3@1|g3|16|0|pin@11||14.5|0
4122 Awire|net@21|||450|pin@11||14.5|0|pin@12||6.5|-8
4123 Awire|net@22|||0|pin@12||6.5|-8|nms3@2|g|-1|-8
4124 Awire|net@23|||0|nms3@1|g|16|-8|pin@13||10.5|-8
4125 Awire|net@24|||3150|pin@13||10.5|-8|pin@14||6.5|-4
4126 Awire|net@25|||0|pin@14||6.5|-4|nms3@2|g2|5|-4
4127 Awire|net@26|||0|nms3@1|g2|22|-4|pin@15||14|-4
4128 Awire|net@27|||3150|pin@15||14|-4|pin@16||10|0
4129 Awire|net@28|||0|pin@16||10|0|nms3@2|g3|-1|0
4130 Ed||D5G2;|conn@2|y|O
4131 Eg||D5G2;|conn@1|a|I
4132 Eg2||D5G2;|conn@3|a|I
4133 Eg3||D5G2;|conn@0|y|I
4134 X
4135
4136 # Cell nms3_sy6;1{ic}
4137 Cnms3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOJPX-8.5;Y1;)SLE.getdrive()|prototype_center()I[28000,-16000]
4138 NPin|pin@18||5|1|1|1||
4139 NPin|pin@19||5|3|1|1||
4140 NPin|pin@20||5|7|1|1||
4141 NPin|pin@21||5|5|1|1||
4142 NPin|pin@30||5|11||||
4143 NPin|pin@31||5|10|1|1||
4144 NPin|pin@32||5|-3|1|1||
4145 NPin|pin@35||5|-2||||
4146 NPin|pin@36||5|-1|1|1|Y|
4147 NPin|pin@43||5|9|1|1||
4148 NPin|pin@44||5|10||||
4149 NPin|pin@52||2.5|0|1|1|Y|
4150 NPin|pin@53||3.5|0||||
4151 NPin|pin@54||3.5|8||||
4152 NPin|pin@55||2.5|8|1|1|Y|
4153 NPin|pin@56||7.5|4|1|1|XY|
4154 NPin|pin@57||6.5|4||||
4155 NPin|pin@76||3.5|1|1|1|YRR|
4156 NPin|pin@77||3.5|-1|1|1|YRR|
4157 NPin|pin@78||3.5|7|1|1|YRR|
4158 NPin|pin@79||3.5|9|1|1|YRR|
4159 NPin|pin@80||6.5|5|1|1|XYRR|
4160 NPin|pin@81||6.5|3|1|1|XYRR|
4161 NPin|pin@82||5|3|1|1|YRR|
4162 NPin|pin@83||5.75|3|1|1|YRR|
4163 NPin|pin@84||5.75|5|1|1|YRR|
4164 NPin|pin@85||5|5|1|1|YRR|
4165 NPin|pin@86||5|1|1|1||
4166 NPin|pin@87||4.25|1|1|1||
4167 NPin|pin@88||4.25|-1|1|1||
4168 NPin|pin@89||5|-1|1|1||
4169 NPin|pin@90||5|7|1|1||
4170 NPin|pin@91||4.25|7|1|1||
4171 NPin|pin@92||4.25|9|1|1||
4172 NPin|pin@93||5|9|1|1||
4173 Ngeneric:Invisible-Pin|pin@103||2.5|8||||
4174 Nschematic:Bus_Pin|pin@104||5|11|-2|-2||
4175 Nschematic:Bus_Pin|pin@105||2.5|0|-2|-2||
4176 Nschematic:Bus_Pin|pin@106||7.5|4|-2|-2||
4177 NPin|pin@109||6|-3|1|1||
4178 NPin|pin@110||5|-4||||
4179 NPin|pin@111||4|-3|1|1||
4180 Ngeneric:Invisible-Pin|pin@126||3.25|4|||||ART_message(D5G1;)S[6-way,sym]
4181 AThicker|net@12|||FS900|pin@19||5|3|pin@18||5|1|ART_color()I10
4182 AThicker|net@13|||FS900|pin@20||5|7|pin@21||5|5|ART_color()I10
4183 AThicker|net@21|||FS2700|pin@31||5|10|pin@30||5|11|ART_color()I10
4184 AThicker|net@22|||FS900|pin@35||5|-2|pin@32||5|-3|ART_color()I10
4185 AThicker|net@23|||FS0|pin@32||5|-3|pin@111||4|-3|ART_color()I10
4186 AThicker|net@24|||FS0|pin@109||6|-3|pin@32||5|-3|ART_color()I10
4187 AThicker|net@28|||FS900|pin@36||5|-1|pin@35||5|-2|ART_color()I10
4188 AThicker|net@31|||FS2700|pin@43||5|9|pin@44||5|10|ART_color()I10
4189 AThicker|net@35|||FS1800|pin@52||2.5|0|pin@53||3.5|0|ART_color()I10
4190 AThicker|net@36|||FS1800|pin@55||2.5|8|pin@54||3.5|8|ART_color()I10
4191 AThicker|net@37|||FS0|pin@56||7.5|4|pin@57||6.5|4|ART_color()I10
4192 AThicker|net@50|||FS2700|pin@77||3.5|-1|pin@76||3.5|1|ART_color()I10
4193 AThicker|net@51|||FS2700|pin@78||3.5|7|pin@79||3.5|9|ART_color()I10
4194 AThicker|net@52|||FS2700|pin@81||6.5|3|pin@80||6.5|5|ART_color()I10
4195 AThicker|net@53|||FS1800|pin@82||5|3|pin@83||5.75|3|ART_color()I10
4196 AThicker|net@54|||FS2700|pin@91||4.25|7|pin@92||4.25|9|ART_color()I10
4197 AThicker|net@55|||FS0|pin@90||5|7|pin@91||4.25|7|ART_color()I10
4198 AThicker|net@56|||FS0|pin@84||5.75|5|pin@85||5|5|ART_color()I10
4199 AThicker|net@57|||FS2700|pin@83||5.75|3|pin@84||5.75|5|ART_color()I10
4200 AThicker|net@58|||FS1800|pin@92||4.25|9|pin@93||5|9|ART_color()I10
4201 AThicker|net@59|||FS0|pin@89||5|-1|pin@88||4.25|-1|ART_color()I10
4202 AThicker|net@60|||FS1800|pin@87||4.25|1|pin@86||5|1|ART_color()I10
4203 AThicker|net@61|||FS2700|pin@88||4.25|-1|pin@87||4.25|1|ART_color()I10
4204 AThicker|net@72|||FS3150|pin@110||5|-4|pin@111||4|-3|ART_color()I10
4205 AThicker|net@73|||FS450|pin@109||6|-3|pin@110||5|-4|ART_color()I10
4206 Ed||D5G1;|pin@104||O
4207 Eg||D5G1;|pin@105||I
4208 Eg2||D5G1;|pin@106||I
4209 Eg3||D5G1;|pin@103||I
4210 X
4211
4212 # Cell nms3_sy6;1{sch}
4213 Cnms3_sy6;1{sch}||schematic|1021415734000|1112291892397||ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOJPX-9.5;Y-15.5;)SLE.getdrive()|prototype_center()I[0,0]
4214 Ngeneric:Facet-Center|art@0||0|0||||AV
4215 NOff-Page|conn@0||-7|0||||
4216 NOff-Page|conn@1||-7|-8||||
4217 NOff-Page|conn@2||98.5|4||||
4218 NOff-Page|conn@3||98.5|-4|||YRR|
4219 Inms3;1{ic}|nms3@0||36|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4220 Inms3;1{ic}|nms3@1||19|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4221 Inms3;1{ic}|nms3@2||2|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4222 Inms3;1{ic}|nms3@3||53|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4223 Inms3;1{ic}|nms3@4||70|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4224 Inms3;1{ic}|nms3@5||87|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X/6.
4225 Inms3_sy6;1{ic}|nms3_sy3@0||69.5|13.5|||D0G4;|ATTR_Delay(D5G1;NPX-8.5;Y-1.5;)I100|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)SLE.getdrive()
4226 NWire_Pin|pin@0||93.5|-4||||
4227 NWire_Pin|pin@1||93.5|-8||||
4228 NWire_Pin|pin@2||36|4||||
4229 NWire_Pin|pin@3||32|-4||||
4230 NWire_Pin|pin@4||28|0||||
4231 NWire_Pin|pin@5||23.5|-4||||
4232 NWire_Pin|pin@6||27.5|-8||||
4233 NWire_Pin|pin@7||23.5|-8||||
4234 NWire_Pin|pin@8||31.5|0||||
4235 NWire_Pin|pin@9||19|4||||
4236 NWire_Pin|pin@10||2|4||||
4237 NWire_Pin|pin@11||14.5|0||||
4238 NWire_Pin|pin@12||6.5|-8||||
4239 NWire_Pin|pin@13||10.5|-8||||
4240 NWire_Pin|pin@14||6.5|-4||||
4241 NWire_Pin|pin@15||14|-4||||
4242 NWire_Pin|pin@16||10|0||||
4243 Ngeneric:Invisible-Pin|pin@17||32.5|13|||||ART_message(D5G2;)Sthree 6-way symmetric fixed-size N-type transistors to GND
4244 Ngeneric:Invisible-Pin|pin@18||32.5|17.5|||||ART_message(D5G6;)Snms3_sy6
4245 NWire_Pin|pin@23||46|-4||||
4246 NWire_Pin|pin@24||42|0||||
4247 NWire_Pin|pin@25||42|-4|||X|
4248 NWire_Pin|pin@26||46|0|||X|
4249 NWire_Pin|pin@27||53|4||||
4250 NWire_Pin|pin@28||59|-4|||X|
4251 NWire_Pin|pin@29||63|0|||X|
4252 NWire_Pin|pin@30||63|-4|||X|
4253 NWire_Pin|pin@31||59|-8|||X|
4254 NWire_Pin|pin@32||65|-8|||X|
4255 NWire_Pin|pin@33||57|0|||X|
4256 NWire_Pin|pin@34||70|4||||
4257 NWire_Pin|pin@35||87|4||||
4258 NWire_Pin|pin@36||80|-4|||X|
4259 NWire_Pin|pin@37||76|-8|||X|
4260 NWire_Pin|pin@38||80|0|||X|
4261 NWire_Pin|pin@39||76|-4|||X|
4262 NWire_Pin|pin@40||82.5|-8|||X|
4263 NWire_Pin|pin@41||74.5|0|||X|
4264 Awire|net@0|||1800|pin@0||93.5|-4|conn@3|y|96.5|-4
4265 Awire|net@1|||2700|pin@1||93.5|-8|pin@0||93.5|-4
4266 Awire|net@3|||0|pin@35||87|4|pin@34||70|4
4267 Awire|net@4|||900|pin@2||36|4|nms3@0|d|36|2
4268 Awire|net@5|||1800|pin@9||19|4|pin@2||36|4
4269 Awire|net@6|||1800|pin@3||32|-4|nms3@0|g2|39|-4
4270 Awire|net@7|||1350|pin@4||28|0|pin@3||32|-4
4271 Awire|net@8|||1800|nms3@1|g3|16|0|pin@4||28|0
4272 Awire|net@9|||1800|pin@8||31.5|0|nms3@0|g3|33|0
4273 Awire|net@10|||0|nms3@0|g|33|-8|pin@6||27.5|-8
4274 Awire|net@11|||0|pin@5||23.5|-4|nms3@1|g2|22|-4
4275 Awire|net@12|||1350|pin@5||23.5|-4|pin@6||27.5|-8
4276 Awire|net@13|||0|pin@7||23.5|-8|nms3@1|g|16|-8
4277 Awire|net@14|||2250|pin@7||23.5|-8|pin@8||31.5|0
4278 Awire|net@15|||900|pin@9||19|4|nms3@1|d|19|2
4279 Awire|net@16|||1800|pin@10||2|4|pin@9||19|4
4280 Awire|net@17|||2700|nms3@2|d|2|2|pin@10||2|4
4281 Awire|net@18|||1800|conn@0|y|-5|0|nms3@2|g3|-1|0
4282 Awire|net@19|||1800|conn@1|y|-5|-8|nms3@2|g|-1|-8
4283 Awire|net@20|||1800|pin@11||14.5|0|nms3@1|g3|16|0
4284 Awire|net@21|||2250|pin@12||6.5|-8|pin@11||14.5|0
4285 Awire|net@22|||1800|nms3@2|g|-1|-8|pin@12||6.5|-8
4286 Awire|net@23|||1800|pin@13||10.5|-8|nms3@1|g|16|-8
4287 Awire|net@24|||1350|pin@14||6.5|-4|pin@13||10.5|-8
4288 Awire|net@25|||1800|nms3@2|g2|5|-4|pin@14||6.5|-4
4289 Awire|net@26|||1800|pin@15||14|-4|nms3@1|g2|22|-4
4290 Awire|net@27|||1350|pin@16||10|0|pin@15||14|-4
4291 Awire|net@28|||1800|nms3@2|g3|-1|0|pin@16||10|0
4292 Awire|net@29|||1800|nms3@0|g|33|-8|nms3@3|g|50|-8
4293 Awire|net@34|||1350|pin@24||42|0|pin@23||46|-4
4294 Awire|net@35|||0|pin@24||42|0|nms3@0|g3|33|0
4295 Awire|net@36|||1800|pin@23||46|-4|nms3@3|g2|56|-4
4296 Awire|net@37|||450|pin@26||46|0|pin@25||42|-4
4297 Awire|net@38|||0|pin@25||42|-4|nms3@0|g2|39|-4
4298 Awire|net@39|||1800|pin@26||46|0|nms3@3|g3|50|0
4299 Awire|net@40|||0|pin@27||53|4|pin@2||36|4
4300 Awire|net@41|||2700|nms3@3|d|53|2|pin@27||53|4
4301 Awire|net@42|||450|pin@29||63|0|pin@28||59|-4
4302 Awire|net@43|||0|pin@28||59|-4|nms3@3|g2|56|-4
4303 Awire|net@44|||1800|pin@29||63|0|nms3@4|g3|67|0
4304 Awire|net@45|||450|pin@30||63|-4|pin@31||59|-8
4305 Awire|net@46|||0|pin@31||59|-8|nms3@3|g|50|-8
4306 Awire|net@47|||1800|pin@30||63|-4|nms3@4|g2|73|-4
4307 Awire|net@48|||3150|pin@32||65|-8|pin@33||57|0
4308 Awire|net@49|||1800|pin@32||65|-8|nms3@4|g|67|-8
4309 Awire|net@50|||0|pin@33||57|0|nms3@3|g3|50|0
4310 Awire|net@51|||0|pin@34||70|4|pin@27||53|4
4311 Awire|net@52|||2700|nms3@4|d|70|2|pin@34||70|4
4312 Awire|net@53|||0|conn@2|a|96.5|4|pin@35||87|4
4313 Awire|net@54|||2700|nms3@5|d|87|2|pin@35||87|4
4314 Awire|net@55|||450|pin@36||80|-4|pin@37||76|-8
4315 Awire|net@56|||0|pin@37||76|-8|nms3@4|g|67|-8
4316 Awire|net@57|||1800|pin@36||80|-4|nms3@5|g2|90|-4
4317 Awire|net@58|||450|pin@38||80|0|pin@39||76|-4
4318 Awire|net@59|||0|pin@39||76|-4|nms3@4|g2|73|-4
4319 Awire|net@60|||1800|pin@38||80|0|nms3@5|g3|84|0
4320 Awire|net@61|||3150|pin@40||82.5|-8|pin@41||74.5|0
4321 Awire|net@62|||1800|pin@40||82.5|-8|nms3@5|g|84|-8
4322 Awire|net@63|||0|pin@41||74.5|0|nms3@4|g3|67|0
4323 Awire|net@64|||0|pin@1||93.5|-8|nms3@5|g|84|-8
4324 Ed||D5G2;|conn@2|y|O
4325 Eg||D5G2;|conn@1|a|I
4326 Eg2||D5G2;|conn@3|a|I
4327 Eg3||D5G2;|conn@0|y|I
4328 X
4329
4330 # Cell nms3a;1{ic}
4331 Cnms3a;1{ic}|nms3|artwork|1021415734000|1228434950634|E|ATTR_Delay(D5G1;HNPX-7.5;Y-3.5;)I100|ATTR_X(D5G1;HNOLPX-7.5;Y-2.5;)S1|prototype_center()I[0,0]
4332 Ngeneric:Facet-Center|art@0||0|0||||AV
4333 NPin|pin@0||-3|4|1|1|Y|
4334 NPin|pin@1||-1.5|4||||
4335 NPin|pin@2||0|2|1|1|YRR|
4336 NPin|pin@3||-1.5|5|1|1|YRR|
4337 NPin|pin@4||-1.5|3|1|1|YRR|
4338 NPin|pin@5||0|3|1|1|YRR|
4339 NPin|pin@6||-0.75|3|1|1|YRR|
4340 NPin|pin@7||-0.75|5|1|1|YRR|
4341 NPin|pin@8||0|5|1|1|YRR|
4342 NPin|pin@9||0|6|||RR|
4343 NPin|pin@10||0|2|1|1||
4344 NPin|pin@11||0|1|1|1||
4345 NPin|pin@12||-0.75|1|1|1||
4346 NPin|pin@13||-0.75|-1|1|1||
4347 NPin|pin@14||0|-1|1|1||
4348 NPin|pin@15||-1.5|-1|1|1||
4349 NPin|pin@16||-1.5|1|1|1||
4350 NPin|pin@17||-1|-2|1|1||
4351 NPin|pin@18||0|-3||||
4352 NPin|pin@19||1|-2|1|1||
4353 NPin|pin@20||0|-2|1|1||
4354 NPin|pin@21||-3|0|||RR|
4355 NPin|pin@22||-1.5|0|1|1|RR|
4356 Nschematic:Bus_Pin|pin@23||-3|4|-2|-2||
4357 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4358 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4359 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4360 NPin|pin@27||-1.5|8|1|1|RR|
4361 NPin|pin@28||-3|8|||RR|
4362 NPin|pin@29||0|6|1|1||
4363 NPin|pin@30||-1.5|9|1|1||
4364 NPin|pin@31||-1.5|7|1|1||
4365 NPin|pin@32||0|7|1|1||
4366 NPin|pin@33||-0.75|7|1|1||
4367 NPin|pin@34||-0.75|9|1|1||
4368 NPin|pin@35||0|9|1|1||
4369 NPin|pin@36||0|10||||
4370 AThicker|net@0|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I10
4371 AThicker|net@1|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I10
4372 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I10
4373 AThicker|net@3|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I10
4374 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4375 AThicker|net@5|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I10
4376 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4377 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4378 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4379 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4380 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4381 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4382 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4383 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4384 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4385 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4386 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4387 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4388 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4389 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4390 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4391 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4392 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4393 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4394 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4395 Ed||D5G1;|pin@25||O
4396 Eg||D5G1;|pin@24||I
4397 Eg2||D5G1;|pin@23||I
4398 Eg3||D5G1;|pin@26||I
4399 X
4400
4401 # Cell nms3b;1{ic}
4402 Cnms3b;1{ic}|nms3|artwork|1021415734000|1228435116714|E|ATTR_Delay(D5G1;HNPX-9.5;Y-16.5;)I100|ATTR_X(D5G1;HNOLPX-9.5;Y-15.5;)S1|prototype_center()I[0,0]
4403 Ngeneric:Facet-Center|art@0||0|0||||AV
4404 NPin|pin@0||1.5|4|1|1|Y|
4405 NPin|pin@1||3|4||||
4406 NPin|pin@2||0|2|1|1|YRR|
4407 NPin|pin@3||1.5|5|1|1|YRR|
4408 NPin|pin@4||1.5|3|1|1|YRR|
4409 NPin|pin@5||0|3|1|1|YRR|
4410 NPin|pin@6||0.75|3|1|1|YRR|
4411 NPin|pin@7||0.75|5|1|1|YRR|
4412 NPin|pin@8||0|5|1|1|YRR|
4413 NPin|pin@9||0|6|||RR|
4414 NPin|pin@10||0|2|1|1||
4415 NPin|pin@11||0|1|1|1||
4416 NPin|pin@12||-0.75|1|1|1||
4417 NPin|pin@13||-0.75|-1|1|1||
4418 NPin|pin@14||0|-1|1|1||
4419 NPin|pin@15||-1.5|-1|1|1||
4420 NPin|pin@16||-1.5|1|1|1||
4421 NPin|pin@17||-1|-2|1|1||
4422 NPin|pin@18||0|-3||||
4423 NPin|pin@19||1|-2|1|1||
4424 NPin|pin@20||0|-2|1|1||
4425 NPin|pin@21||-3|0|||RR|
4426 NPin|pin@22||-1.5|0|1|1|RR|
4427 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4428 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4429 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4430 Ngeneric:Invisible-Pin|pin@26||3|8||||
4431 NPin|pin@27||3|8|1|1|RR|
4432 NPin|pin@28||1.5|8|||RR|
4433 NPin|pin@29||0|6|1|1||
4434 NPin|pin@30||1.5|9|1|1||
4435 NPin|pin@31||1.5|7|1|1||
4436 NPin|pin@32||0|7|1|1||
4437 NPin|pin@33||0.75|7|1|1||
4438 NPin|pin@34||0.75|9|1|1||
4439 NPin|pin@35||0|9|1|1||
4440 NPin|pin@36||0|10||||
4441 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4442 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4443 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4444 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4445 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4446 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4447 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4448 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I10
4449 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4450 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4451 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4452 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4453 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I10
4454 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I10
4455 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4456 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4457 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I10
4458 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I10
4459 AThicker|net@18|||FS900|pin@34||0.75|9|pin@33||0.75|7|ART_color()I10
4460 AThicker|net@19|||FS1800|pin@28||1.5|8|pin@27||3|8|ART_color()I10
4461 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4462 AThicker|net@21|||FS0|pin@33||0.75|7|pin@32||0|7|ART_color()I10
4463 AThicker|net@22|||FS1800|pin@35||0|9|pin@34||0.75|9|ART_color()I10
4464 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4465 AThicker|net@24|||FS900|pin@30||1.5|9|pin@31||1.5|7|ART_color()I10
4466 Ed||D5G1;|pin@25||O
4467 Eg||D5G1;|pin@24||I
4468 Eg2||D5G1;|pin@23||I
4469 Eg3||D5G1;|pin@26||I
4470 X
4471
4472 # Cell nms3c;1{ic}
4473 Cnms3c;1{ic}|nms3|artwork|1021415734000|1228435227802|E|ATTR_Delay(D5G1;HNPX-5;Y3;)I100|ATTR_X(D5G1;HNOLPX-5;Y4;)S1|prototype_center()I[0,0]
4474 Ngeneric:Facet-Center|art@0||0|0||||AV
4475 NPin|pin@0||1.5|4|1|1|Y|
4476 NPin|pin@1||3|4||||
4477 NPin|pin@2||0|2|1|1|YRR|
4478 NPin|pin@3||1.5|5|1|1|YRR|
4479 NPin|pin@4||1.5|3|1|1|YRR|
4480 NPin|pin@5||0|3|1|1|YRR|
4481 NPin|pin@6||0.75|3|1|1|YRR|
4482 NPin|pin@7||0.75|5|1|1|YRR|
4483 NPin|pin@8||0|5|1|1|YRR|
4484 NPin|pin@9||0|6|||RR|
4485 NPin|pin@10||0|2|1|1||
4486 NPin|pin@11||0|1|1|1||
4487 NPin|pin@12||0.75|1|1|1||
4488 NPin|pin@13||0.75|-1|1|1||
4489 NPin|pin@14||0|-1|1|1||
4490 NPin|pin@15||1.5|-1|1|1||
4491 NPin|pin@16||1.5|1|1|1||
4492 NPin|pin@17||-1|-2|1|1||
4493 NPin|pin@18||0|-3||||
4494 NPin|pin@19||1|-2|1|1||
4495 NPin|pin@20||0|-2|1|1||
4496 NPin|pin@21||1.5|0|||RR|
4497 NPin|pin@22||3|0|1|1|RR|
4498 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
4499 Nschematic:Bus_Pin|pin@24||3|0|-2|-2||
4500 Nschematic:Bus_Pin|pin@25||0|10|-2|-2||
4501 Ngeneric:Invisible-Pin|pin@26||-3|8||||
4502 NPin|pin@27||-1.5|8|1|1|RR|
4503 NPin|pin@28||-3|8|||RR|
4504 NPin|pin@29||0|6|1|1||
4505 NPin|pin@30||-1.5|9|1|1||
4506 NPin|pin@31||-1.5|7|1|1||
4507 NPin|pin@32||0|7|1|1||
4508 NPin|pin@33||-0.75|7|1|1||
4509 NPin|pin@34||-0.75|9|1|1||
4510 NPin|pin@35||0|9|1|1||
4511 NPin|pin@36||0|10||||
4512 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I10
4513 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I10
4514 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I10
4515 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I10
4516 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I10
4517 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I10
4518 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I10
4519 AThicker|net@7|||FS1800|pin@21||1.5|0|pin@22||3|0|ART_color()I10
4520 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I10
4521 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I10
4522 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I10
4523 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I10
4524 AThicker|net@12|||FS1800|pin@11||0|1|pin@12||0.75|1|ART_color()I10
4525 AThicker|net@13|||FS900|pin@12||0.75|1|pin@13||0.75|-1|ART_color()I10
4526 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I10
4527 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I10
4528 AThicker|net@16|||FS0|pin@13||0.75|-1|pin@14||0|-1|ART_color()I10
4529 AThicker|net@17|||FS900|pin@16||1.5|1|pin@15||1.5|-1|ART_color()I10
4530 AThicker|net@18|||FS900|pin@34||-0.75|9|pin@33||-0.75|7|ART_color()I10
4531 AThicker|net@19|||FS1800|pin@28||-3|8|pin@27||-1.5|8|ART_color()I10
4532 AThicker|net@20|||FS900|pin@32||0|7|pin@29||0|6|ART_color()I10
4533 AThicker|net@21|||FS1800|pin@33||-0.75|7|pin@32||0|7|ART_color()I10
4534 AThicker|net@22|||FS0|pin@35||0|9|pin@34||-0.75|9|ART_color()I10
4535 AThicker|net@23|||FS900|pin@36||0|10|pin@35||0|9|ART_color()I10
4536 AThicker|net@24|||FS900|pin@30||-1.5|9|pin@31||-1.5|7|ART_color()I10
4537 Ed||D5G1;|pin@25||O
4538 Eg||D5G1;|pin@24||I
4539 Eg2||D5G1;|pin@23||I
4540 Eg3||D5G1;|pin@26||I
4541 X
4542
4543 # Cell nor2;1{ic}
4544 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4545 Ngeneric:Facet-Center|art@0||0|0||||AV
4546 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4547 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4548 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4549 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4550 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
4551 NPin|pin@1||-1|-1|1|1||
4552 NPin|pin@2||-2.5|-1||||
4553 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
4554 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
4555 NPin|pin@5||-2.5|1||||
4556 NPin|pin@6||-1|1|1|1||
4557 NPin|pin@7||-1|-1.25|1|1||
4558 NPin|pin@8||-0.5|-1.75|1|1||
4559 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I10
4560 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I10
4561 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
4562 Eina||D5G1;|pin@0||I
4563 Einb||D5G1;|pin@3||I
4564 Eout||D5G1;|pin@4||O
4565 X
4566
4567 # Cell nor2;1{sch}
4568 Cnor2;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4569 INMOS;1{ic}|NMOS@2||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4570 INMOS;1{ic}|NMOS@3||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4571 Ngeneric:Facet-Center|art@0||0|0||||AV
4572 NOff-Page|conn@0||-15.5|0||||
4573 NOff-Page|conn@1||14|-8|||RR|
4574 NOff-Page|conn@2||14|0||||
4575 NGround|gnd@0||0|-15||||
4576 Inor2;1{ic}|nor2@0||24.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4577 Inor2nn;1{ic}|nor2nn@0||25|8|||D5G4;|ATTR_Delay(D5G1;NPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;NPX-18;Y-5;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4578 NWire_Pin|pin@0||0|-11.5||||
4579 NWire_Pin|pin@1||-4|-11.5||||
4580 NWire_Pin|pin@2||4|-11.5||||
4581 NWire_Pin|pin@3||-9|-8||||
4582 Ngeneric:Invisible-Pin|pin@4||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR]
4583 NWire_Pin|pin@5||-9|0||||
4584 NWire_Pin|pin@6||9|4||||
4585 NWire_Pin|pin@7||9|-8||||
4586 Ngeneric:Invisible-Pin|pin@8||-2|19.5|||||ART_message(D5G6;)S[nor2]
4587 NWire_Pin|pin@9||-9|8||||
4588 NWire_Pin|pin@10||0|0||||
4589 NWire_Pin|pin@11||4|0||||
4590 NWire_Pin|pin@12||-4|0||||
4591 Ngeneric:Invisible-Pin|pin@13||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4592 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4593 Awire|net@0|||0|pin@7||9|-8|NMOS@3|g|7|-8
4594 Awire|net@1|||900|pin@0||0|-11.5|gnd@0||0|-13
4595 Awire|net@2|||0|pin@2||4|-11.5|pin@0||0|-11.5
4596 Awire|net@3|||0|pin@0||0|-11.5|pin@1||-4|-11.5
4597 Awire|net@4|||900|NMOS@2|s|-4|-10|pin@1||-4|-11.5
4598 Awire|net@5|||2700|pin@2||4|-11.5|NMOS@3|s|4|-10
4599 Awire|net@6|||900|pin@12||-4|0|NMOS@2|d|-4|-6
4600 Awire|net@7|||0|NMOS@2|g|-7|-8|pin@3||-9|-8
4601 Awire|net@8|||900|pin@11||4|0|NMOS@3|d|4|-6
4602 Awire|net@9|||2700|pin@3||-9|-8|pin@5||-9|0
4603 Awire|net@10|||0|pin@5||-9|0|conn@0|y|-13.5|0
4604 Awire|net@11|||2700|pin@7||9|-8|pin@6||9|4
4605 Awire|net@12|||1800|pin@7||9|-8|conn@1|y|12|-8
4606 Awire|net@13|||0|pin@6||9|4|pms2@0|g2|3|4
4607 Awire|net@14|||1800|pin@9||-9|8|pms2@0|g|-3|8
4608 Awire|net@15|||2700|pin@10||0|0|pms2@0|d|0|2
4609 Awire|net@16|||0|pin@11||4|0|pin@10||0|0
4610 Awire|net@17|||0|pin@10||0|0|pin@12||-4|0
4611 Awire|net@18|||2700|pin@5||-9|0|pin@9||-9|8
4612 Awire|net@19|||1800|pin@11||4|0|conn@2|a|12|0
4613 Eina||D5G2;|conn@0|a|I
4614 Einb||D5G2;|conn@1|a|I
4615 Eout||D5G2;|conn@2|y|O
4616 X
4617
4618 # Cell nor2HT_sy;1{ic}
4619 Cnor2HT_sy;1{ic}||artwork|1021415734000|1223674999787|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4620 Ngeneric:Facet-Center|art@0||0|0||||AV
4621 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4622 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4623 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4624 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4625 NOpened-Thicker-Polygon|art@5||0.2|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4626 NPin|pin@0||-1|-1.25|1|1||
4627 NPin|pin@1||-1|1|1|1||
4628 NPin|pin@2||-2.5|1||||
4629 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4630 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4631 NPin|pin@5||-2.5|-1||||
4632 NPin|pin@6||-1|-1|1|1||
4633 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4634 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4635 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4636 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4637 Eina||D5G1;|pin@7||I
4638 Einb||D5G1;|pin@4||I
4639 Eout||D5G1;|pin@3||O
4640 X
4641
4642 # Cell nor2HT_sy;1{sch}
4643 Cnor2HT_sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4644 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4645 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPY2;)S@X/2.
4646 Ngeneric:Facet-Center|art@0||0|0||||AV
4647 NOff-Page|conn@0||14|0||||
4648 NOff-Page|conn@1||14|-8|||RR|
4649 NOff-Page|conn@2||-15.5|0||||
4650 NGround|gnd@0||0|-15||||
4651 Inor2HT_sy;1{ic}|nor2HT_s@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
4652 Inor2HT_sya;2{ic}|nor2HT_s@1||30.5|9.5|||D5G4;|ATTR_Delay(D5G1;NPX4;Y-1.75;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.75;Y2.75;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4653 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,Both pull-downs are,as strong as the pull-up]
4654 NWire_Pin|pin@1||-4|0||||
4655 NWire_Pin|pin@2||4|0||||
4656 NWire_Pin|pin@3||0|0||||
4657 NWire_Pin|pin@4||-9|8||||
4658 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)Snor2HT_sy
4659 NWire_Pin|pin@6||9|-8||||
4660 NWire_Pin|pin@7||9|4||||
4661 NWire_Pin|pin@8||-9|0||||
4662 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4663 NWire_Pin|pin@10||-9|-8||||
4664 NWire_Pin|pin@11||4|-11.5||||
4665 NWire_Pin|pin@12||-4|-11.5||||
4666 NWire_Pin|pin@13||0|-11.5||||
4667 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4668 Awire|net@0|||1800|NMOS@2|g|7|-8|pin@6||9|-8
4669 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4670 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4671 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4672 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4673 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4674 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4675 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4676 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4677 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4678 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4679 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4680 Awire|net@12|||900|pin@2||4|0|NMOS@2|d|4|-6
4681 Awire|net@13|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4682 Awire|net@14|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4683 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4684 Awire|net@16|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4685 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4686 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4687 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4688 Eina||D5G2;|conn@2|a|I
4689 Einb||D5G2;|conn@1|a|I
4690 Eout||D5G2;|conn@0|y|O
4691 X
4692
4693 # Cell nor2HT_sya;2{ic}
4694 Cnor2HT_sya;2{ic}|nor2HT_sy|artwork|1021415734000|1223675184366|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4695 Ngeneric:Facet-Center|art@0||0|0||||AV
4696 NThick-Circle|art@5||-1|1|1|1|||ART_color()I10
4697 NThick-Circle|art@6||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4698 NThick-Circle|art@7||-1|-1|1|1|||ART_color()I10
4699 NOpened-Thicker-Polygon|art@8||1|0|0.5|1|||ART_color()I10|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
4700 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4701 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4702 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4703 NPin|pin@8||0.5|-2|1|1||
4704 NPin|pin@9||-0.5|-2|1|1||
4705 NPin|pin@10||-0.5|2|1|1||
4706 NPin|pin@11||0.5|2|1|1||
4707 NPin|pin@15||-2.5|1|1|1||
4708 NPin|pin@16||-1.5|1|1|1||
4709 NPin|pin@22||-2.5|-1|1|1||
4710 NPin|pin@23||-1.5|-1|1|1||
4711 AThicker|net@3|||FS0|pin@16||-1.5|1|pin@15||-2.5|1|ART_color()I10
4712 AThicker|net@4|||FS0|pin@8||0.5|-2|pin@9||-0.5|-2|ART_color()I10
4713 AThicker|net@5|||FS2700|pin@9||-0.5|-2|pin@10||-0.5|2|ART_color()I10
4714 AThicker|net@6|||FS0|pin@11||0.5|2|pin@10||-0.5|2|ART_color()I10
4715 AThicker|net@13|||FS0|pin@23||-1.5|-1|pin@22||-2.5|-1|ART_color()I10
4716 Eina||D5G1;|pin@7||I
4717 Einb||D5G1;|pin@4||I
4718 Eout||D5G1;|pin@3||O
4719 X
4720
4721 # Cell nor2_sy;1{ic}
4722 Cnor2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4723 Ngeneric:Facet-Center|art@0||0|0||||AV
4724 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4725 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4726 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4727 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4728 NPin|pin@0||-1|-1.25|1|1||
4729 NPin|pin@1||-1|1|1|1||
4730 NPin|pin@2||-2.5|1||||
4731 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
4732 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4733 NPin|pin@5||-2.5|-1||||
4734 NPin|pin@6||-1|-1|1|1||
4735 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
4736 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
4737 AThicker|net@1|||FS0|pin@1||-1|1|pin@2||-2.5|1|ART_color()I10
4738 AThicker|net@2|||FS0|pin@6||-1|-1|pin@5||-2.5|-1|ART_color()I10
4739 Eina||D5G1;|pin@7||I
4740 Einb||D5G1;|pin@4||I
4741 Eout||D5G1;|pin@3||O
4742 X
4743
4744 # Cell nor2_sy;1{sch}
4745 Cnor2_sy;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4746 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4747 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X
4748 Ngeneric:Facet-Center|art@0||0|0||||AV
4749 NOff-Page|conn@0||14|0||||
4750 NOff-Page|conn@1||14|-8|||RR|
4751 NOff-Page|conn@2||-15.5|0||||
4752 NGround|gnd@0||0|-15||||
4753 Inor2_sy;1{ic}|nor2_sy@0||29.5|17|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4754 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4755 NWire_Pin|pin@1||-4|0||||
4756 NWire_Pin|pin@2||4|0||||
4757 NWire_Pin|pin@3||0|0||||
4758 NWire_Pin|pin@4||-9|8||||
4759 Ngeneric:Invisible-Pin|pin@5||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
4760 NWire_Pin|pin@6||9|-8||||
4761 NWire_Pin|pin@7||9|4||||
4762 NWire_Pin|pin@8||-9|0||||
4763 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size symmetric NOR]
4764 NWire_Pin|pin@10||-9|-8||||
4765 NWire_Pin|pin@11||4|-11.5||||
4766 NWire_Pin|pin@12||-4|-11.5||||
4767 NWire_Pin|pin@13||0|-11.5||||
4768 Ipms2_sy;1{ic}|pms2_sy@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4.25;Y1;)S@X
4769 Awire|net@0|||1800|NMOS@2|g|7|-8|pin@6||9|-8
4770 Awire|net@1|||0|pin@7||9|4|pms2_sy@0|g2|3|4
4771 Awire|net@2|||1800|pin@4||-9|8|pms2_sy@0|g|-3|8
4772 Awire|net@3|||2700|pin@3||0|0|pms2_sy@0|d|0|2
4773 Awire|net@4|||1800|pin@2||4|0|conn@0|a|12|0
4774 Awire|net@5|||2700|pin@8||-9|0|pin@4||-9|8
4775 Awire|net@6|||0|pin@3||0|0|pin@1||-4|0
4776 Awire|net@7|||0|pin@2||4|0|pin@3||0|0
4777 Awire|net@8|||1800|pin@6||9|-8|conn@1|y|12|-8
4778 Awire|net@9|||2700|pin@6||9|-8|pin@7||9|4
4779 Awire|net@10|||0|pin@8||-9|0|conn@2|y|-13.5|0
4780 Awire|net@11|||2700|pin@10||-9|-8|pin@8||-9|0
4781 Awire|net@12|||900|pin@2||4|0|NMOS@2|d|4|-6
4782 Awire|net@13|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4783 Awire|net@14|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4784 Awire|net@15|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4785 Awire|net@16|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4786 Awire|net@17|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4787 Awire|net@18|||0|pin@11||4|-11.5|pin@13||0|-11.5
4788 Awire|net@19|||900|pin@13||0|-11.5|gnd@0||0|-13
4789 Eina||D5G2;|conn@2|a|I
4790 Einb||D5G2;|conn@1|a|I
4791 Eout||D5G2;|conn@0|y|O
4792 X
4793
4794 # Cell nor2en;1{ic}
4795 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4796 Ngeneric:Facet-Center|art@0||0|0||||AV
4797 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4798 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4799 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4800 NThick-Circle|art@4||2|0|1|1|||ART_color()I10
4801 Ngeneric:Invisible-Pin|pin@0||-0.25|-1|||||ART_message(D5G1;)S[en]
4802 NPin|pin@1||-0.5|-1.75|1|1||
4803 NPin|pin@2||-1|-1.25|1|1||
4804 NPin|pin@3||-1|1|1|1||
4805 NPin|pin@4||-2.5|1||||
4806 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4807 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
4808 NPin|pin@7||-2.5|-1||||
4809 NPin|pin@8||-1|-1|1|1||
4810 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
4811 AThicker|net@0|||FS3150|pin@1||-0.5|-1.75|pin@2||-1|-1.25|ART_color()I10
4812 AThicker|net@1|||FS0|pin@3||-1|1|pin@4||-2.5|1|ART_color()I10
4813 AThicker|net@2|||FS0|pin@8||-1|-1|pin@7||-2.5|-1|ART_color()I10
4814 Eina||D5G1;|pin@9||I
4815 Einb||D5G1;|pin@6||I
4816 Eout||D5G1;|pin@5||O
4817 X
4818
4819 # Cell nor2en;1{sch}
4820 Cnor2en;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4821 INMOS;1{ic}|NMOS@2||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX4;Y-0.5;)S@X
4822 INMOS;1{ic}|NMOS@3||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOLPX4.5;Y1.5;)Smax(@X/3., 5./3.)
4823 Ngeneric:Facet-Center|art@0||0|0||||AV
4824 NOff-Page|conn@0||14|0||||
4825 NOff-Page|conn@1||14|-8|||RR|
4826 NOff-Page|conn@2||-15.5|0||||
4827 NGround|gnd@0||0|-15||||
4828 Inor2en;1{ic}|nor2en@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4829 Ngeneric:Invisible-Pin|pin@0||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4830 NWire_Pin|pin@1||-4|0||||
4831 NWire_Pin|pin@2||4|0||||
4832 NWire_Pin|pin@3||0|0||||
4833 NWire_Pin|pin@4||-9|8||||
4834 Ngeneric:Invisible-Pin|pin@5||-2|21.5|||||ART_message(D5G6;)S[nor2en]
4835 NWire_Pin|pin@6||9|-8||||
4836 NWire_Pin|pin@7||9|4||||
4837 NWire_Pin|pin@8||-9|0||||
4838 Ngeneric:Invisible-Pin|pin@9||-2|14.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/12 for enable input)]
4839 NWire_Pin|pin@10||-9|-8||||
4840 NWire_Pin|pin@11||4|-11.5||||
4841 NWire_Pin|pin@12||-4|-11.5||||
4842 NWire_Pin|pin@13||0|-11.5||||
4843 Ipms2;1{ic}|pms2@0||0|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
4844 Awire|net@0|||1800|pin@2||4|0|conn@0|a|12|0
4845 Awire|net@1|||2700|pin@8||-9|0|pin@4||-9|8
4846 Awire|net@2|||0|pin@3||0|0|pin@1||-4|0
4847 Awire|net@3|||0|pin@2||4|0|pin@3||0|0
4848 Awire|net@4|||2700|pin@3||0|0|pms2@0|d|0|2
4849 Awire|net@5|||1800|pin@4||-9|8|pms2@0|g|-3|8
4850 Awire|net@6|||0|pin@7||9|4|pms2@0|g2|3|4
4851 Awire|net@7|||1800|pin@6||9|-8|conn@1|y|12|-8
4852 Awire|net@8|||2700|pin@6||9|-8|pin@7||9|4
4853 Awire|net@9|||0|pin@8||-9|0|conn@2|y|-13.5|0
4854 Awire|net@10|||2700|pin@10||-9|-8|pin@8||-9|0
4855 Awire|net@11|||900|pin@2||4|0|NMOS@2|d|4|-6
4856 Awire|net@12|||0|NMOS@3|g|-7|-8|pin@10||-9|-8
4857 Awire|net@13|||900|pin@1||-4|0|NMOS@3|d|-4|-6
4858 Awire|net@14|||2700|pin@11||4|-11.5|NMOS@2|s|4|-10
4859 Awire|net@15|||900|NMOS@3|s|-4|-10|pin@12||-4|-11.5
4860 Awire|net@16|||0|pin@13||0|-11.5|pin@12||-4|-11.5
4861 Awire|net@17|||0|pin@11||4|-11.5|pin@13||0|-11.5
4862 Awire|net@18|||900|pin@13||0|-11.5|gnd@0||0|-13
4863 Awire|net@19|||0|pin@6||9|-8|NMOS@2|g|7|-8
4864 Eina||D5G2;|conn@2|a|I
4865 Einb||D5G2;|conn@1|a|I
4866 Eout||D5G2;|conn@0|y|O
4867 X
4868
4869 # Cell nor2en_2p;1{ic}
4870 Cnor2en_2p;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5G1.5;HNPX2.25;Y2.25;)I1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4871 Ngeneric:Facet-Center|art@0||0|0||||AV
4872 NThick-Circle|art@1||2|0|1|1|||ART_color()I10
4873 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4874 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
4875 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
4876 Ngeneric:Invisible-Pin|pin@0||0|0.5|||||ART_message(D5G1;)S[2p]
4877 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
4878 NPin|pin@2||-1|-1|1|1||
4879 NPin|pin@3||-2.5|-1||||
4880 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
4881 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
4882 NPin|pin@6||-2.5|1||||
4883 NPin|pin@7||-1|1|1|1||
4884 NPin|pin@8||-1|-1.25|1|1||
4885 NPin|pin@9||-0.5|-1.75|1|1||
4886 Ngeneric:Invisible-Pin|pin@10||-0.25|-1|||||ART_message(D5G1;)S[en]
4887 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I10
4888 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I10
4889 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I10
4890 Eina||D5G1;|pin@1||I
4891 Einb||D5G1;|pin@4||I
4892 Eout||D5G1;|pin@5||O
4893 X
4894
4895 # Cell nor2en_2p;1{sch}
4896 Cnor2en_2p;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-24;Y-6;)I100|ATTR_X(D5G1;HNPX-24;Y-5;)I1|ATTR_drive0(D5G1;HNPTX-24;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-24;Y-8;)Sstrong1|ATTR_verilog_template(D5G1;NTX5.5;Y-18.5;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
4897 INMOS;1{ic}|NMOS@2||-10|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SMath.max(((Number)@X).doubleValue()/10., 5./3.)
4898 INMOS;1{ic}|NMOS@3||1|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4899 Ngeneric:Facet-Center|art@0||0|0||||AV
4900 NOff-Page|conn@0||-21.5|0||||
4901 NOff-Page|conn@1||10|-8|||RR|
4902 NOff-Page|conn@2||10|0||||
4903 NGround|gnd@0||-4.5|-15||||
4904 Inor2en_2p;1{ic}|nor2en_2@0||31|9.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5G1.5;NPX2.25;Y2.25;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4905 NWire_Pin|pin@0||-2.5|0||||
4906 Ngeneric:Invisible-Pin|pin@1||-1.5|14|||||ART_message(D5G2;)S[2 p-stacks for larger sizes]
4907 NWire_Pin|pin@2||-4.5|-11.5||||
4908 NWire_Pin|pin@3||-10|-11.5||||
4909 NWire_Pin|pin@4||1|-11.5||||
4910 NWire_Pin|pin@5||-15|-8||||
4911 Ngeneric:Invisible-Pin|pin@6||-2|17.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR where ina is DC signal (enable),P to width ratio is 4 to 1 (1/10 for enable input)]
4912 NWire_Pin|pin@7||-15|0||||
4913 NWire_Pin|pin@8||6|4||||
4914 NWire_Pin|pin@9||6|-8||||
4915 Ngeneric:Invisible-Pin|pin@10||-2|24.5|||||ART_message(D5G6;)S[nor2en_2p]
4916 NWire_Pin|pin@11||-15|8||||
4917 NWire_Pin|pin@12||1|0||||
4918 NWire_Pin|pin@13||-10|0||||
4919 Ngeneric:Invisible-Pin|pin@14||27|-14|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4920 Ipms2;1{ic}|pms2@0||-2.5|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4921 Ipms2;1{ic}|pms2@1||-10|8|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/2.
4922 Awire|net@0|||1800|pms2@0|g2|0.5|4|pin@8||6|4
4923 Awire|net@1|||2700|pin@0||-2.5|0|pms2@0|d|-2.5|2
4924 Awire|net@2|||1800|pin@13||-10|0|pin@0||-2.5|0
4925 Awire|net@3|||1800|pin@0||-2.5|0|pin@12||1|0
4926 Awire|net@4|||1800|pms2@1|g2|-7|4|pms2@0|g2|0.5|4
4927 Awire|net@5|||1800|pms2@1|g|-13|8|pms2@0|g|-5.5|8
4928 Awire|net@6|||2700|pin@13||-10|0|pms2@1|d|-10|2
4929 Awire|net@7|||0|pin@9||6|-8|NMOS@3|g|4|-8
4930 Awire|net@8|||900|pin@2||-4.5|-11.5|gnd@0||-4.5|-13
4931 Awire|net@9|||0|pin@4||1|-11.5|pin@2||-4.5|-11.5
4932 Awire|net@10|||0|pin@2||-4.5|-11.5|pin@3||-10|-11.5
4933 Awire|net@11|||900|NMOS@2|s|-10|-10|pin@3||-10|-11.5
4934 Awire|net@12|||2700|pin@4||1|-11.5|NMOS@3|s|1|-10
4935 Awire|net@13|||900|pin@13||-10|0|NMOS@2|d|-10|-6
4936 Awire|net@14|||0|NMOS@2|g|-13|-8|pin@5||-15|-8
4937 Awire|net@15|||900|pin@12||1|0|NMOS@3|d|1|-6
4938 Awire|net@16|||2700|pin@5||-15|-8|pin@7||-15|0
4939 Awire|net@17|||0|pin@7||-15|0|conn@0|y|-19.5|0
4940 Awire|net@18|||2700|pin@9||6|-8|pin@8||6|4
4941 Awire|net@19|||1800|pin@9||6|-8|conn@1|y|8|-8
4942 Awire|net@20|||1800|pin@11||-15|8|pms2@1|g|-13|8
4943 Awire|net@21|||2700|pin@7||-15|0|pin@11||-15|8
4944 Awire|net@22|||1800|pin@12||1|0|conn@2|a|8|0
4945 Eina||D5G2;|conn@0|a|I
4946 Einb||D5G2;|conn@1|a|I
4947 Eout||D5G2;|conn@2|y|O
4948 X
4949
4950 # Cell nor2n;1{ic}
4951 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
4952 Ngeneric:Facet-Center|art@0||0|0||||AV
4953 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
4954 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
4955 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
4956 NPin|pin@0||-0.5|-0.75|1|1||
4957 NPin|pin@1||0.75|-2|1|1||
4958 NPin|pin@2||0.5|-2|1|1||
4959 NPin|pin@3||-0.5|-2|1|1||
4960 NPin|pin@4||-0.5|2|1|1||
4961 NPin|pin@5||0.5|2|1|1||
4962 NPin|pin@6||-1.5|1|1|1||
4963 NPin|pin@7||-2.5|1||||
4964 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4965 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
4966 NPin|pin@10||-2.5|-1||||
4967 NPin|pin@11||-1.5|-1|1|1||
4968 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
4969 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
4970 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
4971 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
4972 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
4973 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
4974 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
4975 Eina||D5G1;|pin@12||I
4976 Einb||D5G1;|pin@9||I
4977 Eout||D5G1;|pin@8||O
4978 X
4979
4980 # Cell nor2n;1{sch}
4981 Cnor2n;1{sch}||schematic|1021415734000|1209125237179||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
4982 Ngeneric:Facet-Center|art@0||0|0||||AV
4983 NOff-Page|conn@0||10.5|0||||
4984 NOff-Page|conn@1||-15.5|2.5||||
4985 NOff-Page|conn@2||-15.5|-2.5||||
4986 Inor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4987 Inor2n;1{ic}|nor2n@0||24.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
4988 NWire_Pin|pin@0||-7|-2.5||||
4989 NWire_Pin|pin@1||-7|-1||||
4990 NWire_Pin|pin@2||-7|1||||
4991 NWire_Pin|pin@3||-7|2.5||||
4992 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
4993 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)S[nor2n]
4994 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)S[one-parameter fixed-size NOR (AND rep)]
4995 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
4996 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
4997 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
4998 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
4999 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
5000 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5001 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5002 Eina||D5G2;|conn@2|a|I
5003 Einb||D5G2;|conn@1|a|I
5004 Eout||D5G2;|conn@0|y|O
5005 X
5006
5007 # Cell nor2n_sy;1{ic}
5008 Cnor2n_sy;1{ic}||artwork|1021415734000|1209125462708|E|ATTR_Delay(D5G1;HNPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5009 Ngeneric:Facet-Center|art@0||0|0||||AV
5010 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5011 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5012 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5013 NPin|pin@2||0.5|-2|1|1||
5014 NPin|pin@3||-0.5|-2|1|1||
5015 NPin|pin@4||-0.5|2|1|1||
5016 NPin|pin@5||0.5|2|1|1||
5017 NPin|pin@6||-1.5|1|1|1||
5018 NPin|pin@7||-2.5|1||||
5019 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5020 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5021 NPin|pin@10||-2.5|-1||||
5022 NPin|pin@11||-1.5|-1|1|1||
5023 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5024 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5025 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5026 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5027 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5028 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5029 Eina||D5G1;|pin@12||I
5030 Einb||D5G1;|pin@9||I
5031 Eout||D5G1;|pin@8||O
5032 X
5033
5034 # Cell nor2n_sy;1{sch}
5035 Cnor2n_sy;1{sch}||schematic|1021415734000|1239967624447||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-7.5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-10.5;)Sstrong1|prototype_center()I[0,0]
5036 Ngeneric:Facet-Center|art@0||0|0||||AV
5037 NOff-Page|conn@0||10.5|0||||
5038 NOff-Page|conn@1||-15.5|2.5||||
5039 NOff-Page|conn@2||-15.5|-2.5||||
5040 Inor2_sy;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
5041 Inor2n_sy;1{ic}|nor2n@0||24|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5FLeave alone;G1.5;NPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5042 NWire_Pin|pin@0||-7|-2.5||||
5043 NWire_Pin|pin@1||-7|-1||||
5044 NWire_Pin|pin@2||-7|1||||
5045 NWire_Pin|pin@3||-7|2.5||||
5046 Ngeneric:Invisible-Pin|pin@4||20.5|-11|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
5047 Ngeneric:Invisible-Pin|pin@5||-2|16.5|||||ART_message(D5G6;)Snor2n_sy
5048 Ngeneric:Invisible-Pin|pin@6||-2|11.5|||||ART_message(D5G2;)Sone-parameter fixed-size symmetric NOR (AND rep)
5049 Awire|net@0|||0|pin@0||-7|-2.5|conn@2|y|-13.5|-2.5
5050 Awire|net@1|||2700|pin@0||-7|-2.5|pin@1||-7|-1
5051 Awire|net@2|||1800|pin@1||-7|-1|nor2@0|ina|-2.5|-1
5052 Awire|net@3|||0|nor2@0|inb|-2.5|1|pin@2||-7|1
5053 Awire|net@4|||2700|pin@2||-7|1|pin@3||-7|2.5
5054 Awire|net@5|||0|pin@3||-7|2.5|conn@1|y|-13.5|2.5
5055 Awire|net@6|||0|conn@0|a|8.5|0|nor2@0|out|2.5|0
5056 Eina||D5G2;|conn@2|a|I
5057 Einb||D5G2;|conn@1|a|I
5058 Eout||D5G2;|conn@0|y|O
5059 X
5060
5061 # Cell nor2nn;1{ic}
5062 Cnor2nn;1{ic}|nor2|artwork|1021415734000|1231517756672|E|ATTR_Delay(D5G1;HNPX-18;Y-6;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-18;Y-5;)S1|ATTR_drive0(D5G1;HNPTX-18;Y-7;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-8;)Sstrong1|prototype_center()I[6000,0]
5063 Ngeneric:Facet-Center|art@0||0|0||||AV
5064 NThick-Circle|art@1||-1|1|1|1|||ART_color()I10
5065 NThick-Circle|art@2||0.5|0|4|4|RRR||ART_color()I10|ART_degrees()F[0.0,3.1415927]
5066 NThick-Circle|art@3||-1|-1|1|1|||ART_color()I10
5067 NPin|pin@0||-0.5|-0.75|1|1||
5068 NPin|pin@1||0.75|-2|1|1||
5069 NPin|pin@2||0.5|-2|1|1||
5070 NPin|pin@3||-0.5|-2|1|1||
5071 NPin|pin@4||-0.5|2|1|1||
5072 NPin|pin@5||0.5|2|1|1||
5073 NPin|pin@6||-1.5|1|1|1||
5074 NPin|pin@7||-2.5|1||||
5075 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
5076 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
5077 NPin|pin@10||-2.5|-1||||
5078 NPin|pin@11||-1.5|-1|1|1||
5079 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
5080 AThicker|net@0|||FS3150|pin@1||0.75|-2|pin@0||-0.5|-0.75|ART_color()I10
5081 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I10
5082 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I10
5083 AThicker|net@3|||FS0|pin@5||0.5|2|pin@4||-0.5|2|ART_color()I10
5084 AThicker|net@4|||FS0|pin@6||-1.5|1|pin@7||-2.5|1|ART_color()I10
5085 AThicker|net@5|||FS0|pin@11||-1.5|-1|pin@10||-2.5|-1|ART_color()I10
5086 Eina||D5G1;|pin@12||I
5087 Einb||D5G1;|pin@9||I
5088 Eout||D5G1;|pin@8||O
5089 X
5090
5091 # Cell passF;1{ic}
5092 CpassF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5093 Ngeneric:Facet-Center|art@0||0|0||||AV
5094 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5095 Nschematic:Bus_Pin|pin@0||2|0||||
5096 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5097 Nschematic:Bus_Pin|pin@6||-2|0||||
5098 NPin|pin@9||-1|1|1|1||
5099 NPin|pin@10||1|1|1|1||
5100 NPin|pin@11||1|-1|1|1||
5101 NPin|pin@12||-1|-1|1|1||
5102 NPin|pin@13||-1|-1|1|1||
5103 NPin|pin@14||1|0|1|1||
5104 NPin|pin@15||2|0|1|1||
5105 NPin|pin@16||-2|0|1|1||
5106 NPin|pin@17||-1|0|1|1||
5107 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5108 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5109 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5110 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5111 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5112 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5113 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5114 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5115 Edrn||D5G2;|pin@0||O
5116 EpassF||D5G2;|pin@2||I
5117 Esrc||D5G2;|pin@6||I
5118 X
5119
5120 # Cell passF;1{sch}
5121 CpassF;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-31;Y-10;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5122 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5123 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5124 Ngeneric:Facet-Center|art@0||0|0||||AV
5125 NOff-Page|conn@0||-9|0||||
5126 NOff-Page|conn@1||16.5|0||||
5127 NOff-Page|conn@3||0|-11.5|||R|
5128 Iinv;1{ic}|inv@0||7|10|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5129 IpassF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5130 NWire_Pin|pin@0||0|-7||||
5131 NWire_Pin|pin@1||11|-7||||
5132 NWire_Pin|pin@2||11|10||||
5133 NWire_Pin|pin@3||0|10||||
5134 NWire_Pin|pin@4||-5|0||||
5135 NWire_Pin|pin@5||5|0||||
5136 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassF
5137 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only F control input
5138 NWire_Pin|pin@8||-5|2||||
5139 NWire_Pin|pin@9||-5|-2||||
5140 NWire_Pin|pin@10||5|-2||||
5141 NWire_Pin|pin@11||5|2||||
5142 Awire|net@0|||1800|pin@0||0|-7|pin@1||11|-7
5143 Awire|net@1|||900|pin@11||5|2|pin@5||5|0
5144 Awire|net@2|||0|pin@10||5|-2|PMOS@1|d|2|-2
5145 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@9||-5|-2
5146 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5147 Awire|net@5|||1800|pin@8||-5|2|NMOS@1|s|-2|2
5148 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5149 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5150 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5151 Awire|net@9|||1800|pin@5||5|0|conn@1|a|14.5|0
5152 Awire|net@11|||2700|conn@3|y|0|-9.5|pin@0||0|-7
5153 Awire|net@12|||2700|pin@0||0|-7|PMOS@1|g|0|-5
5154 Awire|net@13|||2700|pin@1||11|-7|pin@2||11|10
5155 Awire|net@14|||0|pin@2||11|10|inv@0|in|9.5|10
5156 Awire|net@15|||2700|NMOS@1|g|0|5|pin@3||0|10
5157 Awire|net@16|||1800|pin@3||0|10|inv@0|out|4.5|10
5158 Awire|net@17|||1800|NMOS@1|d|2|2|pin@11||5|2
5159 Edrn||D5G2;|conn@1|y|O
5160 EpassF||D5G2;|conn@3|a|I
5161 Esrc||D5G2;|conn@0|a|I
5162 X
5163
5164 # Cell passT;1{ic}
5165 CpassT;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPY2.5;)S1|prototype_center()I[0,0]
5166 Ngeneric:Facet-Center|art@0||0|0||||AV
5167 Nschematic:Bus_Pin|pin@0||2|0||||
5168 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5169 Nschematic:Bus_Pin|pin@6||-2|0||||
5170 NPin|pin@9||-1|1|1|1||
5171 NPin|pin@10||1|1|1|1||
5172 NPin|pin@11||1|-1|1|1||
5173 NPin|pin@12||-1|-1|1|1||
5174 NPin|pin@13||-1|-1|1|1||
5175 NPin|pin@14||1|0|1|1||
5176 NPin|pin@15||2|0|1|1||
5177 NPin|pin@16||-2|0|1|1||
5178 NPin|pin@17||-1|0|1|1||
5179 NPin|pin@18||-0.5|-1|1|1|RRR|
5180 NPin|pin@19||-0.5|-2|1|1|RRR|
5181 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5182 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5183 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5184 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5185 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5186 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5187 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5188 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5189 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5190 Edrn||D5G2;|pin@0||O
5191 EpassT||D5G2;|pin@4||I
5192 Esrc||D5G2;|pin@6||I
5193 X
5194
5195 # Cell passT;1{sch}
5196 CpassT;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-9.5;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|ATTR_Xinv(D5FLeave alone;G1;HNOLPX-31;Y-8;)S1|prototype_center()I[0,0]
5197 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5198 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5199 Ngeneric:Facet-Center|art@0||0|0||||AV
5200 NOff-Page|conn@0||-9|0||||
5201 NOff-Page|conn@1||20.5|0||||
5202 NOff-Page|conn@2||0|12|||RRR|
5203 Iinv;1{ic}|inv@0||6|-9|YRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX1.5;Y2;)S@Xinv|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5204 IpassT;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1|ATTR_Xinv(D5FLeave alone;G1;NOLPY2.5;)S1
5205 NWire_Pin|pin@0||11|-9||||
5206 NWire_Pin|pin@1||11|8||||
5207 NWire_Pin|pin@2||0|8||||
5208 NWire_Pin|pin@3||0|-9||||
5209 NWire_Pin|pin@4||-5|0||||
5210 NWire_Pin|pin@5||5|0||||
5211 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassT
5212 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with only T control input
5213 NWire_Pin|pin@8||-5|2||||
5214 NWire_Pin|pin@9||-5|-2||||
5215 NWire_Pin|pin@10||5|-2||||
5216 NWire_Pin|pin@11||5|2||||
5217 Awire|net@0|||1800|inv@0|in|8.5|-9|pin@0||11|-9
5218 Awire|net@1|||2700|pin@0||11|-9|pin@1||11|8
5219 Awire|net@2|||0|pin@1||11|8|pin@2||0|8
5220 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@9||-5|-2
5221 Awire|net@4|||2700|pin@9||-5|-2|pin@4||-5|0
5222 Awire|net@5|||1800|pin@8||-5|2|NMOS@1|s|-2|2
5223 Awire|net@6|||2700|pin@4||-5|0|pin@8||-5|2
5224 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5225 Awire|net@8|||900|pin@5||5|0|pin@10||5|-2
5226 Awire|net@9|||1800|pin@5||5|0|conn@1|a|18.5|0
5227 Awire|net@10|||900|conn@2|y|0|10|pin@2||0|8
5228 Awire|net@11|||900|pin@2||0|8|NMOS@1|g|0|5
5229 Awire|net@12|||900|PMOS@1|g|0|-5|pin@3||0|-9
5230 Awire|net@13|||1800|pin@3||0|-9|inv@0|out|3.5|-9
5231 Awire|net@14|||900|pin@11||5|2|pin@5||5|0
5232 Awire|net@15|||0|pin@10||5|-2|PMOS@1|d|2|-2
5233 Awire|net@16|||1800|NMOS@1|d|2|2|pin@11||5|2
5234 Edrn||D5G2;|conn@1|y|O
5235 EpassT||D5G2;|conn@2|a|I
5236 Esrc||D5G2;|conn@0|a|I
5237 X
5238
5239 # Cell passTF;1{ic}
5240 CpassTF;1{ic}||artwork|1094081205000|1204140525662|E|ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX3.25;Y-0.5;)S1|prototype_center()I[0,0]
5241 Ngeneric:Facet-Center|art@0||0|0||||AV
5242 NThick-Circle|art@2||0.5|-1.5|1|1|||ART_color()I10
5243 Nschematic:Bus_Pin|pin@0||2|0||||
5244 Nschematic:Bus_Pin|pin@2||0.5|-2||||
5245 Nschematic:Bus_Pin|pin@4||-0.5|-2||||
5246 Nschematic:Bus_Pin|pin@6||-2|0||||
5247 NPin|pin@9||-1|1|1|1||
5248 NPin|pin@10||1|1|1|1||
5249 NPin|pin@11||1|-1|1|1||
5250 NPin|pin@12||-1|-1|1|1||
5251 NPin|pin@13||-1|-1|1|1||
5252 NPin|pin@14||1|0|1|1||
5253 NPin|pin@15||2|0|1|1||
5254 NPin|pin@16||-2|0|1|1||
5255 NPin|pin@17||-1|0|1|1||
5256 NPin|pin@18||-0.5|-1|1|1|RRR|
5257 NPin|pin@19||-0.5|-2|1|1|RRR|
5258 AThicker|net@5|||FS1800|pin@9||-1|1|pin@10||1|1|ART_color()I10
5259 AThicker|net@6|||FS900|pin@10||1|1|pin@11||1|-1|ART_color()I10
5260 AThicker|net@7|||FS0|pin@11||1|-1|pin@12||-1|-1|ART_color()I10
5261 AThicker|net@8|||FS2700|pin@12||-1|-1|pin@9||-1|1|ART_color()I10
5262 ASolid|net@9|||FS2250|pin@13||-1|-1|pin@10||1|1|ART_color()I10
5263 ASolid|net@10|||FS3150|pin@11||1|-1|pin@9||-1|1|ART_color()I10
5264 AThicker|net@11|||FS1800|pin@14||1|0|pin@15||2|0|ART_color()I10
5265 AThicker|net@12|||FS1800|pin@16||-2|0|pin@17||-1|0|ART_color()I10
5266 AThicker|net@13|||FS900|pin@18||-0.5|-1|pin@19||-0.5|-2|ART_color()I10
5267 Edrn||D5G2;|pin@0||O
5268 EpassF||D5G2;|pin@2||I
5269 EpassT||D5G2;|pin@4||I
5270 Esrc||D5G2;|pin@6||I
5271 X
5272
5273 # Cell passTF;1{sch}
5274 CpassTF;1{sch}||schematic|1094080836000|1248729106644||ATTR_LEPASSGATE(D5G1;HNPTX-30.5;Y-8;)I1|ATTR_XN(D5FLeave alone;G1;HNOLPX-31;Y-4;)S1|ATTR_XP(D5FLeave alone;G1;HNOLPX-31;Y-6;)S1|prototype_center()I[0,0]
5275 INMOS;1{ic}|NMOS@1||0|2|RRR||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y-3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y3;)S@XN
5276 IPMOS;1{ic}|PMOS@1||0|-2|R||D5G4;|ATTR_Delay(D5G1;NPX-1.5;Y3.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX-1.5;Y-3;)S@XP/2.0
5277 Ngeneric:Facet-Center|art@0||0|0||||AV
5278 NOff-Page|conn@0||-9|0||||
5279 NOff-Page|conn@1||10.5|0||||
5280 NOff-Page|conn@2||0|12|||RRR|
5281 NOff-Page|conn@3||0|-11.5|||R|
5282 IpassTF;1{ic}|passTF@0||20.5|15|||D5G4;|ATTR_XN(D5FLeave alone;G1;NOLPX-3.25;Y-0.5;)S1|ATTR_XP(D5FLeave alone;G1;NOLPX3.25;Y-0.5;)S1
5283 NWire_Pin|pin@0||5|2||||
5284 NWire_Pin|pin@1||5|-2||||
5285 NWire_Pin|pin@2||-5|-2||||
5286 NWire_Pin|pin@3||-5|2||||
5287 NWire_Pin|pin@4||-5|0||||
5288 NWire_Pin|pin@5||5|0||||
5289 Ngeneric:Invisible-Pin|pin@6||-1|28.5|||||ART_message(D5G5;)SpassTF
5290 Ngeneric:Invisible-Pin|pin@7||-1|23.5|||||ART_message(D5G2;)Spass gate with seperate T/F control inputs
5291 Awire|net@0|||1800|NMOS@1|d|2|2|pin@0||5|2
5292 Awire|net@1|||900|pin@0||5|2|pin@5||5|0
5293 Awire|net@2|||0|pin@1||5|-2|PMOS@1|d|2|-2
5294 Awire|net@3|||0|PMOS@1|s|-2|-2|pin@2||-5|-2
5295 Awire|net@4|||2700|pin@2||-5|-2|pin@4||-5|0
5296 Awire|net@5|||1800|pin@3||-5|2|NMOS@1|s|-2|2
5297 Awire|net@6|||2700|pin@4||-5|0|pin@3||-5|2
5298 Awire|net@7|||1800|conn@0|y|-7|0|pin@4||-5|0
5299 Awire|net@8|||900|pin@5||5|0|pin@1||5|-2
5300 Awire|net@9|||1800|pin@5||5|0|conn@1|a|8.5|0
5301 Awire|net@10|||900|conn@2|y|0|10|NMOS@1|g|0|5
5302 Awire|net@11|||2700|conn@3|y|0|-9.5|PMOS@1|g|0|-5
5303 Edrn||D5G2;|conn@1|y|O
5304 EpassF||D5G2;|conn@3|a|I
5305 EpassT||D5G2;|conn@2|a|I
5306 Esrc||D5G2;|conn@0|a|I
5307 X
5308
5309 # Cell pms1;2{ic}
5310 Cpms1;2{ic}||artwork|1021415734000|1228434629412|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5311 Ngeneric:Facet-Center|art@0||0|0||||AV
5312 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5313 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5314 Nschematic:Bus_Pin|pin@1||0|-2|-2|-2||
5315 NPin|pin@3||0|1|1|1||
5316 NPin|pin@4||-0.75|1|1|1||
5317 NPin|pin@5||-0.75|-1|1|1||
5318 NPin|pin@6||0|-1|1|1|YRR|
5319 NPin|pin@7||0|-2|1|1|YRR|
5320 NPin|pin@8||-3|0|||RR|
5321 NPin|pin@9||-2.5|0|1|1|RR|
5322 NPin|pin@10||0|2|1|1||
5323 NPin|pin@11||-0.5|2.5||||
5324 NPin|pin@12||0.5|1.5|1|1||
5325 NPin|pin@13||-1.5|-1|1|1||
5326 NPin|pin@14||-1.5|1|1|1||
5327 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5328 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5329 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5330 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5331 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5332 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5333 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5334 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5335 Ed||D5G1;|pin@1||O
5336 Eg||D5G1;|pin@0||I
5337 X
5338
5339 # Cell pms1;1{sch}
5340 Cpms1;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5341 IPMOS;1{ic}|PMOS@1||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*1.0
5342 Ngeneric:Facet-Center|art@0||0|0||||AV
5343 NOff-Page|conn@0||-8.5|15||||
5344 NOff-Page|conn@1||8|0||||
5345 NWire_Pin|pin@0||0|0||||
5346 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)Spms1
5347 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)Sone fixed-size P-type transistor to VDD
5348 Ipms1;2{ic}|pms1@1||19|18|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5349 NPower|pwr@0||0|22||||
5350 Awire|net@0|||900|pwr@0||0|22|PMOS@1|s|0|17
5351 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@1|g|-3|15
5352 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5353 Awire|net@6|||2700|pin@0||0|0|PMOS@1|d|0|13
5354 Ed||D5G2;|conn@1|y|O
5355 Eg||D5G2;|conn@0|a|I
5356 X
5357
5358 # Cell pms2;1{ic}
5359 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5360 Ngeneric:Facet-Center|art@0||0|0||||AV
5361 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5362 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5363 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5364 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5365 Nschematic:Bus_Pin|pin@2||3|-4|-2|-2||
5366 NPin|pin@3||0|1|1|1||
5367 NPin|pin@4||-0.75|1|1|1||
5368 NPin|pin@5||-0.75|-1|1|1||
5369 NPin|pin@6||0|-1|1|1|YRR|
5370 NPin|pin@7||0|-2|1|1|YRR|
5371 NPin|pin@8||-3|0|||RR|
5372 NPin|pin@9||-2.5|0|1|1|RR|
5373 NPin|pin@10||0|2|1|1||
5374 NPin|pin@11||-0.5|2.5||||
5375 NPin|pin@12||0.5|1.5|1|1||
5376 NPin|pin@13||-1.5|-1|1|1||
5377 NPin|pin@14||-1.5|1|1|1||
5378 NPin|pin@15||1.5|-3|1|1|YRR|
5379 NPin|pin@16||1.5|-5|1|1|YRR|
5380 NPin|pin@17||0|-2|1|1|YRR|
5381 NPin|pin@18||3|-4|||RR|
5382 NPin|pin@19||2.5|-4|1|1|RR|
5383 NPin|pin@20||0|-6|||RR|
5384 NPin|pin@21||0|-5|1|1|YRR|
5385 NPin|pin@22||0.75|-5|1|1|YRR|
5386 NPin|pin@23||0.75|-3|1|1|YRR|
5387 NPin|pin@24||0|-3|1|1|YRR|
5388 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5389 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5390 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5391 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5392 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5393 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5394 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5395 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5396 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5397 AThicker|net@9|||FS1800|pin@24||0|-3|pin@23||0.75|-3|ART_color()I10
5398 AThicker|net@10|||FS900|pin@15||1.5|-3|pin@16||1.5|-5|ART_color()I10
5399 AThicker|net@11|||FS1800|pin@19||2.5|-4|pin@18||3|-4|ART_color()I10
5400 AThicker|net@12|||FS0|pin@22||0.75|-5|pin@21||0|-5|ART_color()I10
5401 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5402 AThicker|net@14|||FS900|pin@23||0.75|-3|pin@22||0.75|-5|ART_color()I10
5403 Ed||D5G1;|pin@1||O
5404 Eg||D5G1;|pin@0||I
5405 Eg2||D5G1;|pin@2||I
5406 X
5407
5408 # Cell pms2;1{sch}
5409 Cpms2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12.25;Y9;)S1|prototype_center()I[0,0]
5410 IPMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5411 IPMOS;1{ic}|PMOS@3||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX3.5;Y0.5;)S@X*2.0
5412 Ngeneric:Facet-Center|art@0||0|0||||AV
5413 NOff-Page|conn@0||-8.5|15||||
5414 NOff-Page|conn@1||8|0||||
5415 NOff-Page|conn@2||8.5|7|||YRR|
5416 NWire_Pin|pin@0||0|0||||
5417 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
5418 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[two fixed-size P-type transistors to VDD]
5419 Ipms2;1{ic}|pms2@0||20|22|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S1
5420 Ipms2a;2{ic}|pms2@1||20|10|||D5G4;|ATTR_Delay(D5G1;NPX-2;Y0.25;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX3.25;Y2.75;)S1
5421 NPower|pwr@0||0|22||||
5422 Awire|net@0|||900|pwr@0||0|22|PMOS@2|s|0|17
5423 Awire|net@1|||1800|conn@0|y|-6.5|15|PMOS@2|g|-3|15
5424 Awire|net@2|||2700|PMOS@3|s|0|9|PMOS@2|d|0|13|NET_ncc_match()SNCCmatch1
5425 Awire|net@3|||0|conn@2|y|6.5|7|PMOS@3|g|3|7
5426 Awire|net@4|||2700|pin@0||0|0|PMOS@3|d|0|5
5427 Awire|net@5|||1800|pin@0||0|0|conn@1|a|6|0
5428 Ed||D5G2;|conn@1|y|O
5429 Eg||D5G2;|conn@0|a|I
5430 Eg2||D5G2;|conn@2|a|I
5431 X
5432
5433 # Cell pms2_sy;1{ic}
5434 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;HNOLPX4;Y2;)S1|prototype_center()I[0,0]
5435 Ngeneric:Facet-Center|art@0||0|0||||AV
5436 NThick-Circle|art@1||-1.75|0|1|1|||ART_color()I10
5437 NThick-Circle|art@2||1.75|-4|1|1|||ART_color()I10
5438 NThick-Circle|art@3||1.75|0|1|1|||ART_color()I10
5439 NThick-Circle|art@4||-1.75|-4|1|1|||ART_color()I10
5440 Nschematic:Bus_Pin|pin@0||3|-4|-2|-2||
5441 Nschematic:Bus_Pin|pin@1||-3|0|-2|-2||
5442 Nschematic:Bus_Pin|pin@2||0|-6|-2|-2||
5443 NPin|pin@3||0|1|1|1||
5444 NPin|pin@4||-0.75|1|1|1||
5445 NPin|pin@5||-0.75|-1|1|1||
5446 NPin|pin@6||0|2|1|1||
5447 NPin|pin@7||-0.5|2.5||||
5448 NPin|pin@8||0.5|1.5|1|1||
5449 NPin|pin@9||-1.25|-1|1|1||
5450 NPin|pin@10||-1.25|1|1|1||
5451 NPin|pin@11||1.25|-3|1|1|YRR|
5452 NPin|pin@12||1.25|-5|1|1|YRR|
5453 NPin|pin@13||0|-6|||RR|
5454 NPin|pin@14||0|-5|1|1|YRR|
5455 NPin|pin@15||0.75|-5|1|1|YRR|
5456 NPin|pin@16||0.75|-3|1|1|YRR|
5457 NPin|pin@17||0.25|-3|1|1|YRR|
5458 NPin|pin@18||1.25|1|1|1|YRR|
5459 NPin|pin@19||1.25|-1|1|1|YRR|
5460 NPin|pin@20||0.25|-1|1|1||
5461 NPin|pin@21||0.75|-1|1|1|YRR|
5462 NPin|pin@22||0.75|1|1|1|YRR|
5463 NPin|pin@23||0|1|1|1|YRR|
5464 NPin|pin@24||-0.25|-3|1|1||
5465 NPin|pin@25||-0.75|-3|1|1||
5466 NPin|pin@26||-0.75|-5|1|1||
5467 NPin|pin@27||0|-5|1|1|YRR|
5468 NPin|pin@28||-1.25|-5|1|1||
5469 NPin|pin@29||-1.25|-3|1|1||
5470 NPin|pin@30||-0.25|-1|1|1||
5471 NPin|pin@31||-3|0|||RR|
5472 NPin|pin@32||-2.5|0|1|1|RR|
5473 NPin|pin@33||-2.5|-4|1|1||
5474 NPin|pin@34||3|-4|||RR|
5475 NPin|pin@35||2.5|-4|1|1|RR|
5476 NPin|pin@36||2.5|0|1|1||
5477 NPin|pin@37||2.25|0|1|1||
5478 NPin|pin@38||2.25|-4|1|1||
5479 NPin|pin@39||-2.25|-4|1|1||
5480 NPin|pin@40||-2.25|0|1|1||
5481 AThicker|net@0|||FS1800|pin@17||0.25|-3|pin@16||0.75|-3|ART_color()I10
5482 AThicker|net@1|||FS0|pin@15||0.75|-5|pin@14||0|-5|ART_color()I10
5483 AThicker|net@2|||FS900|pin@16||0.75|-3|pin@15||0.75|-5|ART_color()I10
5484 AThicker|net@3|||FS900|pin@11||1.25|-3|pin@12||1.25|-5|ART_color()I10
5485 AThicker|net@4|||FS900|pin@14||0|-5|pin@13||0|-6|ART_color()I10
5486 AThicker|net@5|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5487 AThicker|net@6|||FS900|pin@10||-1.25|1|pin@9||-1.25|-1|ART_color()I10
5488 AThicker|net@7|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5489 AThicker|net@8|||FS3150|pin@8||0.5|1.5|pin@7||-0.5|2.5|ART_color()I10
5490 AThicker|net@9|||FS2700|pin@3||0|1|pin@6||0|2|ART_color()I10
5491 AThicker|net@10|||FS900|pin@18||1.25|1|pin@19||1.25|-1|ART_color()I10
5492 AThicker|net@11|||FS900|pin@22||0.75|1|pin@21||0.75|-1|ART_color()I10
5493 AThicker|net@12|||FS1800|pin@23||0|1|pin@22||0.75|1|ART_color()I10
5494 AThicker|net@13|||FS0|pin@21||0.75|-1|pin@20||0.25|-1|ART_color()I10
5495 AThicker|net@14|||FS900|pin@29||-1.25|-3|pin@28||-1.25|-5|ART_color()I10
5496 AThicker|net@15|||FS900|pin@25||-0.75|-3|pin@26||-0.75|-5|ART_color()I10
5497 AThicker|net@16|||FS0|pin@24||-0.25|-3|pin@25||-0.75|-3|ART_color()I10
5498 AThicker|net@17|||FS1800|pin@26||-0.75|-5|pin@27||0|-5|ART_color()I10
5499 AThicker|net@18|||FS0|pin@30||-0.25|-1|pin@5||-0.75|-1|ART_color()I10
5500 AThicker|net@19|||FS2840|pin@17||0.25|-3|pin@30||-0.25|-1|ART_color()I10
5501 AThicker|net@20|||FS2560|pin@24||-0.25|-3|pin@20||0.25|-1|ART_color()I10
5502 AThicker|net@21|||FS1800|pin@31||-3|0|pin@32||-2.5|0|ART_color()I10
5503 AThicker|net@22|||FS2700|pin@33||-2.5|-4|pin@32||-2.5|0|ART_color()I10
5504 AThicker|net@23|||FS1800|pin@35||2.5|-4|pin@34||3|-4|ART_color()I10
5505 AThicker|net@24|||FS900|pin@36||2.5|0|pin@35||2.5|-4|ART_color()I10
5506 AThicker|net@25|||FS1800|pin@37||2.25|0|pin@36||2.5|0|ART_color()I10
5507 AThicker|net@26|||FS1800|pin@38||2.25|-4|pin@35||2.5|-4|ART_color()I10
5508 AThicker|net@27|||FS0|pin@39||-2.25|-4|pin@33||-2.5|-4|ART_color()I10
5509 AThicker|net@28|||FS0|pin@40||-2.25|0|pin@32||-2.5|0|ART_color()I10
5510 Ed||D5G1;|pin@2||O
5511 Eg||D5G1;|pin@1||I
5512 Eg2||D5G1;|pin@0||I
5513 X
5514
5515 # Cell pms2_sy;1{sch}
5516 Cpms2_sy;1{sch}||schematic|1021415734000|1157998674545||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-20.5;Y-9.5;)S1|prototype_center()I[0,0]
5517 Ngeneric:Facet-Center|art@0||0|0||||AV
5518 NOff-Page|conn@0||-13|-1||||
5519 NOff-Page|conn@1||23.5|-1|||YRR|
5520 NOff-Page|conn@2||21|-11||||
5521 Ngeneric:Invisible-Pin|pin@0||-2.5|9|||||ART_message(D5G2;)S[symmetric fixed-size P-type two-stack]
5522 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
5523 NWire_Pin|pin@2||0.5|-1||||
5524 NWire_Pin|pin@3||4.5|-5||||
5525 NWire_Pin|pin@4||4.5|-1||||
5526 NWire_Pin|pin@5||0.5|-5||||
5527 NWire_Pin|pin@6||-4|-11||||
5528 NWire_Pin|pin@7||10|-11||||
5529 Ipms2;1{ic}|pms2@0||-4|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X/2.0
5530 Ipms2;1{ic}|pms2@1||10|-1|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOLPX2.25;Y1;)S@X/2.0
5531 Ipms2_sy;1{ic}|pms2_sy@0||31|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_X(D5G1.5;NOLPX4;Y2;)S1
5532 Awire|net@0|||0|conn@1|y|21.5|-1|pms2@1|g|13|-1
5533 Awire|net@1|||1800|conn@0|y|-11|-1|pms2@0|g|-7|-1
5534 Awire|net@2|||0|pin@2||0.5|-1|pms2@0|g|-7|-1
5535 Awire|net@3|||3150|pin@3||4.5|-5|pin@2||0.5|-1
5536 Awire|net@4|||0|pms2@1|g2|7|-5|pin@3||4.5|-5
5537 Awire|net@5|||1800|pin@4||4.5|-1|pms2@1|g|13|-1
5538 Awire|net@6|||2250|pin@5||0.5|-5|pin@4||4.5|-1
5539 Awire|net@7|||1800|pms2@0|g2|-1|-5|pin@5||0.5|-5
5540 Awire|net@8|||2700|pin@6||-4|-11|pms2@0|d|-4|-7
5541 Awire|net@9|||0|pin@7||10|-11|pin@6||-4|-11
5542 Awire|net@10|||900|pms2@1|d|10|-7|pin@7||10|-11
5543 Awire|net@11|||1800|pin@7||10|-11|conn@2|a|19|-11
5544 Ed||D5G2;|conn@2|y|O
5545 Eg||D5G2;|conn@0|a|I
5546 Eg2||D5G2;|conn@1|a|I
5547 X
5548
5549 # Cell pms2a;2{ic}
5550 Cpms2a;2{ic}|pms2|artwork|1021415734000|1228434146914|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y1;)S1|prototype_center()I[0,0]
5551 Ngeneric:Facet-Center|art@0||0|0||||AV
5552 NThick-Circle|art@1||-2|0|1|1|||ART_color()I10
5553 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I10
5554 Nschematic:Bus_Pin|pin@0||-3|0|-2|-2||
5555 Nschematic:Bus_Pin|pin@1||0|-6|-2|-2||
5556 Nschematic:Bus_Pin|pin@2||-3|-4|-2|-2||
5557 NPin|pin@3||0|1|1|1||
5558 NPin|pin@4||-0.75|1|1|1||
5559 NPin|pin@5||-0.75|-1|1|1||
5560 NPin|pin@6||0|-1|1|1|YRR|
5561 NPin|pin@7||0|-2|1|1|YRR|
5562 NPin|pin@8||-3|0|||RR|
5563 NPin|pin@9||-2.5|0|1|1|RR|
5564 NPin|pin@10||0|2|1|1||
5565 NPin|pin@11||-0.5|2.5||||
5566 NPin|pin@12||0.5|1.5|1|1||
5567 NPin|pin@13||-1.5|-1|1|1||
5568 NPin|pin@14||-1.5|1|1|1||
5569 NPin|pin@15||-1.5|-3|1|1|YRR|
5570 NPin|pin@16||-1.5|-5|1|1|YRR|
5571 NPin|pin@17||0|-2|1|1|YRR|
5572 NPin|pin@18||-3|-4|||RR|
5573 NPin|pin@19||-2.5|-4|1|1|RR|
5574 NPin|pin@20||0|-6|||RR|
5575 NPin|pin@21||0|-5|1|1|YRR|
5576 NPin|pin@22||-0.75|-5|1|1|YRR|
5577 NPin|pin@23||-0.75|-3|1|1|YRR|
5578 NPin|pin@24||0|-3|1|1|YRR|
5579 AThicker|net@0|||FS900|pin@14||-1.5|1|pin@13||-1.5|-1|ART_color()I10
5580 AThicker|net@1|||FS0|pin@3||0|1|pin@4||-0.75|1|ART_color()I10
5581 AThicker|net@2|||FS2700|pin@3||0|1|pin@10||0|2|ART_color()I10
5582 AThicker|net@3|||FS1800|pin@8||-3|0|pin@9||-2.5|0|ART_color()I10
5583 AThicker|net@4|||FS1800|pin@5||-0.75|-1|pin@6||0|-1|ART_color()I10
5584 AThicker|net@5|||FS900|pin@6||0|-1|pin@7||0|-2|ART_color()I10
5585 AThicker|net@6|||FS900|pin@4||-0.75|1|pin@5||-0.75|-1|ART_color()I10
5586 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@11||-0.5|2.5|ART_color()I10
5587 AThicker|net@8|||FS2700|pin@24||0|-3|pin@17||0|-2|ART_color()I10
5588 AThicker|net@9|||FS0|pin@24||0|-3|pin@23||-0.75|-3|ART_color()I10
5589 AThicker|net@10|||FS900|pin@15||-1.5|-3|pin@16||-1.5|-5|ART_color()I10
5590 AThicker|net@11|||FS0|pin@19||-2.5|-4|pin@18||-3|-4|ART_color()I10
5591 AThicker|net@12|||FS1800|pin@22||-0.75|-5|pin@21||0|-5|ART_color()I10
5592 AThicker|net@13|||FS900|pin@21||0|-5|pin@20||0|-6|ART_color()I10
5593 AThicker|net@14|||FS900|pin@23||-0.75|-3|pin@22||-0.75|-5|ART_color()I10
5594 Ed||D5G1;|pin@1||O
5595 Eg||D5G1;|pin@0||I
5596 Eg2||D5G1;|pin@2||I
5597 X
5598
5599 # Cell pms3;1{ic}
5600 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1;)I100|ATTR_X(D5G1.5;HNPX2.75;Y3;)I1|prototype_center()I[0,0]
5601 Ngeneric:Facet-Center|art@0||0|0||||AV
5602 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5603 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5604 NThick-Circle|art@3||-2|0|1|1|||ART_color()I10
5605 NPin|pin@0||0|-10|0.5|0.5||
5606 NPin|pin@1||-2.5|-8|||RR|
5607 NPin|pin@2||-3|-8|1|1|RR|
5608 NPin|pin@3||-1.5|-7|1|1|YRR|
5609 NPin|pin@4||-1.5|-9|1|1|YRR|
5610 NPin|pin@5||0|-9|0.5|0.5||
5611 NPin|pin@6||-0.75|-9|0.5|0.5||
5612 NPin|pin@7||-0.75|-7|0.5|0.5||
5613 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5614 NPin|pin@9||0|-3|1|1|YRR|
5615 NPin|pin@10||0.75|-3|1|1|YRR|
5616 NPin|pin@11||0.75|-5|1|1|YRR|
5617 NPin|pin@12||0|-5|1|1|YRR|
5618 NPin|pin@13||0|-7|||RR|
5619 NPin|pin@14||2.5|-4|1|1|RR|
5620 NPin|pin@15||3|-4|||RR|
5621 NPin|pin@16||0|-2|1|1|YRR|
5622 NPin|pin@17||1.5|-5|1|1|YRR|
5623 NPin|pin@18||1.5|-3|1|1|YRR|
5624 NPin|pin@19||-1.5|1|1|1||
5625 NPin|pin@20||-1.5|-1|1|1||
5626 NPin|pin@21||0.5|1.5|1|1||
5627 NPin|pin@22||-0.5|2.5||||
5628 NPin|pin@23||0|2|1|1||
5629 NPin|pin@24||-2.5|0|1|1|RR|
5630 NPin|pin@25||-3|0|||RR|
5631 NPin|pin@26||0|-2|1|1|YRR|
5632 NPin|pin@27||0|-1|1|1|YRR|
5633 NPin|pin@28||-0.75|-1|1|1||
5634 NPin|pin@29||-0.75|1|1|1||
5635 NPin|pin@30||0|1|1|1||
5636 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5637 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5638 Nschematic:Bus_Pin|pin@33||-3|0|-2|-2||
5639 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5640 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5641 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5642 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5643 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5644 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5645 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5646 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5647 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5648 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5649 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5650 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5651 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5652 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5653 AThicker|net@14|||FS900|pin@29||-0.75|1|pin@28||-0.75|-1|ART_color()I10
5654 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5655 AThicker|net@16|||FS1800|pin@28||-0.75|-1|pin@27||0|-1|ART_color()I10
5656 AThicker|net@17|||FS1800|pin@25||-3|0|pin@24||-2.5|0|ART_color()I10
5657 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5658 AThicker|net@19|||FS0|pin@30||0|1|pin@29||-0.75|1|ART_color()I10
5659 AThicker|net@20|||FS900|pin@19||-1.5|1|pin@20||-1.5|-1|ART_color()I10
5660 Ed||D5G1;|pin@32||O
5661 Eg||D5G1;|pin@33||I
5662 Eg2||D5G1;|pin@31||I
5663 Eg3||D5G1;|pin@8||I
5664 X
5665
5666 # Cell pms3;1{sch}
5667 Cpms3;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5668 IPMOS;1{ic}|PMOS@3||0|-1|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5669 IPMOS;1{ic}|PMOS@4||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5670 IPMOS;1{ic}|PMOS@5||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*3.0
5671 Ngeneric:Facet-Center|art@0||0|0||||AV
5672 NOff-Page|conn@0||-8.5|-1||||
5673 NOff-Page|conn@1||8.5|7|||YRR|
5674 NOff-Page|conn@2||8|-10.5||||
5675 NOff-Page|conn@3||-8.5|15||||
5676 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[three fixed-size P-type transistors to VDD]
5677 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
5678 NWire_Pin|pin@2||0|-10.5||||
5679 Ipms3;1{ic}|pms3@0||28|22.38|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1;)I100|ATTR_X(D5G1.5;NPX2.75;Y3;)I1
5680 Ipms3a;1{ic}|pms3a@0||27.5|5.5|||D5G4;|ATTR_Delay(D5G1;NPX-12;Y12;)I100|ATTR_X(D5G1;NPX-12.25;Y13;)I1
5681 NPower|pwr@0||0|22||||
5682 Awire|net@0|||2700|pin@2||0|-10.5|PMOS@3|d|0|-3
5683 Awire|net@1|||0|PMOS@3|g|-3|-1|conn@0|y|-6.5|-1
5684 Awire|net@2|||2700|PMOS@3|s|0|1|PMOS@4|d|0|5
5685 Awire|net@3|||1800|pin@2||0|-10.5|conn@2|a|6|-10.5
5686 Awire|net@4|||0|conn@1|y|6.5|7|PMOS@4|g|3|7
5687 Awire|net@5|||2700|PMOS@4|s|0|9|PMOS@5|d|0|13
5688 Awire|net@6|||1800|conn@3|y|-6.5|15|PMOS@5|g|-3|15
5689 Awire|net@7|||900|pwr@0||0|22|PMOS@5|s|0|17
5690 Ed||D5G2;|conn@2|y|O
5691 Eg||D5G2;|conn@3|a|I
5692 Eg2||D5G2;|conn@1|a|I
5693 Eg3||D5G2;|conn@0|a|I
5694 X
5695
5696 # Cell pms3a;1{ic}
5697 Cpms3a;1{ic}|pms3|artwork|1021415734000|1228702104316|E|ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_X(D5G1;HNPX-12.25;Y9;)I1|prototype_center()I[0,0]
5698 Ngeneric:Facet-Center|art@0||0|0||||AV
5699 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I10
5700 NThick-Circle|art@2||2|-4|1|1|||ART_color()I10
5701 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5702 NPin|pin@0||0|-10|0.5|0.5||
5703 NPin|pin@1||-2.5|-8|||RR|
5704 NPin|pin@2||-3|-8|1|1|RR|
5705 NPin|pin@3||-1.5|-7|1|1|YRR|
5706 NPin|pin@4||-1.5|-9|1|1|YRR|
5707 NPin|pin@5||0|-9|0.5|0.5||
5708 NPin|pin@6||-0.75|-9|0.5|0.5||
5709 NPin|pin@7||-0.75|-7|0.5|0.5||
5710 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
5711 NPin|pin@9||0|-3|1|1|YRR|
5712 NPin|pin@10||0.75|-3|1|1|YRR|
5713 NPin|pin@11||0.75|-5|1|1|YRR|
5714 NPin|pin@12||0|-5|1|1|YRR|
5715 NPin|pin@13||0|-7|||RR|
5716 NPin|pin@14||2.5|-4|1|1|RR|
5717 NPin|pin@15||3|-4|||RR|
5718 NPin|pin@16||0|-2|1|1|YRR|
5719 NPin|pin@17||1.5|-5|1|1|YRR|
5720 NPin|pin@18||1.5|-3|1|1|YRR|
5721 NPin|pin@19||1.5|1|1|1||
5722 NPin|pin@20||1.5|-1|1|1||
5723 NPin|pin@21||0.5|1.5|1|1||
5724 NPin|pin@22||-0.5|2.5||||
5725 NPin|pin@23||0|2|1|1||
5726 NPin|pin@24||3|0|1|1|RR|
5727 NPin|pin@25||2.5|0|||RR|
5728 NPin|pin@26||0|-2|1|1|YRR|
5729 NPin|pin@27||0|-1|1|1|YRR|
5730 NPin|pin@28||0.75|-1|1|1||
5731 NPin|pin@29||0.75|1|1|1||
5732 NPin|pin@30||0|1|1|1||
5733 Nschematic:Bus_Pin|pin@31||3|-4|-2|-2||
5734 Nschematic:Bus_Pin|pin@32||0|-10|-2|-2||
5735 Nschematic:Bus_Pin|pin@33||3|0|-2|-2||
5736 AThicker|net@0|||FS2700|pin@0||0|-10|pin@5||0|-9|ART_color()I10
5737 AThicker|net@1|||FS1800|pin@2||-3|-8|pin@1||-2.5|-8|ART_color()I10
5738 AThicker|net@2|||FS900|pin@3||-1.5|-7|pin@4||-1.5|-9|ART_color()I10
5739 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I10
5740 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I10
5741 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@13||0|-7|ART_color()I10
5742 AThicker|net@6|||FS900|pin@10||0.75|-3|pin@11||0.75|-5|ART_color()I10
5743 AThicker|net@7|||FS900|pin@12||0|-5|pin@13||0|-7|ART_color()I10
5744 AThicker|net@8|||FS0|pin@11||0.75|-5|pin@12||0|-5|ART_color()I10
5745 AThicker|net@9|||FS1800|pin@14||2.5|-4|pin@15||3|-4|ART_color()I10
5746 AThicker|net@10|||FS900|pin@18||1.5|-3|pin@17||1.5|-5|ART_color()I10
5747 AThicker|net@11|||FS1800|pin@9||0|-3|pin@10||0.75|-3|ART_color()I10
5748 AThicker|net@12|||FS2700|pin@9||0|-3|pin@16||0|-2|ART_color()I10
5749 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@22||-0.5|2.5|ART_color()I10
5750 AThicker|net@14|||FS900|pin@29||0.75|1|pin@28||0.75|-1|ART_color()I10
5751 AThicker|net@15|||FS900|pin@27||0|-1|pin@26||0|-2|ART_color()I10
5752 AThicker|net@16|||FS0|pin@28||0.75|-1|pin@27||0|-1|ART_color()I10
5753 AThicker|net@17|||FS1800|pin@25||2.5|0|pin@24||3|0|ART_color()I10
5754 AThicker|net@18|||FS2700|pin@30||0|1|pin@23||0|2|ART_color()I10
5755 AThicker|net@19|||FS1800|pin@30||0|1|pin@29||0.75|1|ART_color()I10
5756 AThicker|net@20|||FS900|pin@19||1.5|1|pin@20||1.5|-1|ART_color()I10
5757 Ed||D5G1;|pin@32||O
5758 Eg||D5G1;|pin@33||I
5759 Eg2||D5G1;|pin@31||I
5760 Eg3||D5G1;|pin@8||I
5761 X
5762
5763 # Cell triInv;1{ic}
5764 CtriInv;1{ic}||artwork|1092081409000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.5;Y2;)S1|prototype_center()I[0,0]
5765 Ngeneric:Facet-Center|art@0||0|0||||AV
5766 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I10
5767 NThick-Circle|art@3||2|0|1|1|||ART_color()I10
5768 Nschematic:Bus_Pin|pin@0||0|-2||||
5769 Nschematic:Bus_Pin|pin@2||0|2||||
5770 Nschematic:Bus_Pin|pin@4||-2.5|0||||
5771 Nschematic:Bus_Pin|pin@6||2.5|0||||
5772 NPin|pin@15||1.5|0|1|1||
5773 NPin|pin@17||-1.5|0|1|1||
5774 NPin|pin@18||-2.5|0||||
5775 NPin|pin@20||-1.5|2|1|1||
5776 NPin|pin@21||-1.5|-2|1|1||
5777 NPin|pin@22||0|-1|1|1||
5778 NPin|pin@23||0|-2|1|1||
5779 NPin|pin@24||0|2|1|1||
5780 NPin|pin@25||0|1.5|1|1||
5781 AThicker|net@8|||FS3263|pin@15||1.5|0|pin@20||-1.5|2|ART_color()I10
5782 AThicker|net@9|||FS337|pin@15||1.5|0|pin@21||-1.5|-2|ART_color()I10
5783 AThicker|net@10|||FS0|pin@17||-1.5|0|pin@18||-2.5|0|ART_color()I10
5784 AThicker|net@11|||FS2700|pin@21||-1.5|-2|pin@20||-1.5|2|ART_color()I10
5785 AThicker|net@12|||FS2700|pin@23||0|-2|pin@22||0|-1|ART_color()I10
5786 AThicker|net@13|||FS2700|pin@25||0|1.5|pin@24||0|2|ART_color()I10
5787 Een||D5G1;|pin@0||I
5788 EenB||D5G1;|pin@2||I
5789 Ein||D5G1;|pin@4||I
5790 Eout||D5G1;|pin@6||O
5791 X
5792
5793 # Cell triInv;1{sch}
5794 CtriInv;1{sch}||schematic|1092081210000|1157998403442||ATTR_Delay(D5G1;HNPX-12;Y-7;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-12;Y-5;)S1|prototype_center()I[0,0]
5795 Ngeneric:Facet-Center|art@0||0|0||||AV
5796 NOff-Page|conn@0||-13|0||||
5797 NOff-Page|conn@1||12|0||||
5798 NOff-Page|conn@2||12|4|||RR|
5799 NOff-Page|conn@3||12|-4|||RR|
5800 Inms2b;1{ic}|nms2@0||0|-8|||D5G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5801 NWire_Pin|pin@1||-6|0||||
5802 NWire_Pin|pin@2||-6|8||||
5803 NWire_Pin|pin@3||-6|-8||||
5804 NWire_Pin|pin@4||0|0||||
5805 Ngeneric:Invisible-Pin|pin@5||-4|17|||||ART_message(D5G5;)StriInv
5806 Ngeneric:Invisible-Pin|pin@6||-4|13|||||ART_message(D5G2;)Stristate inverter
5807 Ipms2;1{ic}|pms2@0||0|8|||D5G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5808 ItriInv;1{ic}|triInv@2||11|14|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-1.5;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.5;Y2;)S1
5809 Awire|net@0|||2700|nms2@0|d|0|-2|pin@4||0|0
5810 Awire|net@1|||1800|conn@0|y|-11|0|pin@1||-6|0
5811 Awire|net@2|||2700|pin@1||-6|0|pin@2||-6|8
5812 Awire|net@3|||1800|pin@2||-6|8|pms2@0|g|-3|8
5813 Awire|net@4|||900|pin@1||-6|0|pin@3||-6|-8
5814 Awire|net@5|||1800|pin@3||-6|-8|nms2@0|g|-3|-8
5815 Awire|net@6|||0|conn@3|y|10|-4|nms2@0|g2|3|-4
5816 Awire|net@7|||0|conn@2|y|10|4|pms2@0|g2|3|4
5817 Awire|net@9|||0|conn@1|a|10|0|pin@4||0|0
5818 Awire|net@10|||2700|pin@4||0|0|pms2@0|d|0|2
5819 Een||D5G2;|conn@3|a|I
5820 EenB||D5G2;|conn@2|a|I
5821 Ein||D5G2;|conn@0|a|I
5822 Eout||D5G2;|conn@1|y|O
5823 X
5824
5825 # Cell xor2;1{ic}
5826 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;HNOLPX2.25;Y2.25;)S1|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|prototype_center()I[6000,0]
5827 Ngeneric:Facet-Center|art@0||0|0||||AV
5828 NThick-Circle|art@1||-1.5|-2|8|7|YRRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5829 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I10|ART_degrees()F[0.0,1.0471976]
5830 NThick-Circle|art@3||-3.75|0|6|6|3200||ART_color()I10|ART_degrees()I800
5831 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I10|ART_degrees()I800
5832 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I10
5833 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I10
5834 Nschematic:Bus_Pin|pin@0||-3.5|-0.5|-2|-2||
5835 NPin|pin@1||-1.5|-0.5|1|1||
5836 NPin|pin@2||-3.5|-0.5||||
5837 Nschematic:Bus_Pin|pin@3||-3.5|1.5|-2|-2||
5838 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
5839 NPin|pin@5||-3.5|0.5||||
5840 NPin|pin@6||-2.5|0.5|1|1||
5841 NPin|pin@7||-1|-1.25|1|1||
5842 NPin|pin@8||-0.5|-1.75|1|1||
5843 NPin|pin@9||-2|1.5|1|1||
5844 NPin|pin@10||-3.5|1.5||||
5845 Ngeneric:Invisible-Pin|pin@11||-3.5|0.5||||
5846 NPin|pin@12||-3.5|-1.5||||
5847 NPin|pin@13||-3|-1.5|1|1||
5848 Ngeneric:Invisible-Pin|pin@14||-3.5|-1.5||||
5849 AThicker|net@0|||FS0|pin@1||-1.5|-0.5|pin@2||-3.5|-0.5|ART_color()I10
5850 AThicker|net@1|||FS0|pin@6||-2.5|0.5|pin@5||-3.5|0.5|ART_color()I10
5851 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I10
5852 AThicker|net@3|||FS0|pin@9||-2|1.5|pin@10||-3.5|1.5|ART_color()I10
5853 AThicker|net@4|||FS0|pin@13||-3|-1.5|pin@12||-3.5|-1.5|ART_color()I10
5854 Eina||D5G1;|pin@0||I
5855 EinaB||D5G1;|pin@14||I
5856 Einb||D5G1;|pin@3||I
5857 EinbB||D5G1;|pin@11||I
5858 Eout||D5G1;|pin@4||O
5859 X
5860
5861 # Cell xor2;1{sch}
5862 Cxor2;1{sch}||schematic|1021415734000|1157998393633||ATTR_Delay(D5G1;HNPX-22;Y-13.5;)I100|ATTR_X(D5FLeave alone;G1;HNOLPX-22;Y-12.5;)S1|ATTR_drive0(D5G1;HNPTX-22;Y-14.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-22;Y-15.5;)Sstrong1|prototype_center()I[0,0]
5863 Ngeneric:Facet-Center|art@0||0|0||||AV
5864 NOff-Page|conn@0||-17|4||||
5865 NOff-Page|conn@1||-17|-4|||Y|
5866 NOff-Page|conn@2||12|0||||
5867 NOff-Page|conn@3||25|-4|||RR|
5868 NOff-Page|conn@4||25|4|||YRR|
5869 Inms2b;1{ic}|nms2@0||-5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5870 Inms2b;1{ic}|nms2@1||5|-12|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX-2.25;Y1.5;)S@X
5871 NWire_Pin|pin@0||-10|-8||||
5872 Ngeneric:Invisible-Pin|pin@1||-3|18|||||ART_message(D5G2;)S[one-parameter fixed-size XOR]
5873 NWire_Pin|pin@2||-12|10||||
5874 NWire_Pin|pin@3||-12|-4||||
5875 Ngeneric:Invisible-Pin|pin@4||0.5|24.5|||||ART_message(D5G6;)S[xor2]
5876 NWire_Pin|pin@5||-10|8||||
5877 NWire_Pin|pin@6||-5|0||||
5878 NWire_Pin|pin@7||-5|3.5||||
5879 NWire_Pin|pin@8||5|0||||
5880 NWire_Pin|pin@9||-10|4||||
5881 NWire_Pin|pin@10||18|4||||
5882 NWire_Pin|pin@11||18|-8||||
5883 NWire_Pin|pin@12||-12|-12||||
5884 NWire_Pin|pin@13||20|-4||||
5885 NWire_Pin|pin@14||20|-12||||
5886 NWire_Pin|pin@15||20|10||||
5887 NWire_Pin|pin@16||18|8||||
5888 NWire_Pin|pin@17||-1|10||||
5889 NWire_Pin|pin@18||1|12||||
5890 NWire_Pin|pin@19||1|10||||
5891 NWire_Pin|pin@20||-1|12||||
5892 Ipms2;1{ic}|pms2@0||-5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5893 Ipms2;1{ic}|pms2@1||5|12|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y1;)S@X
5894 Ixor2;1{ic}|xor2@0||28.48|18.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_X(D5FLeave alone;G1.5;NOLPX2.25;Y2.25;)S1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
5895 Awire|net@0|||2700|pin@3||-12|-4|pin@2||-12|10
5896 Awire|net@1|||0|pin@3||-12|-4|conn@1|y|-15|-4
5897 Awire|net@2|||2700|pin@6||-5|0|pms2@0|d|-5|6
5898 Awire|net@3|||2700|pin@6||-5|0|pin@7||-5|3.5
5899 Awire|net@4|||900|pin@7||-5|3.5|nms2@0|d|-5|-6
5900 Awire|net@5|||1800|pin@8||5|0|conn@2|a|10|0
5901 Awire|net@6|||1800|pin@6||-5|0|pin@8||5|0
5902 Awire|net@7|||900|pin@8||5|0|nms2@1|d|5|-6
5903 Awire|net@8|||2700|pin@0||-10|-8|pin@9||-10|4
5904 Awire|net@9|||2700|pin@9||-10|4|pin@5||-10|8
5905 Awire|net@10|||0|pin@9||-10|4|conn@0|y|-15|4
5906 Awire|net@11|||0|nms2@0|g2|-2|-8|pin@0||-10|-8
5907 Awire|net@12|||1800|pin@10||18|4|conn@4|y|23|4
5908 Awire|net@13|||2700|pin@11||18|-8|pin@10||18|4
5909 Awire|net@14|||1800|nms2@1|g2|8|-8|pin@11||18|-8
5910 Awire|net@15|||2700|pin@12||-12|-12|pin@3||-12|-4
5911 Awire|net@16|||0|nms2@0|g|-8|-12|pin@12||-12|-12
5912 Awire|net@17|||1800|pin@13||20|-4|conn@3|y|23|-4
5913 Awire|net@18|||2700|pin@14||20|-12|pin@13||20|-4
5914 Awire|net@19|||1800|nms2@1|g|2|-12|pin@14||20|-12
5915 Awire|net@20|||900|pin@15||20|10|pin@13||20|-4
5916 Awire|net@21|||0|pms2@0|g2|-2|8|pin@5||-10|8
5917 Awire|net@22|||2700|pin@8||5|0|pms2@1|d|5|6
5918 Awire|net@23|||900|pin@16||18|8|pin@10||18|4
5919 Awire|net@24|||1800|pms2@1|g2|8|8|pin@16||18|8
5920 Awire|net@25|||0|pin@17||-1|10|pin@2||-12|10
5921 Awire|net@26|||450|pin@18||1|12|pin@17||-1|10
5922 Awire|net@27|||0|pms2@1|g|2|12|pin@18||1|12
5923 Awire|net@28|||1800|pin@19||1|10|pin@15||20|10
5924 Awire|net@29|||1350|pin@20||-1|12|pin@19||1|10
5925 Awire|net@30|||1800|pms2@0|g|-8|12|pin@20||-1|12
5926 Eina||D5G2;|conn@0|a|I
5927 EinaB||D5G2;|conn@4|a|I
5928 Einb||D5G2;|conn@1|a|I
5929 EinbB||D5G2;|conn@3|a|I
5930 Eout||D5G2;|conn@2|y|O
5931 X