40nm omega counter: switch design to use a timing constraint.
[fleet.git] / chips / omegaCounter / 40nm / electric / purpleFive.jelib
1 # header information:
2 HpurpleFive|8.10h|USER_electrical_units()I70464
3
4 # Views:
5 Vdocumentation|doc
6 Vicon|ic
7 Vschematic|sch
8
9 # External Libraries:
10
11 Lorange40nm|orange40nm
12
13 LredFive|redFive
14
15 # Tools:
16 Ouser|DefaultTechnology()Scmos90|SchematicTechnology()Scmos90
17 Oio|GDSOutputConvertsBracketsInExports()BF|GDSWritesExportPins()BT
18 OSTA|GlobalSDCCommands()S"\n### 4 GHz clock setup\ncreate_clock -period 0.250 -name clk -waveform \"0 0.125\" clk\nset_clock_uncertainty -setup 0.010 clk\nset_clock_uncertainty -hold 0.010 clk\nset_propagated_clock clk\nset_clock_transition -rise 0.030 clk\nset_clock_transition -fall 0.030 clk\n#set_driving_cell -lib_cell inv_X008_0 clk\n\n### remove scan path from timing\nset_false_path -through */so\nset_false_path -from {sin}\nset_false_path -from {scanEn}\nset_false_path -to {sout}\n"
19
20 # Technologies:
21 Tcmos90|"GDS(ST)LayerForPad-FrameINcmos90"()S43|"GDS(TSMC)LayerForPad-FrameINcmos90"()S43
22 Tmocmos|SelectedFoundryFormocmos()STSMC
23
24 # Cell LEsettings;1{ic}
25 CLEsettings;1{ic}||artwork|1023920563000|1253203134284|E|ATTR_LESETTINGS(D5G1;HNPX1.5;Y-4;)I1|ATTR_alpha(D5G1;HNPX1.5;Y-2;)S0.7|ATTR_epsilon(D5G1;HNPX1.5;Y1;)S0.01|ATTR_gate_cap(D5G1;HNPX1.5;Y-1;)S0.4|ATTR_keeper_ratio(D5G1;HNPX1.5;Y-3;)F0.1|ATTR_max_iter(D5G1;HNPX1.5;)I40|ATTR_su(D5G1;HNPX1.5;Y3;)S4.5|ATTR_wire_ratio(D5G1;HNPX1.5;Y2;)S0.22|ATTR_x1inverter_length(D5G1;HNPX1.5;Y-6;)I4|ATTR_x1inverter_nwidth(D5G1;HNPX1.5;Y-7;)I12|ATTR_x1inverter_pwidth(D5G1;HNPX1.5;Y-8;)S24|prototype_center()I[0,0]
26 Ngeneric:Facet-Center|art@0||0|0||||AV
27 NBox|art@2||1.5|-2.75|11|13.5|||ART_color()I-1174339839
28 Ngeneric:Invisible-Pin|pin@0||1.5|4.5|||||ART_message(D5G1;)S[LEsettings]
29 X
30
31 # Cell LEsettings;2{sch}
32 CLEsettings;2{sch}||schematic|1023920036000|1253147132016||ATTR_LESETTINGS(D6G1;HNPX-21;Y23;)I1|ATTR_alpha(D5G1;HNPX-18.5;Y25;)S0.7|ATTR_epsilon(D6G1;HNPX-21;Y28;)S0.01|ATTR_gate_cap(D6G1;HNPX-21;Y26;)S0.4|ATTR_keeper_ratio(D5G1;HNPX-17;Y24;)F0.1|ATTR_max_iter(D6G1;HNPX-21;Y27;)I40|ATTR_su(D6G1;HNPX-21;Y30;)S4.5|ATTR_wire_ratio(D6G1;HNPX-21;Y29;)S0.22|ATTR_x1inverter_length(D5G1;HNPX-18.75;Y17;)I4|ATTR_x1inverter_nwidth(D5G1;HNPX-18.75;Y18;)I12|ATTR_x1inverter_pwidth(D5G1;HNPX-18.75;Y19;)S24|ATTR_SPICE_template(D5G1;NTX-25;Y2;)S**LEsettings: None needed for LEsettings|prototype_center()I[12000,48000]
33 ILEsettings;1{ic}|LEsettin@5||-1|0|||D5G4;|ATTR_LESETTINGS(D5G1;NPY-1.5;)I1|ATTR_alpha(D5G1;NPY0.5;)S0.7|ATTR_epsilon(D5G1;NPY3.5;)S0.01|ATTR_gate_cap(D5G1;NPY1.5;)S0.4|ATTR_keeper_ratio(D5G1;NPY-0.5;)F0.1|ATTR_max_iter(D5G1;NPY2.5;)I40|ATTR_su(D5G1;NPY5.5;)S4.5|ATTR_wire_ratio(D5G1;NPY4.5;)S0.22|ATTR_x1inverter_length(D5G1;NPY-3.5;)I4|ATTR_x1inverter_nwidth(D5G1;NPY-4.5;)I12|ATTR_x1inverter_pwidth(D5G1;NPY-5.5;)S24
34 Ngeneric:Facet-Center|art@0||0|0||||AV
35 Ngeneric:Invisible-Pin|pin@0||-9.5|12|||||ART_message(D6G1;)S[this is the diffusion effort of PMOS gates (Cdiffp/Cgate)]
36 Ngeneric:Invisible-Pin|pin@1||-9.5|13|||||ART_message(D6G1;)S[this is the diffusion effort of NMOS gates (Cdiffn/Cgate)]
37 Ngeneric:Invisible-Pin|pin@2||-9.5|14|||||ART_message(D6G1;)S[gate cap. is used to convert cap loads to gate loads (fF/lambda)]
38 Ngeneric:Invisible-Pin|pin@3||-9.5|15|||||ART_message(D6G1;)S[this sets the maximum number of iterations]
39 Ngeneric:Invisible-Pin|pin@4||-9.5|16|||||ART_message(D6G1;)S[epsilon is the convergence criterion]
40 Ngeneric:Invisible-Pin|pin@5||-9.5|17|||||ART_message(D6G1;)S[wire ratio is the default wire ratio: Cwire/Cgate]
41 Ngeneric:Invisible-Pin|pin@6||-9.5|18|||||ART_message(D6G1;)S[su is the global step-up (fan-out)]
42 Ngeneric:Invisible-Pin|pin@7||-24|21|||||ART_message(D6G2;)S[This Facet is used to set Logical Effort Settings]
43 Ngeneric:Invisible-Pin|pin@8||-9.5|11|||||ART_message(D6G1;)Sx1inverter_nwidth is the width of the nmos in an X=1 inverter
44 Ngeneric:Invisible-Pin|pin@9||-9.5|10|||||ART_message(D6G1;)Sx1inverter_pwidth is the width of the pmos in an X=1 inverter
45 Ngeneric:Invisible-Pin|pin@10||-9.5|9|||||ART_message(D6G1;)Sx1inverter_length is the length of the pmos and nmos in an X=1 inverter
46 X
47
48 # Cell aChangeRecord;1{doc}
49 CaChangeRecord;1{doc}||mocmos|1021415734000|1228430895838||FACET_message()S[This is a change record for the purpleOne library.,"",9 March 02,Ivan added alternate icons for four types of NAND gates.,Ivan fixed output port location on one of the NAND gates.,Ivan set the scale of the pass gate be normalized to minimum transistor.,Ivan checked that all internal expressions are in Java.,Making a new icon copies the form of the icon in the schematic but,    DOES NOT MAKE ITS PARAMETER BE Java type.,Ivan updated the dates on the libraries to 9 March 2002,"",14 Apr 2002,"JKG: Minor fixes on text, etc","",14 May 2002,"JKG: Added nmos, nmos4, pmos, pmos4 primitives to allow Monte Carlo simulations","JKG: Added pmos0, invKV, made invK allow longer than minimum lengths","",4 Dec 2008,IES:  changed name to purpleFive and added extra icons to permit more,understandable circuit diagrams.  See purpleFive:aGallery{sch}.,"","","",""]
50 X
51
52 # Cell branchfactor;1{ic}
53 Cbranchfactor;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_BF(D5G1.5;HNPY3;)I1|ATTR_Delay(D5G1;HNPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;HNPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;HNPX4.75;Y1.5;)I-1|ATTR_M(D5G1;HNPX2;Y-1.25;)I1|ATTR_S(D5G1;HOJPT)SLE.getdrive()|ATTR_su(D5G1;HNPX2;Y-5.25;)I1|prototype_center()I[6000,0]
54 Ngeneric:Facet-Center|art@0||0|0||||AV
55 NOpened-Thicker-Polygon|art@1||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0,0.25/0,-0.25/0,-0.25/0.5,0.25/0.5]
56 NThick-Circle|art@2||-0.5|-0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
57 NOpened-Thicker-Polygon|art@3||-0.75|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
58 NThick-Circle|art@4||-0.5|0.25|0.5|0.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
59 NOpened-Thicker-Polygon|art@5||0|0|3|4|||ART_color()I78|trace()V[-1.5/-2,-1.5/2,1.5/2,1.5/-2,-1.5/-2]
60 NPin|pin@0||2.5|0||||
61 NPin|pin@1||1.5|0||||
62 Nschematic:Bus_Pin|pin@2||2.5|0|-2|-2||
63 NPin|pin@3||-2.5|0||||
64 NPin|pin@4||-1.5|0|1|1||
65 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
66 AThicker|net@0|||FS0|pin@0||2.5|0|pin@1||1.5|0|ART_color()I78
67 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
68 Ein||D5G1;|pin@5||I
69 Eout||D5G1;|pin@2||O
70 X
71
72 # Cell branchfactor;1{sch}
73 Cbranchfactor;1{sch}||schematic|1021415734000|1028570639000||ATTR_BF(D5G1;HNPX-12;Y-8.25;)I1|ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I0|ATTR_LEGATE(D5G1;HNPX-12;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPX-12;Y-6.5;)I-1|ATTR_M(D5G1;HNPX-12;Y-6.5;)I1|ATTR_S(D5G1;HNOJPX-12;Y-4.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-12;Y-9.5;)I1|prototype_center()I[0,0]
74 Ngeneric:Facet-Center|art@0||0|0||||AV
75 Ibranchfactor;1{ic}|branchfa@0||18|8.5|||D0G4;|ATTR_BF(D5G1.5;NPY3;)I1|ATTR_Delay(D5G1;NPX2;Y-2.25;)I0|ATTR_LEGATE(D5G1;NPX5.75;Y3.75;)I1|ATTR_LEPARALLGRP(D5G1;NPX4.75;Y1.5;)I-1|ATTR_M(D5G1;NPX2;Y-1.25;)I1|ATTR_S(OJP)S1|ATTR_su(D5G1;NPX2;Y-5.25;)S""
76 NOff-Page|conn@0||-11|0||||
77 NOff-Page|conn@1||8|0||||
78 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[branchfactor]
79 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[Implements a branch factor of BF]
80 Awire|net@0|||0|conn@1|a|6|0|conn@0|y|-9|0
81 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NOJY-2;)S@BF
82 Eout||D5G2;|conn@1|y|O
83 X
84
85 # Cell inv;1{doc}
86 Cinv;1{doc}||mocmos|1012171260000|1012171294000||FACET_message()S[This is the one parameter inverter.,Drawn by Ivan Sutherland 27 January 2002,""]
87 X
88
89 # Cell inv;1{ic}
90 Cinv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
91 Ngeneric:Facet-Center|art@0||0|0||||AV
92 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
93 NPin|pin@0||1.5|0|1|1||
94 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
95 NPin|pin@2||-1.5|0|1|1||
96 NPin|pin@3||-2.5|0||||
97 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
98 NPin|pin@5||-1.5|2|1|1||
99 NPin|pin@6||-1.5|-2|1|1||
100 AThicker|net@0|||FS3263|pin@0||1.5|0|pin@5||-1.5|2|ART_color()I78
101 AThicker|net@1|||FS337|pin@0||1.5|0|pin@6||-1.5|-2|ART_color()I78
102 AThicker|net@2|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
103 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
104 Ein||D5G1;|pin@1||I
105 Eout||D5G1;|pin@4||O
106 X
107
108 # Cell inv;2{sch}
109 Cinv;2{sch}||schematic|1021415734000|1159375611590||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
110 Ngeneric:Facet-Center|art@0||0|0||||AV
111 NOff-Page|conn@0||11|0||||
112 NOff-Page|conn@1||-14|0||||
113 IredFive:inv;1{ic}|inv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
114 Iinv;1{ic}|inv@1||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
115 Ngeneric:Invisible-Pin|pin@0||-2|14.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
116 Ngeneric:Invisible-Pin|pin@1||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
117 Ngeneric:Invisible-Pin|pin@2||-2|16.5|||||ART_message(D5G2;)S[one-parameter inverter]
118 Ngeneric:Invisible-Pin|pin@3||-1.5|20|||||ART_message(D5G6;)S[inv]
119 Awire|net@0|||1800|conn@1|y|-12|0|inv@0|in|-2|0
120 Awire|net@1|||1800|inv@0|out|3|0|conn@0|a|9|0
121 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.0
122 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
123 X
124
125 # Cell inv2i;1{ic}
126 Cinv2i;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
127 Ngeneric:Facet-Center|art@0||0|0||||AV
128 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
129 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
130 NPin|pin@0||-2.5|-1||||
131 NPin|pin@1||-1.5|-1|1|1||
132 NPin|pin@2||1.5|0|1|1||
133 NPin|pin@3||-1.5|1|1|1||
134 NPin|pin@4||-2.5|1||||
135 NPin|pin@5||-1.5|2|1|1||
136 NPin|pin@6||-1.5|-2|1|1||
137 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
138 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
139 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
140 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
141 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
142 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
143 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
144 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
145 Ein[n]||D5G1;|pin@9||I
146 Ein[p]||D5G1;|pin@8||I
147 Eout||D5G1;|pin@7||O
148 X
149
150 # Cell inv2i;1{sch}
151 Cinv2i;1{sch}||schematic|1021415734000|1159375631875||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
152 Ngeneric:Facet-Center|art@0||0|0||||AV
153 NOff-Page|conn@0||12|0||||
154 NOff-Page|conn@1||-10.5|1|||Y|
155 NOff-Page|conn@2||-10.5|-1|||Y|
156 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
157 Iinv2i;1{ic}|inv2i@1||13.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
158 Ngeneric:Invisible-Pin|pin@0||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
159 Ngeneric:Invisible-Pin|pin@1||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
160 Ngeneric:Invisible-Pin|pin@2||-4|25|||||ART_message(D5G6;)S[inv2i]
161 Ngeneric:Invisible-Pin|pin@3||-4|20|||||ART_message(D5G2;)S[two-input inverter]
162 Awire|net@0|||0|inv2i@0|in[n]|-2.5|-1|conn@2|y|-8.5|-1
163 Awire|net@1|||0|inv2i@0|in[p]|-2.5|1|conn@1|y|-8.5|1
164 Awire|net@2|||0|conn@0|a|10|0|inv2i@0|out|2.5|0
165 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
166 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
167 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
168 X
169
170 # Cell inv2iHT;1{ic}
171 Cinv2iHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
172 Ngeneric:Facet-Center|art@0||0|0||||AV
173 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
174 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
175 NThick-Circle|art@3||-1|1|1|1|||ART_color()I78
176 NPin|pin@0||-2.5|-1||||
177 NPin|pin@1||-1.5|-1|1|1||
178 NPin|pin@2||1.5|0|1|1||
179 NPin|pin@3||-1.5|1|1|1||
180 NPin|pin@4||-2.5|1||||
181 NPin|pin@5||-1.5|2|1|1||
182 NPin|pin@6||-1.5|-2|1|1||
183 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
184 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
185 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
186 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
187 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
188 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
189 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
190 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
191 Ein[n]||D5G1;|pin@9||I
192 Ein[p]||D5G1;|pin@8||I
193 Eout||D5G1;|pin@7||O
194 X
195
196 # Cell inv2iHT;1{sch}
197 Cinv2iHT;1{sch}||schematic|1021415734000|1159375639594||ATTR_Delay(D5G1;HNPX-11;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11;Y-11.5;)I-1|prototype_center()I[0,0]
198 Ngeneric:Facet-Center|art@0||0|0||||AV
199 NOff-Page|conn@0||12|0||||
200 NOff-Page|conn@1||-10|1|||Y|
201 NOff-Page|conn@2||-10|-1|||Y|
202 IredFive:inv2iHT;1{ic}|inv2iHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
203 Iinv2iHT;1{ic}|inv2iHT@1||23|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
204 Ngeneric:Invisible-Pin|pin@0||13|-11|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
205 Ngeneric:Invisible-Pin|pin@1||-3.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
206 Ngeneric:Invisible-Pin|pin@2||-3.5|20.5|||||ART_message(D5G6;)S[inv2iHT]
207 Ngeneric:Invisible-Pin|pin@3||-3.5|15.5|||||ART_message(D5G2;)S[two-input HI-threshold inverter]
208 Awire|net@0|||0|inv2iHT@0|in[p]|-2.5|1|conn@1|y|-8|1
209 Awire|net@1|||0|inv2iHT@0|in[n]|-2.5|-1|conn@2|y|-8|-1
210 Awire|net@2|||0|conn@0|a|10|0|inv2iHT@0|out|2.5|0
211 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.33
212 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
213 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.67
214 X
215
216 # Cell inv2iK;1{ic}
217 Cinv2iK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
218 Ngeneric:Facet-Center|art@0||0|0||||AV
219 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
220 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
221 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[K]
222 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
223 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
224 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
225 NPin|pin@4||-1.5|-2|1|1||
226 NPin|pin@5||-1.5|2|1|1||
227 NPin|pin@6||-2.5|1||||
228 NPin|pin@7||-1.5|1|1|1||
229 NPin|pin@8||1.5|0|1|1||
230 NPin|pin@9||-1.5|-1|1|1||
231 NPin|pin@10||-2.5|-1||||
232 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
233 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
234 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
235 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
236 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
237 Ein[n]||D5G1;|pin@1||I
238 Ein[p]||D5G1;|pin@2||I
239 Eout||D5G1;|pin@3||O
240 X
241
242 # Cell inv2iK;1{sch}
243 Cinv2iK;1{sch}||schematic|1021415734000|1159376928498||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
244 Ngeneric:Facet-Center|art@0||0|0||||AV
245 NOff-Page|conn@0||-10.5|-1|||Y|
246 NOff-Page|conn@1||-10.5|1|||Y|
247 NOff-Page|conn@2||24|0||||
248 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
249 Iinv2iK;1{ic}|inv2iK@0||24|17|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
250 IredFive:invK;1{ic}|invK@0||8|6|R||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
251 IredFive:invK;1{ic}|invK@1||14.5|6|YR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/20.|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1
252 NWire_Pin|pin@0||8|10.5||||
253 NWire_Pin|pin@1||14.5|10.5||||
254 NWire_Pin|pin@2||14.5|0||||
255 NWire_Pin|pin@3||8|0||||
256 Ngeneric:Invisible-Pin|pin@4||11|-5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
257 Ngeneric:Invisible-Pin|pin@5||-4|20|||||ART_message(D5G2;)S[two-input inverter with keeper]
258 Ngeneric:Invisible-Pin|pin@6||-4|25|||||ART_message(D5G6;)S[inv2iK]
259 Ngeneric:Invisible-Pin|pin@7||-4|18|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
260 Ngeneric:Invisible-Pin|pin@8||14.5|-12.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
261 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
262 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
263 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||8|0
264 Awire|net@3|||2700|pin@3||8|0|invK@0|in|8|3.5
265 Awire|net@4|||2700|invK@0|out|8|8.5|pin@0||8|10.5
266 Awire|net@5|||900|pin@1||14.5|10.5|invK@1|in|14.5|8.5
267 Awire|net@6|||2700|pin@2||14.5|0|invK@1|out|14.5|3.5
268 Awire|net@7|||1800|pin@0||8|10.5|pin@1||14.5|10.5
269 Awire|net@8|||1800|pin@3||8|0|pin@2||14.5|0
270 Awire|net@9|||1800|pin@2||14.5|0|conn@2|a|22|0
271 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
272 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
273 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
274 X
275
276 # Cell inv2iKn;1{ic}
277 Cinv2iKn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
278 Ngeneric:Facet-Center|art@0||0|0||||AV
279 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
280 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
281 NPin|pin@0||-2.5|-1||||
282 NPin|pin@1||-1.5|-1|1|1||
283 NPin|pin@2||1.5|0|1|1||
284 NPin|pin@3||-1.5|1|1|1||
285 NPin|pin@4||-2.5|1||||
286 NPin|pin@5||-1.5|2|1|1||
287 NPin|pin@6||-1.5|-2|1|1||
288 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
289 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
290 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
291 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kn]
292 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
293 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
294 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
295 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
296 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
297 Ein[n]||D5G1;|pin@9||I
298 Ein[p]||D5G1;|pin@8||I
299 Eout||D5G1;|pin@7||O
300 X
301
302 # Cell inv2iKn;1{sch}
303 Cinv2iKn;1{sch}||schematic|1021415734000|1248729232899||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
304 IredFive:PMOS;1{ic}|PMOS@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
305 Ngeneric:Facet-Center|art@0||0|0||||AV
306 NOff-Page|conn@0||15|0||||
307 NOff-Page|conn@1||-10.5|1|||Y|
308 NOff-Page|conn@2||-10.5|-1|||Y|
309 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
310 Iinv2iKn;1{ic}|inv2iKn@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
311 Ngeneric:Invisible-Pin|pin@0||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
312 NWire_Pin|pin@1||-4.5|-1||||
313 NWire_Pin|pin@2||-4.5|-5.5||||
314 NWire_Pin|pin@3||4.5|0||||
315 Ngeneric:Invisible-Pin|pin@4||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
316 Ngeneric:Invisible-Pin|pin@5||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
317 Ngeneric:Invisible-Pin|pin@6||0|20|||||ART_message(D5G6;)S[inv2iKn]
318 Ngeneric:Invisible-Pin|pin@7||0|15|||||ART_message(D5G2;)S[two-input inverter with n-side keeper]
319 Ngeneric:Invisible-Pin|pin@8||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
320 NPower|pwr@0||4.5|-10.5||||
321 Awire|net@0|||1800|pin@1||-4.5|-1|inv2i@0|in[n]|-2.5|-1
322 Awire|net@1|||1800|conn@1|y|-8.5|1|inv2i@0|in[p]|-2.5|1
323 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@3||4.5|0
324 Awire|net@3|||900|pin@3||4.5|0|PMOS@0|s|4.5|-3.5
325 Awire|net@4|||1800|pin@2||-4.5|-5.5|PMOS@0|g|1.5|-5.5
326 Awire|net@5|||900|PMOS@0|d|4.5|-7.5|pwr@0||4.5|-10.5
327 Awire|net@6|||0|conn@0|a|13|0|pin@3||4.5|0
328 Awire|net@7|||900|pin@1||-4.5|-1|pin@2||-4.5|-5.5
329 Awire|net@8|||1800|conn@2|y|-8.5|-1|pin@1||-4.5|-1
330 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
331 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
332 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)I1
333 X
334
335 # Cell inv2iKnD;1{ic}
336 Cinv2iKnD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
337 Ngeneric:Facet-Center|art@0||0|0||||AV
338 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
339 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
340 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[KnD]
341 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
342 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
343 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
344 NPin|pin@4||-1.5|-2|1|1||
345 NPin|pin@5||-1.5|2|1|1||
346 NPin|pin@6||-2.5|1||||
347 NPin|pin@7||-1.5|1|1|1||
348 NPin|pin@8||1.5|0|1|1||
349 NPin|pin@9||-1.5|-1|1|1||
350 NPin|pin@10||-2.5|-1||||
351 Ngeneric:Invisible-Pin|pin@11||0|2||||
352 NPin|pin@12||0|1|||R|
353 NPin|pin@13||0|2|1|1|R|
354 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
355 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
356 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
357 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
358 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
359 AThicker|net@5|||FS900|pin@13||0|2|pin@12||0|1|ART_color()I78
360 Ectl||D5G2;|pin@11||I
361 Ein[n]||D5G1;|pin@1||I
362 Ein[p]||D5G1;|pin@2||I
363 Eout||D5G1;|pin@3||O
364 X
365
366 # Cell inv2iKnD;1{sch}
367 Cinv2iKnD;1{sch}||schematic|1021415734000|1248729232899||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
368 IredFive:PMOS;1{ic}|PMOS@0||4.5|-5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
369 Ngeneric:Facet-Center|art@0||0|0||||AV
370 NOff-Page|conn@0||-10.5|-1|||Y|
371 NOff-Page|conn@1||-10.5|1|||Y|
372 NOff-Page|conn@2||15|0||||
373 NOff-Page|conn@3||-4|6||||
374 IredFive:inv2iCTLn;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
375 Iinv2iKnD;1{ic}|inv2iKnD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
376 Ngeneric:Invisible-Pin|pin@0||1|9|||||ART_message(D5G2;)S["Set input in N, reset input is P"]
377 Ngeneric:Invisible-Pin|pin@1||26.5|3|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vhi']
378 Ngeneric:Invisible-Pin|pin@2||0|15|||||ART_message(D5G2;)S[degradable two-input inverter with n-side keeper]
379 Ngeneric:Invisible-Pin|pin@3||0|20|||||ART_message(D5G6;)S[inv2iKnD]
380 Ngeneric:Invisible-Pin|pin@4||0|13|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
381 Ngeneric:Invisible-Pin|pin@5||21.5|-14.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
382 NWire_Pin|pin@6||4.5|0||||
383 NWire_Pin|pin@7||-4.5|-5.5||||
384 NWire_Pin|pin@8||-4.5|-1||||
385 Ngeneric:Invisible-Pin|pin@9||27|-1|||||VERILOG_code(D6G1;)S[initial begin,     force out = 1;, #30000 release out;,end]
386 NWire_Pin|pin@10||0|6||||
387 NPower|pwr@0||4.5|-10.5||||
388 Awire|net@0|||900|pin@6||4.5|0|PMOS@0|s|4.5|-3.5
389 Awire|net@1|||1800|pin@7||-4.5|-5.5|PMOS@0|g|1.5|-5.5
390 Awire|net@2|||900|PMOS@0|d|4.5|-7.5|pwr@0||4.5|-10.5
391 Awire|net@3|||1800|conn@1|y|-8.5|1|inv2iCTL@0|inP|-2.5|1
392 Awire|net@4|||2700|inv2iCTL@0|ctl|0|-2|pin@10||0|6
393 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@6||4.5|0
394 Awire|net@6|||1800|pin@8||-4.5|-1|inv2iCTL@0|inN|-2.5|-1
395 Awire|net@7|||1800|conn@0|y|-8.5|-1|pin@8||-4.5|-1
396 Awire|net@8|||900|pin@8||-4.5|-1|pin@7||-4.5|-5.5
397 Awire|net@9|||0|conn@2|a|13|0|pin@6||4.5|0
398 Awire|net@10|||0|pin@10||0|6|conn@3|y|-2|6
399 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F0.67
400 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.67
401 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
402 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.33
403 X
404
405 # Cell inv2iKp;1{ic}
406 Cinv2iKp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
407 Ngeneric:Facet-Center|art@0||0|0||||AV
408 NThick-Circle|art@1||-1|1|1|1|||ART_color()I78
409 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
410 Ngeneric:Invisible-Pin|pin@0||0|-0.12|||||ART_message(D5G2;)S[Kp]
411 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
412 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
413 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
414 NPin|pin@4||-1.5|-2|1|1||
415 NPin|pin@5||-1.5|2|1|1||
416 NPin|pin@6||-2.5|1||||
417 NPin|pin@7||-1.5|1|1|1||
418 NPin|pin@8||1.5|0|1|1||
419 NPin|pin@9||-1.5|-1|1|1||
420 NPin|pin@10||-2.5|-1||||
421 AThicker|net@0|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
422 AThicker|net@1|||FS3263|pin@8||1.5|0|pin@5||-1.5|2|ART_color()I78
423 AThicker|net@2|||FS337|pin@8||1.5|0|pin@4||-1.5|-2|ART_color()I78
424 AThicker|net@3|||FS0|pin@9||-1.5|-1|pin@10||-2.5|-1|ART_color()I78
425 AThicker|net@4|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
426 Ein[n]||D5G1;|pin@1||I
427 Ein[p]||D5G1;|pin@2||I
428 Eout||D5G1;|pin@3||O
429 X
430
431 # Cell inv2iKp;1{sch}
432 Cinv2iKp;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
433 IredFive:NMOS;1{ic}|NMOS@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
434 Ngeneric:Facet-Center|art@0||0|0||||AV
435 NOff-Page|conn@0||-10.5|-1|||Y|
436 NOff-Page|conn@1||-10.5|1|||Y|
437 NOff-Page|conn@2||15|0||||
438 NGround|gnd@0||4.5|11||-1|Y|
439 IredFive:inv2i;1{ic}|inv2i@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
440 Iinv2iKp;1{ic}|inv2iKp@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
441 Ngeneric:Invisible-Pin|pin@0||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
442 NWire_Pin|pin@1||-4.5|1||||
443 Ngeneric:Invisible-Pin|pin@2||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
444 Ngeneric:Invisible-Pin|pin@3||0|19|||||ART_message(D5G2;)S[two-input inverter with p-side keeper]
445 Ngeneric:Invisible-Pin|pin@4||0|24|||||ART_message(D5G6;)S[inv2iKp]
446 Ngeneric:Invisible-Pin|pin@5||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
447 Ngeneric:Invisible-Pin|pin@6||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
448 NWire_Pin|pin@7||4.5|0||||
449 NWire_Pin|pin@8||-4.5|5.5||||
450 Awire|net@0|||1800|conn@0|y|-8.5|-1|inv2i@0|in[n]|-2.5|-1
451 Awire|net@1|||1800|pin@1||-4.5|1|inv2i@0|in[p]|-2.5|1
452 Awire|net@2|||1800|inv2i@0|out|2.5|0|pin@7||4.5|0
453 Awire|net@3|||2700|pin@7||4.5|0|NMOS@0|s|4.5|3.5
454 Awire|net@4|||2700|NMOS@0|d|4.5|7.5|gnd@0||4.5|9.5
455 Awire|net@5|||1800|pin@8||-4.5|5.5|NMOS@0|g|1.5|5.5
456 Awire|net@6|||2700|pin@1||-4.5|1|pin@8||-4.5|5.5
457 Awire|net@7|||1800|conn@1|y|-8.5|1|pin@1||-4.5|1
458 Awire|net@8|||0|conn@2|a|13|0|pin@7||4.5|0
459 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F0.33
460 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
461 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I1
462 X
463
464 # Cell inv2iKpD;1{ic}
465 Cinv2iKpD;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
466 Ngeneric:Facet-Center|art@0||0|0||||AV
467 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
468 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
469 NThick-Circle|art@3||0|1.5|1|1|||ART_color()I78
470 NPin|pin@0||-2.5|-1||||
471 NPin|pin@1||-1.5|-1|1|1||
472 NPin|pin@2||1.5|0|1|1||
473 NPin|pin@3||-1.5|1|1|1||
474 NPin|pin@4||-2.5|1||||
475 NPin|pin@5||-1.5|2|1|1||
476 NPin|pin@6||-1.5|-2|1|1||
477 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
478 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
479 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
480 Ngeneric:Invisible-Pin|pin@10||0|-0.12|||||ART_message(D5G2;)S[Kp]
481 Ngeneric:Invisible-Pin|pin@11||0|2||||
482 AThicker|net@0|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
483 AThicker|net@1|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
484 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
485 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
486 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
487 Ectl||D5G2;|pin@11||I
488 Ein[n]||D5G1;|pin@9||I
489 Ein[p]||D5G1;|pin@8||I
490 Eout||D5G1;|pin@7||O
491 X
492
493 # Cell inv2iKpD;1{sch}
494 Cinv2iKpD;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-13.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-13.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-13.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-13.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-13.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-13.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-13.5;Y-10;)I-1|prototype_center()I[0,0]
495 IredFive:NMOS;1{ic}|NMOS@0||4.5|5.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
496 Ngeneric:Facet-Center|art@0||0|0||||AV
497 NOff-Page|conn@0||15|0||||
498 NOff-Page|conn@1||-10.5|1|||Y|
499 NOff-Page|conn@2||-10.5|-1|||Y|
500 NOff-Page|conn@3||-4|-6||||
501 NGround|gnd@0||4.5|11||-1|Y|
502 IredFive:inv2iCTLp;1{ic}|inv2iCTL@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
503 Iinv2iKpD;1{ic}|inv2iKpD@0||28|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
504 Ngeneric:Invisible-Pin|pin@0||1|14|||||ART_message(D5G2;)S["set input is P, reset input is N"]
505 NWire_Pin|pin@1||-4.5|5.5||||
506 NWire_Pin|pin@2||4.5|0||||
507 Ngeneric:Invisible-Pin|pin@3||21.5|-8|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
508 Ngeneric:Invisible-Pin|pin@4||0|17|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
509 Ngeneric:Invisible-Pin|pin@5||0|24|||||ART_message(D5G6;)S[inv2iKpD]
510 Ngeneric:Invisible-Pin|pin@6||0|19|||||ART_message(D5G2;)S[degradable two-input inverter with p-side keeper]
511 Ngeneric:Invisible-Pin|pin@7||23.5|5.5|||||SIM_spice_card(D6G1;)S[.ic v(out) 'vlo']
512 NWire_Pin|pin@8||-4.5|1||||
513 Ngeneric:Invisible-Pin|pin@9||24.5|0|||||VERILOG_code(D6G1;)S[initial begin,    force out = 0;, #30000 release out;,end]
514 NWire_Pin|pin@10||0|-6||||
515 Awire|net@0|||2700|pin@2||4.5|0|NMOS@0|s|4.5|3.5
516 Awire|net@1|||2700|NMOS@0|d|4.5|7.5|gnd@0||4.5|9.5
517 Awire|net@2|||1800|pin@1||-4.5|5.5|NMOS@0|g|1.5|5.5
518 Awire|net@3|||1800|pin@8||-4.5|1|inv2iCTL@0|inP|-2.5|1
519 Awire|net@4|||900|inv2iCTL@0|ctl|0|-2|pin@10||0|-6
520 Awire|net@5|||1800|inv2iCTL@0|out|2.5|0|pin@2||4.5|0
521 Awire|net@6|||1800|conn@2|y|-8.5|-1|inv2iCTL@0|inN|-2.5|-1
522 Awire|net@7|||0|conn@0|a|13|0|pin@2||4.5|0
523 Awire|net@8|||1800|conn@1|y|-8.5|1|pin@8||-4.5|1
524 Awire|net@9|||2700|pin@8||-4.5|1|pin@1||-4.5|5.5
525 Awire|net@10|||0|pin@10||0|-6|conn@3|y|-2|-6
526 Ectl||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX1;Y-2;)F1.33
527 Ein[n]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.33
528 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
529 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)D1.67
530 X
531
532 # Cell inv2iLT;2{ic}
533 Cinv2iLT;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
534 Ngeneric:Facet-Center|art@0||0|0||||AV
535 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
536 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
537 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
538 NPin|pin@0||-2.5|-1||||
539 NPin|pin@1||-1.5|-1|1|1||
540 NPin|pin@2||1.5|0|1|1||
541 NPin|pin@3||-1.5|1|1|1||
542 NPin|pin@4||-2.5|1||||
543 NPin|pin@5||-1.5|2|1|1||
544 NPin|pin@6||-1.5|-2|1|1||
545 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
546 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
547 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
548 AThicker|net@0|||FS0|pin@1||-1.5|-1|pin@0||-2.5|-1|ART_color()I78
549 AThicker|net@1|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
550 AThicker|net@2|||FS337|pin@2||1.5|0|pin@6||-1.5|-2|ART_color()I78
551 AThicker|net@3|||FS3263|pin@2||1.5|0|pin@5||-1.5|2|ART_color()I78
552 AThicker|net@4|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
553 Ein[n]||D5G1;|pin@7||I
554 Ein[p]||D5G1;|pin@8||I
555 Eout||D5G1;|pin@9||O
556 X
557
558 # Cell inv2iLT;2{sch}
559 Cinv2iLT;2{sch}||schematic|1021415734000|1159375635930||ATTR_Delay(D5G1;HNPX-11.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-11.5;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-11.5;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-11.5;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-11.5;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-11.5;Y-10.5;)I-1|prototype_center()I[0,0]
560 Ngeneric:Facet-Center|art@0||0|0||||AV
561 NOff-Page|conn@0||-10|-1|||Y|
562 NOff-Page|conn@1||-10|1|||Y|
563 NOff-Page|conn@2||12.5|0||||
564 IredFive:inv2iLT;1{ic}|inv2iLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
565 Iinv2iLT;2{ic}|inv2iLT@1||21|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
566 Ngeneric:Invisible-Pin|pin@0||16|-12.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
567 Ngeneric:Invisible-Pin|pin@1||0.5|11.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 2]
568 Ngeneric:Invisible-Pin|pin@2||-3.5|16|||||ART_message(D5G2;)S[two-input LO-threshold inverter]
569 Ngeneric:Invisible-Pin|pin@3||0.5|18.5|||||ART_message(D5G6;)S[inv2iLT]
570 Awire|net@0|||0|inv2iLT@0|in[n]|-2.5|-1|conn@0|y|-8|-1
571 Awire|net@1|||0|inv2iLT@0|in[p]|-2.5|1|conn@1|y|-8|1
572 Awire|net@2|||0|conn@2|a|10.5|0|inv2iLT@0|out|2.5|0
573 Ein[n]||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F0.67
574 Ein[p]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
575 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
576 X
577
578 # Cell inv2o;1{ic}
579 Cinv2o;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_DelayH(D5G1;HNPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;HNPX2;Y-3.5;)I100|ATTR_X(D5G1.5;HNOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
580 Ngeneric:Facet-Center|art@0||0|0||||AV
581 NOpened-Thicker-Polygon|art@1||-0.5|-1|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
582 NOpened-Thicker-Polygon|art@2||-0.5|1|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
583 NThick-Circle|art@3||1|1|1|1|1200||ART_color()I78|ART_degrees()F[0.0,2.0943952]
584 NThick-Circle|art@4||2|-1|1|1|||ART_color()I78
585 NThick-Circle|art@5||2|1|1|1|||ART_color()I78
586 NPin|pin@0||1.5|-1|1|1||
587 NPin|pin@1||0|0|1|1||
588 NPin|pin@2||-1.5|-3|1|1||
589 NPin|pin@3||1.5|1|1|1||
590 NPin|pin@4||-1.5|3|1|1||
591 NPin|pin@5||0|0|1|1||
592 NPin|pin@6||-2.5|0|1|1||
593 NPin|pin@7||-1.5|0|1|1||
594 Nschematic:Wire_Pin|pin@8||2.5|-1|-0.5|-0.5||
595 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
596 Nschematic:Bus_Pin|pin@10||2.5|1|-2|-2||
597 AThicker|net@0|||FS2700|pin@2||-1.5|-3|pin@4||-1.5|3|ART_color()I78
598 AThicker|net@1|||FS337|pin@0||1.5|-1|pin@2||-1.5|-3|ART_color()I78
599 AThicker|net@2|||FS3263|pin@0||1.5|-1|pin@1||0|0|ART_color()I78
600 AThicker|net@3|||FS337|pin@3||1.5|1|pin@5||0|0|ART_color()I78
601 AThicker|net@4|||FS3263|pin@3||1.5|1|pin@4||-1.5|3|ART_color()I78
602 AThicker|net@5|||FS0|pin@7||-1.5|0|pin@6||-2.5|0|ART_color()I78
603 Ein||D5G1;|pin@9||I
604 Eout[n]||D5G1;|pin@8||O
605 Eout[p]||D5G1;HN|pin@10||O
606 X
607
608 # Cell inv2o;1{sch}
609 Cinv2o;1{sch}||schematic|1021415734000|1197016374252||ATTR_DelayH(D5G1;HNPX-18;Y-5.5;)I100|ATTR_DelayL(D5G1;HNPX-18;Y-6.5;)I100|ATTR_X(D5G1;HNOJPX-18;Y-3.5;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(D5G1;HNPTX-18;Y-4.5;)I-1|prototype_center()I[0,0]
610 Ngeneric:Facet-Center|art@0||0|0||||AV
611 NOff-Page|conn@0||16|-2||||
612 NOff-Page|conn@1||-16|0||||
613 NOff-Page|conn@2||16|2||||
614 Iinv2o;1{ic}|inv2o@0||26.5|19|||D0G4;|ATTR_DelayH(D5G1;NPX2;Y-4.5;)I100|ATTR_DelayL(D5G1;NPX2;Y-3.5;)I100|ATTR_X(D5G1.5;NOJPX2;Y3;)S"LE.subdrive(\"invHT1\", \"X\")"|ATTR_su(P)I-1
615 IinvHT;1{ic}|invHT@0||-2.5|2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayH|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su|ATTR_S(D5G1;ILNRRX1.75;Y-8.5;)SLE.getdrive()
616 IinvLT;1{ic}|invLT@0||5|-2|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@DelayL|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(OJP)S@su
617 Ngeneric:Invisible-Pin|pin@0||6.5|-7.5|||||ART_message(D5G2;)S[simply reports size of HT gate]
618 NWire_Pin|pin@1||-9|-2||||
619 NWire_Pin|pin@2||-9|2||||
620 Ngeneric:Invisible-Pin|pin@3||-3|15|||||ART_message(D5G2;)S[these are width ratios]
621 Ngeneric:Invisible-Pin|pin@4||2.5|12.5|||||ART_message(D5G2;)S[P:N=2:2]
622 Ngeneric:Invisible-Pin|pin@5||-9|12.5|||||ART_message(D5G2;)S[P:N=4:1]
623 NWire_Pin|pin@6||-9|0||||
624 Ngeneric:Invisible-Pin|pin@7||-1|19|||||ART_message(D5G2;)S[with two outputs]
625 Ngeneric:Invisible-Pin|pin@8||-1|21|||||ART_message(D5G2;)S[HI-LO-threshold inverters]
626 Ngeneric:Invisible-Pin|pin@9||0|25.5|||||ART_message(D5G6;)S[inv2o]
627 Awire|net@0|||900|pin@6||-9|0|pin@1||-9|-2
628 Awire|net@1|||1800|pin@1||-9|-2|invLT@0|in|2.5|-2
629 Awire|net@2|||0|conn@0|a|14|-2|invLT@0|out|7.5|-2
630 Awire|net@3|||1800|pin@2||-9|2|invHT@0|in|-5|2
631 Awire|net@4|||900|pin@2||-9|2|pin@6||-9|0
632 Awire|net@5|||0|conn@2|a|14|2|invHT@0|out|0|2
633 Awire|net@6|||0|pin@6||-9|0|conn@1|y|-14|0
634 Ein||D5G2;|conn@1|a|I
635 Eout[n]||D5G2;|conn@0|y|O
636 Eout[p]||D5G2;|conn@2|y|O
637 X
638
639 # Cell invCLK;1{ic}
640 CinvCLK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
641 Ngeneric:Facet-Center|art@0||0|0||||AV
642 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5]
643 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/-0.5,-0.25/-0.5,-0.25/0.5,0.25/0.5]
644 NOpened-Thicker-Polygon|art@3||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
645 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
646 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
647 Nschematic:Bus_Pin|pin@1||-2.5|0|-2|-2||
648 NPin|pin@2||-1.5|-2|1|1||
649 NPin|pin@3||-1.5|2|1|1||
650 NPin|pin@4||-2.5|0||||
651 NPin|pin@5||-1.5|0|1|1||
652 NPin|pin@6||1.5|0|1|1||
653 AThicker|net@0|||FS0|pin@5||-1.5|0|pin@4||-2.5|0|ART_color()I78
654 AThicker|net@1|||FS3263|pin@6||1.5|0|pin@3||-1.5|2|ART_color()I78
655 AThicker|net@2|||FS337|pin@6||1.5|0|pin@2||-1.5|-2|ART_color()I78
656 AThicker|net@3|||FS2700|pin@2||-1.5|-2|pin@3||-1.5|2|ART_color()I78
657 Ein||D5G1;|pin@1||I
658 Eout||D5G1;|pin@0||O
659 X
660
661 # Cell invCLK;1{sch}
662 CinvCLK;1{sch}||schematic|1021415734000|1159375628155||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
663 Ngeneric:Facet-Center|art@0||0|0||||AV
664 NOff-Page|conn@0||9.5|0||||
665 NOff-Page|conn@1||-10|0||||
666 IredFive:invCLK;1{ic}|invCLK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
667 IinvCLK;1{ic}|invCLK@1||24|19|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
668 Ngeneric:Invisible-Pin|pin@0||-2|11.5|||||ART_message(D5G2;)S[should give equal R/F Delay]
669 Ngeneric:Invisible-Pin|pin@1||-1|20.5|||||ART_message(D5G6;)S[inv3to1]
670 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[higher-threshold inverter]
671 Ngeneric:Invisible-Pin|pin@3||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is 1.5x N strength]
672 Ngeneric:Invisible-Pin|pin@4||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 3 to 1]
673 Awire|net@0|||0|invCLK@0|in|-2.5|0|conn@1|y|-8|0
674 Awire|net@1|||1800|invCLK@0|out|2.5|0|conn@0|a|7.5|0
675 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
676 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F1.33
677 X
678
679 # Cell invCTLn;1{ic}
680 CinvCTLn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HNPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;HNPX2;Y-4;)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;HNPX1.75;Y-3;)I175|ATTR_su(D5G1;HNPX2;Y-5;)I-1|prototype_center()I[6000,0]
681 Ngeneric:Facet-Center|art@0||0|0||||AV
682 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
683 NOpened-Thicker-Polygon|art@2||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
684 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
685 NOpened-Thicker-Polygon|art@4||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
686 NPin|pin@0||0|-1|1|1|R|
687 NPin|pin@1||0|-2|||R|
688 Ngeneric:Invisible-Pin|pin@2||0|-2||||
689 Nschematic:Bus_Pin|pin@3||2.5|0|-2|-2||
690 Nschematic:Bus_Pin|pin@4||-2.5|0|-2|-2||
691 NPin|pin@5||-1.5|-2|1|1||
692 NPin|pin@6||-1.5|2|1|1||
693 NPin|pin@7||-2.5|0||||
694 NPin|pin@8||-1.5|0|1|1||
695 NPin|pin@9||1.5|0|1|1||
696 AThicker|net@0|||FS900|pin@0||0|-1|pin@1||0|-2|ART_color()I78
697 AThicker|net@1|||FS3263|pin@9||1.5|0|pin@6||-1.5|2|ART_color()I78
698 AThicker|net@2|||FS337|pin@9||1.5|0|pin@5||-1.5|-2|ART_color()I78
699 AThicker|net@3|||FS2700|pin@5||-1.5|-2|pin@6||-1.5|2|ART_color()I78
700 AThicker|net@4|||FS0|pin@8||-1.5|0|pin@7||-2.5|0|ART_color()I78
701 Ectl||D5G1;|pin@2||I
702 Ein||D5G1;|pin@4||I
703 Eout||D5G1;|pin@3||O
704 X
705
706 # Cell invCTLn;1{sch}
707 CinvCTLn;1{sch}||schematic|1021415734000|1159375665094||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-11;)Sstrong1|ATTR_sloDelay(D5G1;HNPX-12.5;Y-6.25;)I175|ATTR_su(D5G1;HNPTX-12;Y-9;)I-1|prototype_center()I[0,0]
708 Ngeneric:Facet-Center|art@0||0|0||||AV
709 NOff-Page|conn@0||0|-7.5|||R|
710 NOff-Page|conn@1||12.5|0||||
711 NOff-Page|conn@2||-8.5|0||||
712 IredFive:invCTLn;1{ic}|invCTLn@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_sloDelay(D5G1;NOJPX4.5;Y-3;)S@sloDelay
713 IinvCTLn;1{ic}|invCTLn@1||27.5|11.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(D5G1;NPX2;Y-8;)I1|ATTR_LEPARALLGRP(D5G1;NPX2;Y-4;)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;NPX2;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7;)Sstrong1|ATTR_sloDelay(D5G1;NPX1.75;Y-3;)I175|ATTR_su(D5G1;NPX2;Y-5;)I-1
714 Ngeneric:Invisible-Pin|pin@0||-1|24|||||ART_message(D5G6;)S[invCTLn]
715 Ngeneric:Invisible-Pin|pin@1||0|19|||||ART_message(D5G2;)S[current starved inverter]
716 Ngeneric:Invisible-Pin|pin@2||0.5|17|||||ART_message(D5G2;)S[only low-going output transition is affected]
717 Ngeneric:Invisible-Pin|pin@3||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
718 Awire|net@0|||900|invCTLn@0|ctl|0|-2|conn@0|y|0|-5.5
719 Awire|net@1|||0|conn@1|a|10.5|0|invCTLn@0|out|2.5|0
720 Awire|net@2|||1800|conn@2|y|-6.5|0|invCTLn@0|in|-2.5|0
721 Ectl||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
722 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
723 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
724 X
725
726 # Cell invCTLp;1{ic}
727 CinvCTLp;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
728 Ngeneric:Facet-Center|art@0||0|0||||AV
729 NOpened-Thicker-Polygon|art@1||0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
730 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
731 NOpened-Thicker-Polygon|art@3||-1|0|0.5|1|||ART_color()I78|trace()V[0.25/0.5,-0.25/0.5,-0.25/-0.5,0.25/-0.5]
732 NOpened-Thicker-Polygon|art@4||-0.25|0|0.5|1|||ART_color()I78|trace()V[0/-0.5,0/0.5,-0.25/0.5,0.25/0.5]
733 Ngeneric:Invisible-Pin|pin@0||0.5|1.25|||||ART_message(D5G1;)S[p]
734 NPin|pin@1||1.5|0|1|1||
735 NPin|pin@2||-1.5|0|1|1||
736 NPin|pin@3||-2.5|0||||
737 NPin|pin@4||-1.5|2|1|1||
738 NPin|pin@5||-1.5|-2|1|1||
739 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
740 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
741 Ngeneric:Invisible-Pin|pin@8||0|2||||
742 NPin|pin@9||0|1|||R|
743 NPin|pin@10||0|2|1|1|R|
744 AThicker|net@0|||FS0|pin@2||-1.5|0|pin@3||-2.5|0|ART_color()I78
745 AThicker|net@1|||FS2700|pin@5||-1.5|-2|pin@4||-1.5|2|ART_color()I78
746 AThicker|net@2|||FS337|pin@1||1.5|0|pin@5||-1.5|-2|ART_color()I78
747 AThicker|net@3|||FS3263|pin@1||1.5|0|pin@4||-1.5|2|ART_color()I78
748 AThicker|net@4|||FS900|pin@10||0|2|pin@9||0|1|ART_color()I78
749 Ectl||D5G1;|pin@8||I
750 Ein||D5G1;|pin@6||I
751 Eout||D5G1;|pin@7||O
752 X
753
754 # Cell invCTLp;1{sch}
755 CinvCTLp;1{sch}||schematic|1021415734000|1159377383524||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
756 Ngeneric:Facet-Center|art@0||0|0||||AV
757 NOff-Page|conn@0||-8.5|0||||
758 NOff-Page|conn@1||8|0||||
759 NOff-Page|conn@2||0|-7.5|||R|
760 IredFive:invCTLp;1{ic}|invCTLp@0||0|0|||D0G4;|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X
761 IinvCTLp;1{ic}|invCTLp@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
762 Ngeneric:Invisible-Pin|pin@0||21|-8.5|||||ART_message(D5G2;)S[X is drive strength]
763 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[only high-going output transition is affected]
764 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[current starved inverter]
765 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invCTLp]
766 Awire|net@0|||900|invCTLp@0|ctl|0|-2|conn@2|y|0|-5.5
767 Awire|net@1|||0|conn@1|a|6|0|invCTLp@0|out|2.5|0
768 Awire|net@2|||1800|conn@0|y|-6.5|0|invCTLp@0|in|-2.5|0
769 Ectl||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F0.666
770 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.67
771 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)S1.67
772 X
773
774 # Cell invHT;1{ic}
775 CinvHT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
776 Ngeneric:Facet-Center|art@0||0|0||||AV
777 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
778 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
779 NPin|pin@0||1.5|0|1|1||
780 NPin|pin@1||-1.5|0|1|1||
781 NPin|pin@2||-2.5|0||||
782 NPin|pin@3||-1.5|2|1|1||
783 NPin|pin@4||-1.5|-2|1|1||
784 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
785 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
786 AThicker|net@0|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
787 AThicker|net@1|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
788 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
789 AThicker|net@3|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
790 Ein||D5G1;|pin@5||I
791 Eout||D5G1;|pin@6||O
792 X
793
794 # Cell invHT;2{sch}
795 CinvHT;2{sch}||schematic|1021415734000|1159375620196||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|ATTR_verilog_template(D5G1;NTX6.5;Y-13;)Snot ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(in));|prototype_center()I[0,0]
796 Ngeneric:Facet-Center|art@0||0|0||||AV
797 NOff-Page|conn@0||-10|0||||
798 NOff-Page|conn@1||9.5|0||||
799 IredFive:invHT;1{ic}|invHT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
800 IinvHT;1{ic}|invHT@1||15|10|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
801 Ngeneric:Invisible-Pin|pin@0||-2.5|13.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 1]
802 Ngeneric:Invisible-Pin|pin@1||13.5|-10|||||ART_message(D5G2;)S[X is drive strength,P drive strength is twice N strength]
803 Ngeneric:Invisible-Pin|pin@2||-2|15.5|||||ART_message(D5G2;)S[HI-threshold inverter]
804 Ngeneric:Invisible-Pin|pin@3||-1|20.5|||||ART_message(D5G6;)S[invHT]
805 Awire|net@0|||0|invHT@0|in|-2.5|0|conn@0|y|-8|0
806 Awire|net@1|||1800|invHT@0|out|2.5|0|conn@1|a|7.5|0
807 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
808 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY1.5;)F1.67
809 X
810
811 # Cell invK;1{ic}
812 CinvK;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[2000,0]
813 Ngeneric:Facet-Center|art@0||0|0||||AV
814 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
815 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
816 NPin|pin@0||1.5|0|1|1||
817 NPin|pin@1||-1.5|2|1|1||
818 NPin|pin@2||-1.5|-2|1|1||
819 Nschematic:Bus_Pin|pin@3||-2.5|0|-2|-2||
820 NPin|pin@4||2.5|0||||
821 NPin|pin@5||1.5|0|1|1||
822 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
823 AThicker|net@0|||FS2700|pin@2||-1.5|-2|pin@1||-1.5|2|ART_color()I78
824 AThicker|net@1|||FS337|pin@0||1.5|0|pin@2||-1.5|-2|ART_color()I78
825 AThicker|net@2|||FS3263|pin@0||1.5|0|pin@1||-1.5|2|ART_color()I78
826 AThicker|net@3|||FS0|pin@4||2.5|0|pin@5||1.5|0|ART_color()I78
827 Ein||D5G1;|pin@3||I
828 Eout||D5G1;|pin@6||O
829 X
830
831 # Cell invK;1{sch}
832 CinvK;1{sch}||schematic|1021415734000|1159375623973||ATTR_Delay(D5G1;HNPX-14;Y-7.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-14;Y-11.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-14;Y-5.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14;Y-9.5;)Sweak0|ATTR_drive1(D5G1;HNPTX-14;Y-10.5;)Sweak1|ATTR_su(D5G1;HNPTX-14;Y-8.5;)I-1|prototype_center()I[0,0]
833 Ngeneric:Facet-Center|art@0||0|0||||AV
834 NOff-Page|conn@0||-11|0||||
835 NOff-Page|conn@1||8|0||||
836 IredFive:invK;1{ic}|invK@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
837 IinvK;1{ic}|invK@1||18.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sweak0|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
838 Ngeneric:Invisible-Pin|pin@0||24.5|-8.5|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
839 Ngeneric:Invisible-Pin|pin@1||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
840 Ngeneric:Invisible-Pin|pin@2||-1|23.5|||||ART_message(D5G6;)S[invK]
841 Ngeneric:Invisible-Pin|pin@3||-1|18|||||ART_message(D5G2;)S[LO threshold keeper inverter]
842 Awire|net@0|||1800|conn@0|y|-9|0|invK@0|in|-2.5|0
843 Awire|net@1|||0|conn@1|a|6|0|invK@0|out|2.5|0
844 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
845 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
846 X
847
848 # Cell invLT;1{ic}
849 CinvLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
850 Ngeneric:Facet-Center|art@0||0|0||||AV
851 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
852 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
853 NPin|pin@0||1.5|0|1|1||
854 NPin|pin@1||-1.5|0|1|1||
855 NPin|pin@2||-2.5|0||||
856 NPin|pin@3||-1.5|2|1|1||
857 NPin|pin@4||-1.5|-2|1|1||
858 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
859 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
860 AThicker|net@0|||FS0|pin@1||-1.5|0|pin@2||-2.5|0|ART_color()I78
861 AThicker|net@1|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
862 AThicker|net@2|||FS337|pin@0||1.5|0|pin@4||-1.5|-2|ART_color()I78
863 AThicker|net@3|||FS3263|pin@0||1.5|0|pin@3||-1.5|2|ART_color()I78
864 Ein||D5G1;|pin@5||I
865 Eout||D5G1;|pin@6||O
866 X
867
868 # Cell invLT;2{sch}
869 CinvLT;2{sch}||schematic|1021415734000|1159375615839||ATTR_Delay(D5G1;HNPX-12;Y-5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6;)I-1|ATTR_X(D5G1;HNOJPX-12;Y-4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-9;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-7;)I-1|prototype_center()I[0,0]
870 Ngeneric:Facet-Center|art@0||0|0||||AV
871 NOff-Page|conn@0||-8.5|0||||
872 NOff-Page|conn@1||8|0||||
873 IredFive:invLT;1{ic}|invLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
874 IinvLT;1{ic}|invLT@1||16|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX1.5;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
875 Ngeneric:Invisible-Pin|pin@0||18.5|-10.5|||||ART_message(D5G2;)S[X is drive strength,N drive strength is twice P strength]
876 Ngeneric:Invisible-Pin|pin@1||0.5|17|||||ART_message(D5G2;)S[This is a 2 to 2 width ratio inverter]
877 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S[LO-threshold inverter]
878 Ngeneric:Invisible-Pin|pin@3||-1|24|||||ART_message(D5G6;)S[invLT]
879 Awire|net@0|||1800|conn@0|y|-6.5|0|invLT@0|in|-2.5|0
880 Awire|net@1|||0|conn@1|a|6|0|invLT@0|out|2.5|0
881 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-0.5;Y-1.5;)F1.33
882 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
883 X
884
885 # Cell inv_passgate;1{ic}
886 Cinv_passgate;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
887 Ngeneric:Facet-Center|art@0||0|0||||AV
888 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
889 NPin|pin@0||-1.5|-2|1|1||
890 NPin|pin@1||-1.5|2|1|1||
891 Nschematic:Bus_Pin|pin@2||5.5|0|-2|-2||
892 NPin|pin@3||-2.5|0||||
893 NPin|pin@4||-1.5|0|1|1||
894 Nschematic:Bus_Pin|pin@5||-2.5|0|-2|-2||
895 NPin|pin@6||1.5|0|1|1||
896 Ngeneric:Invisible-Pin|pin@7||4|2||||
897 NPin|pin@8||3.25|0|1|1||
898 NPin|pin@9||2.5|0||||
899 NPin|pin@10||3.25|1|0.5|0.5||
900 NPin|pin@11||4.75|1|0.5|0.5||
901 NPin|pin@12||4.75|0|0.5|0.5||
902 NPin|pin@13||5.5|0|0.5|0.5||
903 NPin|pin@14||4.75|1.25|1|1||
904 NPin|pin@15||3.25|1.25||||
905 NPin|pin@16||4|1.25|0.5|0.5||
906 NPin|pin@17||4|2|0.5|0.5||
907 AThicker|net@0|||FS2700|pin@0||-1.5|-2|pin@1||-1.5|2|ART_color()I78
908 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@3||-2.5|0|ART_color()I78
909 AThicker|net@2|||FS337|pin@6||1.5|0|pin@0||-1.5|-2|ART_color()I78
910 AThicker|net@3|||FS3263|pin@6||1.5|0|pin@1||-1.5|2|ART_color()I78
911 AThicker|net@4|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
912 AThicker|net@5|||FS0|pin@8||3.25|0|pin@9||2.5|0|ART_color()I78
913 AThicker|net@6|||FS900|pin@10||3.25|1|pin@8||3.25|0|ART_color()I78
914 AThicker|net@7|||FS0|pin@11||4.75|1|pin@10||3.25|1|ART_color()I78
915 AThicker|net@8|||FS2700|pin@12||4.75|0|pin@11||4.75|1|ART_color()I78
916 AThicker|net@9|||FS0|pin@13||5.5|0|pin@12||4.75|0|ART_color()I78
917 AThicker|net@10|||FS0|pin@16||4|1.25|pin@15||3.25|1.25|ART_color()I78
918 AThicker|net@11|||FS0|pin@14||4.75|1.25|pin@16||4|1.25|ART_color()I78
919 AThicker|net@12|||FS900|pin@17||4|2|pin@16||4|1.25|ART_color()I78
920 Een||D5G2;|pin@7||I
921 Ein||D5G1;|pin@5||I
922 Eout||D5G1;|pin@2||O
923 X
924
925 # Cell inv_passgate;1{sch}
926 Cinv_passgate;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
927 IredFive:NMOS;1{ic}|NMOS@1||10|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_X(D5G1.5;NOJPX-0.5;Y2.5;)S@X*2.0
928 Ngeneric:Facet-Center|art@0||0|0||||AV
929 NOff-Page|conn@0||-14|0||||
930 NOff-Page|conn@1||18|0||||
931 NOff-Page|conn@2||4|7||||
932 IredFive:invLT;1{ic}|invLT@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
933 Iinv_passgate;1{ic}|inv_pass@0||20|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-0.5;Y3;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
934 Ngeneric:Invisible-Pin|pin@0||-1.5|20|||||ART_message(D5G6;)S[inv_passgate]
935 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter inverter]
936 Ngeneric:Invisible-Pin|pin@2||11|-12|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
937 Ngeneric:Invisible-Pin|pin@3||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
938 NWire_Pin|pin@4||10|7||||
939 Awire|net@0|||0|NMOS@1|s|8|0|invLT@0|out|3|0
940 Awire|net@1|||0|conn@1|a|16|0|NMOS@1|d|12|0
941 Awire|net@2|||2700|NMOS@1|g|10|3|pin@4||10|7
942 Awire|net@3|||1800|conn@0|y|-12|0|invLT@0|in|-2|0
943 Awire|net@4|||0|pin@4||10|7|conn@2|y|6|7
944 Een||D4G2;|conn@2|a|I|ATTR_le(D5G1;NY-1;)F0.67
945 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)F1.33
946 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;N)D1.33
947 X
948
949 # Cell mullerC;1{ic}
950 CmullerC;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
951 Ngeneric:Facet-Center|art@0||0|0||||AV
952 NOpened-Thicker-Polygon|art@1||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
953 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
954 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
955 NPin|pin@0||-1.5|1|1|1||
956 NPin|pin@1||-2.5|1||||
957 NPin|pin@2||-0.5|-2|1|1||
958 NPin|pin@3||-1.5|-2|1|1||
959 NPin|pin@4||-1.5|2|1|1||
960 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
961 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
962 NPin|pin@7||-0.5|2|1|1||
963 NPin|pin@8||-2.5|-1||||
964 NPin|pin@9||-1.5|-1|1|1||
965 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
966 NPin|pin@11||-1.5|-0.75|1|1||
967 NPin|pin@12||-0.25|-2|1|1||
968 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
969 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
970 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
971 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
972 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
973 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
974 Eina||D5G1;|pin@10||I
975 Einb||D5G1;|pin@6||I
976 Eout||D5G1;|pin@5||O
977 X
978
979 # Cell mullerC;1{sch}
980 CmullerC;1{sch}||schematic|1021415734000|1159375649419||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
981 Ngeneric:Facet-Center|art@0||0|0||||AV
982 NOff-Page|conn@0||10|0|||Y|
983 NOff-Page|conn@1||-14.5|2.5||||
984 NOff-Page|conn@2||-14.5|-2.5||||
985 IredFive:mullerC;1{ic}|mullerC@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
986 ImullerC;1{ic}|mullerC@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
987 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[mullerC]
988 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter muller C-element]
989 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
990 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
991 NWire_Pin|pin@4||-7|-2.5||||
992 NWire_Pin|pin@5||-7|-1||||
993 NWire_Pin|pin@6||-7|1||||
994 NWire_Pin|pin@7||-7|2.5||||
995 Awire|net@0|||0|mullerC@0|ina|-2.5|-1|pin@5||-7|-1
996 Awire|net@1|||1800|mullerC@0|out|2.5|0|conn@0|a|8|0
997 Awire|net@2|||1800|pin@6||-7|1|mullerC@0|inb|-2.5|1
998 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
999 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
1000 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
1001 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
1002 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
1003 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1004 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-1;Y-2.5;)I2
1005 X
1006
1007 # Cell mullerC_sy;1{ic}
1008 CmullerC_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1009 Ngeneric:Facet-Center|art@0||0|0||||AV
1010 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1011 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1012 NOpened-Thicker-Polygon|art@3||0|0|0.75|1.25|||ART_color()I78|trace()V[0.375/-0.625,-0.375/-0.625,-0.375/0.625,0.375/0.625]
1013 NPin|pin@0||-0.25|-2|1|1||
1014 NPin|pin@1||-1.5|-0.75|1|1||
1015 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1016 NPin|pin@3||-1.5|-1|1|1||
1017 NPin|pin@4||-2.5|-1||||
1018 NPin|pin@5||-0.5|2|1|1||
1019 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1020 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1021 NPin|pin@8||-1.5|2|1|1||
1022 NPin|pin@9||-1.5|-2|1|1||
1023 NPin|pin@10||-0.5|-2|1|1||
1024 NPin|pin@11||-2.5|1||||
1025 NPin|pin@12||-1.5|1|1|1||
1026 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1027 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1028 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1029 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1030 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1031 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1032 Eina||D5G1;|pin@2||I
1033 Einb||D5G1;|pin@6||I
1034 Eout||D5G1;|pin@7||O
1035 X
1036
1037 # Cell mullerC_sy;1{sch}
1038 CmullerC_sy;1{sch}||schematic|1021415734000|1159375644961||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1039 Ngeneric:Facet-Center|art@0||0|0||||AV
1040 NOff-Page|conn@0||-14.5|-2.5||||
1041 NOff-Page|conn@1||-14.5|2.5||||
1042 NOff-Page|conn@2||10|0|||Y|
1043 IredFive:mullerC_sy;1{ic}|mullerC_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1044 ImullerC_sy;1{ic}|mullerC_@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1045 NWire_Pin|pin@0||-7|2.5||||
1046 NWire_Pin|pin@1||-7|1||||
1047 NWire_Pin|pin@2||-7|-1||||
1048 NWire_Pin|pin@3||-7|-2.5||||
1049 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up and pull-down have the same strength]
1050 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1051 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter symmetric muller C-element]
1052 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[mullerC_sy]
1053 Awire|net@0|||0|mullerC_@0|ina|-2.5|-1|pin@2||-7|-1
1054 Awire|net@1|||1800|mullerC_@0|out|2.5|0|conn@2|a|8|0
1055 Awire|net@2|||1800|pin@1||-7|1|mullerC_@0|inb|-2.5|1
1056 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1057 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1058 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1059 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1060 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I2
1061 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1062 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)I2
1063 X
1064
1065 # Cell mux1;1{ic}
1066 Cmux1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-3.75;)I100|ATTR_M(D5G1;HNPX3;Y-2.75;)I1|ATTR_S(D5G1.5;HNOJPX2.5;Y1.75;)SLE.getdrive()|ATTR_su(D5G1;HNPX2.75;Y-6.5;)I-1|prototype_center()I[0,0]
1067 Ngeneric:Facet-Center|art@0||0|0||||AV
1068 NThick-Circle|art@1||0|2|1|1|||ART_color()I78
1069 NThick-Circle|art@2||1.5|0|1|1|||ART_color()I78
1070 Nschematic:Bus_Pin|pin@0||-2|0|-2|-2||
1071 NPin|pin@1||-1|0|1|1||
1072 NPin|pin@2||-2|0|1|1||
1073 NPin|pin@3||0|3|1|1|RRR|
1074 NPin|pin@4||0|2.5|1|1|RRR|
1075 NPin|pin@5||1|1|1|1||
1076 NPin|pin@6||0|-1.5|1|1|RRR|
1077 NPin|pin@7||0|-3|1|1|RRR|
1078 Nschematic:Bus_Pin|pin@8||0|-3|-2|-2||
1079 NPin|pin@9||1|-1|1|1||
1080 Nschematic:Bus_Pin|pin@10||0|3|-2|-2||
1081 Nschematic:Bus_Pin|pin@11||2|0|-2|-2||
1082 NPin|pin@12||-1|2|1|1||
1083 NPin|pin@13||-1|-2|1|1||
1084 AThicker|net@0|||FS0|pin@1||-1|0|pin@2||-2|0|ART_color()I78
1085 AThicker|net@1|||FS2700|pin@4||0|2.5|pin@3||0|3|ART_color()I78
1086 AThicker|net@2|||FS2700|pin@9||1|-1|pin@5||1|1|ART_color()I78
1087 AThicker|net@3|||FS3334|pin@5||1|1|pin@12||-1|2|ART_color()I78
1088 AThicker|net@4|||FS2700|pin@7||0|-3|pin@6||0|-1.5|ART_color()I78
1089 AThicker|net@5|||FS266|pin@9||1|-1|pin@13||-1|-2|ART_color()I78
1090 AThicker|net@6|||FS2700|pin@13||-1|-2|pin@12||-1|2|ART_color()I78
1091 Ec[n]||D5G1;|pin@8||I
1092 Ec[p]||D5G1;|pin@10||I
1093 Ein||D5G1;|pin@0||I
1094 Eout||D5G1;|pin@11||O
1095 X
1096
1097 # Cell mux1;1{sch}
1098 Cmux1;1{sch}||schematic|1021415734000|1159378523994||ATTR_Delay(D5G1;HNPX-15;Y-3.5;)I100|ATTR_M(D5G1;HNPX-15;Y-2.5;)I1|ATTR_S(D5G1;HNOJPX-15;Y-1.5;)SLE.getdrive()|ATTR_su(D5G1;HNPX-15;Y-4.5;)I-1|prototype_center()I[0,0]
1099 Ngeneric:Facet-Center|art@0||0|0||||AV
1100 NOff-Page|conn@0||-10.5|1|||Y|
1101 NOff-Page|conn@1||9.5|-3|||YRR|
1102 NOff-Page|conn@2||9.5|5|||YRR|
1103 NOff-Page|conn@3||16.5|1||||
1104 Imux1;1{ic}|mux1@0||10|16.5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3.75;)I100|ATTR_M(D5G1;NPX3;Y-2.75;)I1|ATTR_S(D5G1.5;NPX2.5;Y1.75;)I1|ATTR_su(D5G1;NPX2.75;Y-6.5;)I-1
1105 Inms2;1{ic}|nms2@0||2|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
1106 NWire_Pin|pin@0||-6|1||||
1107 NWire_Pin|pin@1||-6|9||||
1108 NWire_Pin|pin@2||-6|-7||||
1109 Ngeneric:Invisible-Pin|pin@3||-6.5|16|||||ART_message(D5G2;)S[single multiplexer point]
1110 Ngeneric:Invisible-Pin|pin@4||-6.5|21|||||ART_message(D5G6;)S[mux1]
1111 NWire_Pin|pin@5||2|1||||
1112 Ipms2;1{ic}|pms2@0||2|9|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1|ATTR_M(D5G1;NOJTX2;)S@M
1113 Awire|net@0|||0|pin@0||-6|1|conn@0|y|-8.5|1
1114 Awire|net@1|||900|pin@1||-6|9|pin@0||-6|1
1115 Awire|net@2|||900|pin@0||-6|1|pin@2||-6|-7
1116 Awire|net@3|||0|pms2@0|g|-1|9|pin@1||-6|9
1117 Awire|net@4|||1800|pin@2||-6|-7|nms2@0|g|-1|-7
1118 Awire|net@5|||1800|nms2@0|g2|5|-3|conn@1|y|7.5|-3
1119 Awire|net@6|||1800|pms2@0|g2|5|5|conn@2|y|7.5|5
1120 Awire|net@7|||900|pms2@0|d|2|3|pin@5||2|1
1121 Awire|net@8|||2700|nms2@0|d|2|-1|pin@5||2|1
1122 Awire|net@9|||0|conn@3|a|14.5|1|pin@5||2|1
1123 Ec[n]||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.667
1124 Ec[p]||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.333
1125 Ein||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1126 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY-2;)I2
1127 X
1128
1129 # Cell mux21_tri;1{ic}
1130 Cmux21_tri;1{ic}||artwork|1092163151000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-4.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
1131 Ngeneric:Facet-Center|art@0||0|0||||AV
1132 NThick-Circle|art@3||2.5|0|1|1|||ART_color()I78
1133 Nschematic:Bus_Pin|pin@0||-2|2||||
1134 Nschematic:Bus_Pin|pin@2||-2|-2||||
1135 Nschematic:Bus_Pin|pin@4||3|0||||
1136 Nschematic:Bus_Pin|pin@6||0.5|4.5||||
1137 Ngeneric:Invisible-Pin|pin@14||0|2|||||ART_message(D5G1;)S0
1138 Ngeneric:Invisible-Pin|pin@15||0|-2|||||ART_message(D5G1;)S1
1139 NPin|pin@28||-1|-4|1|1||
1140 NPin|pin@29||-1|4|1|1||
1141 NPin|pin@30||2|-2.5|1|1||
1142 NPin|pin@31||2|2.5|1|1||
1143 NPin|pin@32||-1|-4|1|1||
1144 NPin|pin@33||2|-2.5|1|1||
1145 NPin|pin@34||2|2.5|1|1||
1146 NPin|pin@35||-1|4|1|1||
1147 NPin|pin@36||-1|2|1|1||
1148 NPin|pin@37||-2|2||||
1149 NPin|pin@38||-1|-2|1|1||
1150 NPin|pin@39||-2|-2||||
1151 NPin|pin@40||0.5|4.5|1|1||
1152 NPin|pin@41||0.5|3.25||||
1153 AThicker|net@11|||FS2700|pin@28||-1|-4|pin@29||-1|4|ART_color()I78
1154 AThicker|net@12|||FS2700|pin@30||2|-2.5|pin@31||2|2.5|ART_color()I78
1155 AThicker|net@13|||FS2066|pin@32||-1|-4|pin@33||2|-2.5|ART_color()I78
1156 AThicker|net@14|||FS3334|pin@34||2|2.5|pin@35||-1|4|ART_color()I78
1157 AThicker|net@15|||FS0|pin@36||-1|2|pin@37||-2|2|ART_color()I78
1158 AThicker|net@16|||FS0|pin@38||-1|-2|pin@39||-2|-2|ART_color()I78
1159 AThicker|net@17|||FS900|pin@40||0.5|4.5|pin@41||0.5|3.25|ART_color()I78
1160 Ein0||D5G2;|pin@0||I
1161 Ein1||D5G2;|pin@2||I
1162 Eout||D5G2;|pin@4||O
1163 Esel||D5G2;|pin@6||I
1164 X
1165
1166 # Cell mux21_tri;1{sch}
1167 Cmux21_tri;1{sch}||schematic|1092161401000|1159376025241||ATTR_Delay(D5G1;HNPX-5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-5;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-5;Y-9;)Sstrong0|ATTR_drive1(D5G1;HNPTX-5;Y-10;)Sstrong1|ATTR_su(D5G1;HNPTX-5;Y-11;)I-1|prototype_center()I[0,0]
1168 Ngeneric:Facet-Center|art@0||0|0||||AV
1169 NOff-Page|conn@0||-12|2||||
1170 NOff-Page|conn@1||-12|-2||||
1171 NOff-Page|conn@2||14|0||||
1172 NOff-Page|conn@3||-12|7||||
1173 IredFive:mux21_tri;1{ic}|mux21_tr@0||0|0|||D5G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-4.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
1174 Imux21_tri;1{ic}|mux21_tr@1||19|16|||D5G4;|ATTR_Delay(D5G1;NPX3.5;Y-4.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1175 NWire_Pin|pin@0||0.5|7||||
1176 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G5;)Smux21_tri
1177 Ngeneric:Invisible-Pin|pin@2||0|11|||||ART_message(D5G2;)Sa slow one-parameter 2:1 mux made of tristates
1178 Awire|net@0|||1800|conn@3|y|-10|7|pin@0||0.5|7
1179 Awire|net@1|||900|pin@0||0.5|7|mux21_tr@0|sel|0.5|4.5
1180 Awire|net@2|||1800|conn@0|y|-10|2|mux21_tr@0|in0|-2|2
1181 Awire|net@3|||1800|conn@1|y|-10|-2|mux21_tr@0|in1|-2|-2
1182 Awire|net@4|||1800|mux21_tr@0|out|3|0|conn@2|a|12|0
1183 Ein0||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I2
1184 Ein1||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I2
1185 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I4
1186 Esel||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-2;)F2.5
1187 X
1188
1189 # Cell nand2;1{ic}
1190 Cnand2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1191 Ngeneric:Facet-Center|art@0||0|0||||AV
1192 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1193 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1194 NPin|pin@0||-0.25|-2|1|1||
1195 NPin|pin@1||-1.5|-0.75|1|1||
1196 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1197 NPin|pin@3||-1.5|-1|1|1||
1198 NPin|pin@4||-2.5|-1||||
1199 NPin|pin@5||-0.5|2|1|1||
1200 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1201 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1202 NPin|pin@8||-1.5|2|1|1||
1203 NPin|pin@9||-1.5|-2|1|1||
1204 NPin|pin@10||-0.5|-2|1|1||
1205 NPin|pin@11||-2.5|1||||
1206 NPin|pin@12||-1.5|1|1|1||
1207 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1208 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1209 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1210 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1211 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1212 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1213 Eina||D5G1;|pin@2||I
1214 Einb||D5G1;|pin@6||I
1215 Eout||D5G1;|pin@7||O
1216 X
1217
1218 # Cell nand2;1{sch}
1219 Cnand2;1{sch}||schematic|1021415734000|1159375675453||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1220 Ngeneric:Facet-Center|art@0||0|0||||AV
1221 NOff-Page|conn@0||-14.5|-2.5||||
1222 NOff-Page|conn@1||-14.5|2.5||||
1223 NOff-Page|conn@2||10|0|||Y|
1224 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
1225 Inand2;1{ic}|nand2@1||20.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1226 NWire_Pin|pin@0||-7|2.5||||
1227 NWire_Pin|pin@1||-7|1||||
1228 NWire_Pin|pin@2||-7|-1||||
1229 NWire_Pin|pin@3||-7|-2.5||||
1230 Ngeneric:Invisible-Pin|pin@4||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1231 Ngeneric:Invisible-Pin|pin@5||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
1232 Ngeneric:Invisible-Pin|pin@6||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
1233 Ngeneric:Invisible-Pin|pin@7||-3.5|20.5|||||ART_message(D5G6;)S[nand2]
1234 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@2||-7|-1
1235 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@2|a|8|0
1236 Awire|net@2|||1800|pin@1||-7|1|nand2@0|inb|-2.5|1
1237 Awire|net@3|||0|pin@0||-7|2.5|conn@1|y|-12.5|2.5
1238 Awire|net@4|||900|pin@0||-7|2.5|pin@1||-7|1
1239 Awire|net@5|||900|pin@2||-7|-1|pin@3||-7|-2.5
1240 Awire|net@6|||0|pin@3||-7|-2.5|conn@0|y|-12.5|-2.5
1241 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1242 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
1243 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)I2
1244 X
1245
1246 # Cell nand2HLT_sy;1{ic}
1247 Cnand2HLT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1248 Ngeneric:Facet-Center|art@0||0|0||||AV
1249 NOpened-Thicker-Polygon|art@1||-0.5|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1250 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
1251 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1252 NOpened-Thicker-Polygon|art@4||0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1253 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1254 Nschematic:Bus_Pin|pin@1||-2.5|1|-2|-2||
1255 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1256 NPin|pin@3||-1.5|1|1|1||
1257 NPin|pin@4||-2.5|1||||
1258 NPin|pin@5||-0.5|-2|1|1||
1259 NPin|pin@6||-1.5|-2|1|1||
1260 NPin|pin@7||-1.5|2|1|1||
1261 NPin|pin@8||-0.5|2|1|1||
1262 NPin|pin@9||-2.5|-1||||
1263 NPin|pin@10||-1.5|-1|1|1||
1264 AThicker|net@0|||FS0|pin@5||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1265 AThicker|net@1|||FS0|pin@3||-1.5|1|pin@4||-2.5|1|ART_color()I78
1266 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
1267 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@7||-1.5|2|ART_color()I78
1268 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
1269 Eina||D5G1;|pin@2||I
1270 Einb||D5G1;|pin@1||I
1271 Eout||D5G1;|pin@0||O
1272 X
1273
1274 # Cell nand2HLT_sy;1{sch}
1275 Cnand2HLT_sy;1{sch}||schematic|1021415734000|1159375725680||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1276 Ngeneric:Facet-Center|art@0||0|0||||AV
1277 NOff-Page|conn@0||-15|-2.5|||Y|
1278 NOff-Page|conn@1||9|0|||Y|
1279 NOff-Page|conn@2||-14.75|2.5||||
1280 IredFive:nand2HLT_sy;1{ic}|nand2HLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1281 Inand2HLT_sy;1{ic}|nand2HLT@1||25.75|17.25|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1282 Ngeneric:Invisible-Pin|pin@0||-2|25|||||ART_message(D5G6;)S[nand2HLT_sy]
1283 Ngeneric:Invisible-Pin|pin@1||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1284 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1.5 to 2]
1285 Ngeneric:Invisible-Pin|pin@3||17|-11.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1286 Ngeneric:Invisible-Pin|pin@4||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1287 NWire_Pin|pin@5||-7.5|-2.5||||
1288 NWire_Pin|pin@6||-7.5|-1||||
1289 NWire_Pin|pin@7||-7.5|2.5||||
1290 NWire_Pin|pin@8||-7.5|1||||
1291 Awire|net@0|||0|nand2HLT@0|ina|-2.5|-1|pin@6||-7.5|-1
1292 Awire|net@1|||1800|nand2HLT@0|out|2.5|0|conn@1|a|7|0
1293 Awire|net@2|||0|nand2HLT@0|inb|-2.5|1|pin@8||-7.5|1
1294 Awire|net@3|||0|pin@7||-7.5|2.5|conn@2|y|-12.75|2.5
1295 Awire|net@4|||1800|conn@0|y|-13|-2.5|pin@5||-7.5|-2.5
1296 Awire|net@5|||900|pin@6||-7.5|-1|pin@5||-7.5|-2.5
1297 Awire|net@6|||2700|pin@8||-7.5|1|pin@7||-7.5|2.5
1298 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.166
1299 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F1.166
1300 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.67
1301 X
1302
1303 # Cell nand2HT;1{ic}
1304 Cnand2HT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1305 Ngeneric:Facet-Center|art@0||0|0||||AV
1306 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
1307 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1308 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1309 NPin|pin@0||-1.5|1|1|1||
1310 NPin|pin@1||-2.5|1||||
1311 NPin|pin@2||-0.5|-2|1|1||
1312 NPin|pin@3||-1.5|-2|1|1||
1313 NPin|pin@4||-1.5|2|1|1||
1314 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
1315 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1316 NPin|pin@7||-0.5|2|1|1||
1317 NPin|pin@8||-2.5|-1||||
1318 NPin|pin@9||-1.5|-1|1|1||
1319 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1320 NPin|pin@11||-1.5|-0.75|1|1||
1321 NPin|pin@12||-0.25|-2|1|1||
1322 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
1323 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
1324 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
1325 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
1326 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
1327 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
1328 Eina||D5G1;|pin@10||I
1329 Einb||D5G1;|pin@6||I
1330 Eout||D5G1;|pin@5||O
1331 X
1332
1333 # Cell nand2HT;1{sch}
1334 Cnand2HT;1{sch}||schematic|1021415734000|1159375717292||ATTR_Delay(D5G1;HNPX-16.5;Y-7;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNOJPX-21;Y-6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
1335 Ngeneric:Facet-Center|art@0||0|0||||AV
1336 NOff-Page|conn@0||13|0|||Y|
1337 NOff-Page|conn@1||-12|3||||
1338 NOff-Page|conn@2||-12|-3||||
1339 IredFive:nand2HT;1{ic}|nand2HT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1340 Inand2HT;1{ic}|nand2HT@1||25.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1341 NWire_Pin|pin@0||-6|3||||
1342 NWire_Pin|pin@1||-6|1||||
1343 NWire_Pin|pin@2||-6|-1||||
1344 NWire_Pin|pin@3||-6|-3||||
1345 Ngeneric:Invisible-Pin|pin@4||0.5|25|||||ART_message(D5G6;)S[nand2HT]
1346 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter high-threshold NAND]
1347 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2]
1348 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1349 Awire|net@0|||0|nand2HT@0|ina|-2.5|-1|pin@2||-6|-1
1350 Awire|net@1|||1800|nand2HT@0|out|2.5|0|conn@0|a|11|0
1351 Awire|net@2|||1800|pin@1||-6|1|nand2HT@0|inb|-2.5|1
1352 Awire|net@3|||1800|conn@1|y|-10|3|pin@0||-6|3
1353 Awire|net@4|||900|pin@0||-6|3|pin@1||-6|1
1354 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-3
1355 Awire|net@6|||0|pin@3||-6|-3|conn@2|y|-10|-3
1356 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)I2
1357 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1358 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F3.33
1359 X
1360
1361 # Cell nand2HTen;1{ic}
1362 Cnand2HTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1363 Ngeneric:Facet-Center|art@0||0|0||||AV
1364 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/0.5,0.25/-0.5]
1365 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1366 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1367 NPin|pin@0||-0.25|-2|1|1||
1368 NPin|pin@1||-1.5|-0.75|1|1||
1369 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1370 NPin|pin@3||-1.5|-1|1|1||
1371 NPin|pin@4||-2.5|-1||||
1372 NPin|pin@5||-0.5|2|1|1||
1373 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
1374 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
1375 NPin|pin@8||-1.5|2|1|1||
1376 NPin|pin@9||-1.5|-2|1|1||
1377 NPin|pin@10||-0.5|-2|1|1||
1378 NPin|pin@11||-2.5|1||||
1379 NPin|pin@12||-1.5|1|1|1||
1380 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1381 AThicker|net@0|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1382 AThicker|net@1|||FS0|pin@3||-1.5|-1|pin@4||-2.5|-1|ART_color()I78
1383 AThicker|net@2|||FS0|pin@5||-0.5|2|pin@8||-1.5|2|ART_color()I78
1384 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1385 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1386 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1387 Eina||D5G1;|pin@2||I
1388 Einb||D5G1;|pin@6||I
1389 Eout||D5G1;|pin@7||O
1390 X
1391
1392 # Cell nand2HTen;1{sch}
1393 Cnand2HTen;1{sch}||schematic|1021415734000|1159375721547||ATTR_Delay(D5G1;HNPX-16.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
1394 Ngeneric:Facet-Center|art@0||0|0||||AV
1395 NOff-Page|conn@0||-14.5|-2.5||||
1396 NOff-Page|conn@1||-14.5|2.5||||
1397 NOff-Page|conn@2||15|0||||
1398 IredFive:nand2HTen;1{ic}|nand2HTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1399 Inand2HTen;1{ic}|nand2HTe@1||30|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1400 NWire_Pin|pin@0||-6|2.5||||
1401 NWire_Pin|pin@1||-6|1||||
1402 NWire_Pin|pin@2||-6|-1||||
1403 NWire_Pin|pin@3||-6|-2.5||||
1404 Ngeneric:Invisible-Pin|pin@4||28.5|-11|||||ART_message(D5G2;)S[X is drive strength,Pull-up has twice the strength,of the pull-down]
1405 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 4 to 2 (4/10 for enable)]
1406 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
1407 Ngeneric:Invisible-Pin|pin@7||0.5|25|||||ART_message(D5G6;)S[nand2HTen]
1408 Awire|net@0|||0|nand2HTe@0|ina|-2.5|-1|pin@2||-6|-1
1409 Awire|net@1|||1800|nand2HTe@0|out|2.5|0|conn@2|a|13|0
1410 Awire|net@2|||1800|pin@1||-6|1|nand2HTe@0|inb|-2.5|1
1411 Awire|net@3|||1800|conn@1|y|-12.5|2.5|pin@0||-6|2.5
1412 Awire|net@4|||900|pin@0||-6|2.5|pin@1||-6|1
1413 Awire|net@5|||900|pin@2||-6|-1|pin@3||-6|-2.5
1414 Awire|net@6|||0|pin@3||-6|-2.5|conn@0|y|-12.5|-2.5
1415 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.8
1416 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I2
1417 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)I2
1418 X
1419
1420 # Cell nand2LT;1{ic}
1421 Cnand2LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1422 Ngeneric:Facet-Center|art@0||0|0||||AV
1423 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1424 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1425 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1426 NPin|pin@0||-0.25|-2|1|1||
1427 NPin|pin@1||-1.5|-0.75|1|1||
1428 NPin|pin@2||-1.5|-1|1|1||
1429 NPin|pin@3||-2.5|-1||||
1430 NPin|pin@4||-0.5|2|1|1||
1431 NPin|pin@5||-1.5|2|1|1||
1432 NPin|pin@6||-1.5|-2|1|1||
1433 NPin|pin@7||-0.5|-2|1|1||
1434 NPin|pin@8||-2.5|1||||
1435 NPin|pin@9||-1.5|1|1|1||
1436 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1437 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
1438 Nschematic:Bus_Pin|pin@12||-2.5|-1|-2|-2||
1439 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
1440 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1441 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
1442 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1443 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
1444 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1445 Eina||D5G1;|pin@12||I
1446 Einb||D5G1;|pin@11||I
1447 Eout||D5G1;|pin@10||O
1448 X
1449
1450 # Cell nand2LT;1{sch}
1451 Cnand2LT;1{sch}||schematic|1021415734000|1159375693945||ATTR_Delay(D5G1;HNPX-17;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y-10.5;)I-1|prototype_center()I[0,0]
1452 Ngeneric:Facet-Center|art@0||0|0||||AV
1453 NOff-Page|conn@0||-14|-2.5||||
1454 NOff-Page|conn@1||-14|2.5||||
1455 NOff-Page|conn@2||14|0||||
1456 IredFive:nand2LT;1{ic}|nand2LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1457 Inand2LT;1{ic}|nand2LT@1||25|10.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1458 NWire_Pin|pin@0||-6.5|-2.5||||
1459 NWire_Pin|pin@1||-6.5|-1||||
1460 NWire_Pin|pin@2||-6.5|1||||
1461 NWire_Pin|pin@3||-6.5|2.5||||
1462 Ngeneric:Invisible-Pin|pin@4||-1|16|||||ART_message(D5G2;)S[Sized assuming both inputs go low together]
1463 Ngeneric:Invisible-Pin|pin@5||22|-13|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1464 Ngeneric:Invisible-Pin|pin@6||-1.5|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1465 Ngeneric:Invisible-Pin|pin@7||-2|25|||||ART_message(D5G6;)S[nand2LT]
1466 Ngeneric:Invisible-Pin|pin@8||-2|20|||||ART_message(D5G2;)S[LO-threshold NAND]
1467 Awire|net@0|||1800|pin@1||-6.5|-1|nand2LT@0|ina|-2.5|-1
1468 Awire|net@1|||1800|nand2LT@0|out|2.5|0|conn@2|a|12|0
1469 Awire|net@2|||0|nand2LT@0|inb|-2.5|1|pin@2||-6.5|1
1470 Awire|net@3|||900|pin@1||-6.5|-1|pin@0||-6.5|-2.5
1471 Awire|net@4|||0|pin@0||-6.5|-2.5|conn@0|y|-12|-2.5
1472 Awire|net@5|||2700|pin@2||-6.5|1|pin@3||-6.5|2.5
1473 Awire|net@6|||0|pin@3||-6.5|2.5|conn@1|y|-12|2.5
1474 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
1475 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I1
1476 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F1.33
1477 X
1478
1479 # Cell nand2LT_sy;1{ic}
1480 Cnand2LT_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1481 Ngeneric:Facet-Center|art@0||0|0||||AV
1482 NOpened-Thicker-Polygon|art@1||-0.25|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1483 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1484 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1485 NPin|pin@0||-1.5|-1|1|1||
1486 NPin|pin@1||-2.5|-1||||
1487 NPin|pin@2||-0.5|2|1|1||
1488 NPin|pin@3||-1.5|2|1|1||
1489 NPin|pin@4||-1.5|-2|1|1||
1490 NPin|pin@5||-0.5|-2|1|1||
1491 NPin|pin@6||-2.5|1||||
1492 NPin|pin@7||-1.5|1|1|1||
1493 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
1494 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
1495 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1496 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
1497 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
1498 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1499 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
1500 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
1501 Eina||D5G1;|pin@8||I
1502 Einb||D5G1;|pin@9||I
1503 Eout||D5G1;|pin@10||O
1504 X
1505
1506 # Cell nand2LT_sy;1{sch}
1507 Cnand2LT_sy;1{sch}||schematic|1021415734000|1159375698504||ATTR_Delay(D5G1;HNPX-17;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-17;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-17;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-17;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-17;Y-9.5;)I-1|prototype_center()I[0,0]
1508 Ngeneric:Facet-Center|art@0||0|0||||AV
1509 NOff-Page|conn@0||-17.25|2.5||||
1510 NOff-Page|conn@1||16.5|0|||Y|
1511 NOff-Page|conn@2||-17.5|-2.5|||Y|
1512 IredFive:nand2LT_sy;1{ic}|nand2LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1513 Inand2LT_sy;1{ic}|nand2LT_@1||33.25|20.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1514 NWire_Pin|pin@12||-7.5|1||||
1515 NWire_Pin|pin@13||-7.5|2.5||||
1516 NWire_Pin|pin@14||-7.5|-1||||
1517 NWire_Pin|pin@15||-7.5|-2.5||||
1518 Ngeneric:Invisible-Pin|pin@26||-2|16|||||ART_message(D5G2;)S[Sized assuming inputs go low together]
1519 Ngeneric:Invisible-Pin|pin@27||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,The pull-down is twice as strong as,one pull-up; or both pull-ups together,are as strong as the pull-down]
1520 Ngeneric:Invisible-Pin|pin@28||-2|18|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1521 Ngeneric:Invisible-Pin|pin@29||-2|20|||||ART_message(D5G2;)S[symetric LO-threshold NAND]
1522 Ngeneric:Invisible-Pin|pin@30||-2|25|||||ART_message(D5G6;)S[nand2LT_sy]
1523 Awire|net@0|||0|nand2LT_@0|ina|-2.5|-1|pin@14||-7.5|-1
1524 Awire|net@2|||0|nand2LT_@0|inb|-2.5|1|pin@12||-7.5|1
1525 Awire|net@27|||2700|pin@12||-7.5|1|pin@13||-7.5|2.5
1526 Awire|net@28|||900|pin@14||-7.5|-1|pin@15||-7.5|-2.5
1527 Awire|net@38|||0|conn@1|a|14.5|0|nand2LT_@0|out|2.5|0
1528 Awire|net@43|||0|pin@13||-7.5|2.5|conn@0|y|-15.25|2.5
1529 Awire|net@44|||1800|conn@2|y|-15.5|-2.5|pin@15||-7.5|-2.5
1530 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)I1
1531 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
1532 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2;)F1.33
1533 X
1534
1535 # Cell nand2LTen;1{ic}
1536 Cnand2LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1537 Ngeneric:Facet-Center|art@0||0|0||||AV
1538 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
1539 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1540 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1541 Nschematic:Bus_Pin|pin@0||2.5|0|-2|-2||
1542 NPin|pin@1||-0.25|-2|1|1||
1543 NPin|pin@2||-1.5|-0.75|1|1||
1544 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
1545 NPin|pin@4||-1.5|-1|1|1||
1546 NPin|pin@5||-2.5|-1||||
1547 NPin|pin@6||-0.5|2|1|1||
1548 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
1549 NPin|pin@8||-1.5|2|1|1||
1550 NPin|pin@9||-1.5|-2|1|1||
1551 NPin|pin@10||-0.5|-2|1|1||
1552 NPin|pin@11||-2.5|1||||
1553 NPin|pin@12||-1.5|1|1|1||
1554 Ngeneric:Invisible-Pin|pin@13||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
1555 AThicker|net@0|||FS3150|pin@1||-0.25|-2|pin@2||-1.5|-0.75|ART_color()I78
1556 AThicker|net@1|||FS0|pin@4||-1.5|-1|pin@5||-2.5|-1|ART_color()I78
1557 AThicker|net@2|||FS0|pin@6||-0.5|2|pin@8||-1.5|2|ART_color()I78
1558 AThicker|net@3|||FS2700|pin@9||-1.5|-2|pin@8||-1.5|2|ART_color()I78
1559 AThicker|net@4|||FS0|pin@10||-0.5|-2|pin@9||-1.5|-2|ART_color()I78
1560 AThicker|net@5|||FS0|pin@12||-1.5|1|pin@11||-2.5|1|ART_color()I78
1561 Eina||D5G1;|pin@3||I
1562 Einb||D5G1;|pin@7||I
1563 Eout||D5G1;|pin@0||O
1564 X
1565
1566 # Cell nand2LTen;1{sch}
1567 Cnand2LTen;1{sch}||schematic|1021415734000|1159375713300||ATTR_Delay(D5G1;HNPX-16.5;Y-9;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-10;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-11;)I-1|prototype_center()I[0,0]
1568 Ngeneric:Facet-Center|art@0||0|0||||AV
1569 NOff-Page|conn@0||-14|-3||||
1570 NOff-Page|conn@1||-14|3||||
1571 NOff-Page|conn@2||12.5|0||||
1572 IredFive:nand2LTen;1{ic}|nand2LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1573 Inand2LTen;1{ic}|nand2LTe@1||30.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1574 NWire_Pin|pin@0||-6.5|3||||
1575 NWire_Pin|pin@1||-6.5|1||||
1576 NWire_Pin|pin@2||-6.5|-1||||
1577 NWire_Pin|pin@3||-6.5|-3||||
1578 Ngeneric:Invisible-Pin|pin@4||31|-10|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
1579 Ngeneric:Invisible-Pin|pin@5||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 2]
1580 Ngeneric:Invisible-Pin|pin@6||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where ina is DC signal (enable)]
1581 Ngeneric:Invisible-Pin|pin@7||-0.5|25|||||ART_message(D5G6;)S[nand2LTen]
1582 Awire|net@0|||0|nand2LTe@0|ina|-2.5|-1|pin@2||-6.5|-1
1583 Awire|net@1|||1800|nand2LTe@0|out|2.5|0|conn@2|a|10.5|0
1584 Awire|net@2|||1800|pin@1||-6.5|1|nand2LTe@0|inb|-2.5|1
1585 Awire|net@3|||1800|conn@1|y|-12|3|pin@0||-6.5|3
1586 Awire|net@4|||900|pin@0||-6.5|3|pin@1||-6.5|1
1587 Awire|net@5|||900|pin@2||-6.5|-1|pin@3||-6.5|-3
1588 Awire|net@6|||0|pin@3||-6.5|-3|conn@0|y|-12|-3
1589 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.7
1590 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)I1
1591 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-1;Y2;)F1.033
1592 X
1593
1594 # Cell nand2PH;1{ic}
1595 Cnand2PH;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1596 Ngeneric:Facet-Center|art@0||0|0||||AV
1597 NThick-Circle|art@1||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
1598 NThick-Circle|art@2||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1599 NThick-Circle|art@3||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1600 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
1601 NThick-Circle|art@5||-2|1|1|1|||ART_color()I78
1602 NOpened-Thicker-Polygon|art@6||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1603 NOpened-Thicker-Polygon|art@7||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
1604 NPin|pin@0||1.5|0|1|1|R|
1605 NPin|pin@1||1|0|||R|
1606 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
1607 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
1608 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
1609 NPin|pin@5||-0.5|2.5||||
1610 NPin|pin@6||-0.5|1.62|1|1||
1611 Ngeneric:Invisible-Pin|pin@7||-0.5|2.5||||
1612 AThicker|net@0|||FS0|pin@0||1.5|0|pin@1||1|0|ART_color()I78
1613 AThicker|net@1|||FS2700|pin@6||-0.5|1.62|pin@5||-0.5|2.5|ART_color()I78
1614 Eina||D5G1;|pin@2||I
1615 Einb||D5G1;|pin@3||I
1616 Eout||D5G1;|pin@4||O
1617 EresetN||D5G2;|pin@7||I
1618 X
1619
1620 # Cell nand2PH;1{sch}
1621 Cnand2PH;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
1622 IredFive:NMOS;1{ic}|NMOS@1||1|-10|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1623 IredFive:NMOS;1{ic}|NMOS@2||6|-3|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
1624 IredFive:NMOS;1{ic}|NMOS@3||-5|-3|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.
1625 IredFive:PMOS;1{ic}|PMOS@2||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1626 IredFive:PMOS;1{ic}|PMOS@3||-5|6|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1627 Ngeneric:Facet-Center|art@0||0|0||||AV
1628 NOff-Page|conn@0||14.5|6|||YRR|
1629 NOff-Page|conn@1||19.5|1|||Y|
1630 NOff-Page|conn@2||-13.5|6||||
1631 NOff-Page|conn@3||-15|-10||||
1632 NGround|gnd@0||1|-16||||
1633 NGround|gnd@1||-5|-7||||
1634 NGround|gnd@2||6|-7||||
1635 Inand2PH;1{ic}|nand2PH@0||26.75|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1636 Ngeneric:Invisible-Pin|pin@0||-2|16|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses)]
1637 Ngeneric:Invisible-Pin|pin@1||-2|23|||||ART_message(D5G6;)S[nand2PH]
1638 Ngeneric:Invisible-Pin|pin@2||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1639 NWire_Pin|pin@3||6|1||||
1640 NWire_Pin|pin@4||-5|1||||
1641 NWire_Pin|pin@5||1|1||||
1642 NWire_Pin|pin@6||11|-3||||
1643 NWire_Pin|pin@7||11|6||||
1644 NWire_Pin|pin@8||-10|-3||||
1645 NWire_Pin|pin@9||-10|6||||
1646 NPower|pwr@0||-5|11||||
1647 NPower|pwr@1||6|11||||
1648 Awire|net@0|||2700|NMOS@2|s|6|-5|gnd@2||6|-5
1649 Awire|net@1|||900|pin@3||6|1|NMOS@2|d|6|-1
1650 Awire|net@2|||0|pin@6||11|-3|NMOS@2|g|9|-3
1651 Awire|net@3|||2700|NMOS@3|s|-5|-5|gnd@1||-5|-5
1652 Awire|net@4|||900|pin@4||-5|1|NMOS@3|d|-5|-1
1653 Awire|net@5|||1800|pin@8||-10|-3|NMOS@3|g|-8|-3
1654 Awire|net@6|||900|NMOS@1|s|1|-12|gnd@0||1|-14
1655 Awire|net@7|||900|pin@5||1|1|NMOS@1|d|1|-8
1656 Awire|net@8|||0|NMOS@1|g|-2|-10|conn@3|y|-13|-10
1657 Awire|net@9|||2700|PMOS@2|s|6|8|pwr@1||6|11
1658 Awire|net@10|||1800|PMOS@2|g|9|6|pin@7||11|6
1659 Awire|net@11|||2700|pin@3||6|1|PMOS@2|d|6|4
1660 Awire|net@12|||2700|PMOS@3|s|-5|8|pwr@0||-5|11
1661 Awire|net@13|||0|PMOS@3|g|-8|6|pin@9||-10|6
1662 Awire|net@14|||900|PMOS@3|d|-5|4|pin@4||-5|1
1663 Awire|net@15|||1800|pin@3||6|1|conn@1|a|17.5|1
1664 Awire|net@16|||1800|pin@5||1|1|pin@3||6|1
1665 Awire|net@17|||1800|pin@4||-5|1|pin@5||1|1
1666 Awire|net@18|||1800|pin@7||11|6|conn@0|y|12.5|6
1667 Awire|net@19|||900|pin@7||11|6|pin@6||11|-3
1668 Awire|net@20|||0|pin@9||-10|6|conn@2|y|-11.5|6
1669 Awire|net@21|||900|pin@9||-10|6|pin@8||-10|-3
1670 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
1671 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.667
1672 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-1.5;)F1.67
1673 EresetN||D4G2;|conn@3|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
1674 X
1675
1676 # Cell nand2PHfk;1{ic}
1677 Cnand2PHfk;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
1678 Ngeneric:Facet-Center|art@0||0|0||||AV
1679 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,-0.25/0,0.25/0,0.25/-0.5,0.25/0.5]
1680 NOpened-Thicker-Polygon|art@2||-0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/-0.5,-0.25/0.5,0.25/0.5,0.25/0,-0.25/0]
1681 NThick-Circle|art@3||-2|1|1|1|||ART_color()I78
1682 NThick-Circle|art@4||-2|-1|1|1|||ART_color()I78
1683 NThick-Circle|art@5||-2|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1684 NThick-Circle|art@6||-2|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
1685 NThick-Circle|art@7||-4.25|0|6|6|3200||ART_color()I78|ART_degrees()I800
1686 Ngeneric:Invisible-Pin|pin@0||1|0.5|||||ART_message(D5G1.5;)S[keep]
1687 Ngeneric:Invisible-Pin|pin@1||-0.5|2.5||||
1688 NPin|pin@2||-0.5|1.62|1|1||
1689 NPin|pin@3||-0.5|2.5||||
1690 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
1691 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
1692 Nschematic:Bus_Pin|pin@6||-2.5|-1|-2|-2||
1693 NPin|pin@7||1|0|||R|
1694 NPin|pin@8||1.5|0|1|1|R|
1695 AThicker|net@0|||FS2700|pin@2||-0.5|1.62|pin@3||-0.5|2.5|ART_color()I78
1696 AThicker|net@1|||FS0|pin@8||1.5|0|pin@7||1|0|ART_color()I78
1697 Eina||D5G1;|pin@6||I
1698 Einb||D5G1;|pin@5||I
1699 Eout||D5G1;|pin@4||O
1700 EresetN||D5G2;|pin@1||I
1701 X
1702
1703 # Cell nand2PHfk;1{sch}
1704 Cnand2PHfk;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-15.5;Y-14.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15.5;Y-18.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15.5;Y-13.5;)I-1|ATTR_X(D5G1;HNOJPX-15.5;Y-12.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15.5;Y-16.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15.5;Y-17.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15.5;Y-15.5;)I-1|prototype_center()I[0,0]
1705 IredFive:NMOS;1{ic}|NMOS@1||1|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1706 IredFive:PMOS;1{ic}|PMOS@2||-5|6|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1707 IredFive:PMOS;1{ic}|PMOS@3||6|6|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
1708 Ngeneric:Facet-Center|art@0||0|0||||AV
1709 NOff-Page|conn@0||-15|-10||||
1710 NOff-Page|conn@1||-13.5|6||||
1711 NOff-Page|conn@2||25|1|||Y|
1712 NOff-Page|conn@3||14.5|6|||YRR|
1713 NGround|gnd@0||1|-16||||
1714 IredFive:inv;1{ic}|inv@0||15.5|-4|RRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NPX1.5;Y2;)I1|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1715 IredFive:invK;1{ic}|invK@0||10|-4|YRRR||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2;)I100|ATTR_X(D5G1.5;NOJPX1.5;Y2;)S@X/10.|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
1716 Inand2PHfk;1{ic}|nand2PHf@0||27|13.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
1717 Ngeneric:Invisible-Pin|pin@0||24.5|-6|||||SIM_spice_card(D6G1;)S[.ic v(out) 0]
1718 NWire_Pin|pin@1||10|1||||
1719 NWire_Pin|pin@2||15.5|-9||||
1720 NWire_Pin|pin@3||10|-9||||
1721 NWire_Pin|pin@4||15.5|1||||
1722 NWire_Pin|pin@5||1|1||||
1723 NWire_Pin|pin@6||-5|1||||
1724 NWire_Pin|pin@7||6|1||||
1725 Ngeneric:Invisible-Pin|pin@8||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
1726 Ngeneric:Invisible-Pin|pin@9||0|26|||||ART_message(D5G6;)S[nand2PHfk]
1727 Ngeneric:Invisible-Pin|pin@10||0|19|||||ART_message(D5G2;)S[PH is Pulse High - This is a pulse control logic Nand,that has a high-going pulsed output,(inputs are low-going pulses),this version has a full keeper on the output]
1728 NPower|pwr@0||6|11||||
1729 NPower|pwr@1||-5|11||||
1730 Awire|net@0|||2700|PMOS@2|s|-5|8|pwr@1||-5|11
1731 Awire|net@1|||1800|conn@1|y|-11.5|6|PMOS@2|g|-8|6
1732 Awire|net@2|||900|PMOS@2|d|-5|4|pin@6||-5|1
1733 Awire|net@3|||2700|PMOS@3|s|6|8|pwr@0||6|11
1734 Awire|net@4|||0|conn@3|y|12.5|6|PMOS@3|g|9|6
1735 Awire|net@5|||2700|pin@7||6|1|PMOS@3|d|6|4
1736 Awire|net@6|||900|NMOS@1|s|1|-12|gnd@0||1|-14
1737 Awire|net@7|||900|pin@5||1|1|NMOS@1|d|1|-8
1738 Awire|net@8|||0|NMOS@1|g|-2|-10|conn@0|y|-13|-10
1739 Awire|net@9|||900|pin@4||15.5|1|inv@0|in|15.5|-1.5
1740 Awire|net@10|||900|inv@0|out|15.5|-6.5|pin@2||15.5|-9
1741 Awire|net@11|||2700|pin@3||10|-9|invK@0|in|10|-6.5
1742 Awire|net@12|||900|pin@1||10|1|invK@0|out|10|-1.5
1743 Awire|net@13|||1800|pin@7||6|1|pin@1||10|1
1744 Awire|net@14|||1800|pin@1||10|1|pin@4||15.5|1
1745 Awire|net@15|||0|pin@2||15.5|-9|pin@3||10|-9
1746 Awire|net@16|||1800|pin@4||15.5|1|conn@2|a|23|1
1747 Awire|net@17|||1800|pin@6||-5|1|pin@5||1|1
1748 Awire|net@18|||1800|pin@5||1|1|pin@7||6|1
1749 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.667
1750 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F0.667
1751 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)D1.67
1752 EresetN||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F0.333
1753 X
1754
1755 # Cell nand2Schmitt;1{ic}
1756 Cnand2Schmitt;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;HNPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;HNPX2.5;Y-2;)I1|ATTR_S(D5G1.5;HNOJPX2;Y4;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;HNPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;HNPX-30.75;Y-20;)I-1|prototype_center()I[6000,0]
1757 Ngeneric:Facet-Center|art@0||0|0||||AV
1758 NThick-Circle|art@1||0|-0.25|0.5|1|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1759 NThick-Circle|art@2||0|0.25|0.5|1|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1760 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1761 NThick-Circle|art@4||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1762 NPin|pin@0||0|-0.5|1|1||
1763 NPin|pin@1||-0.5|-0.5||||
1764 NPin|pin@2||0|0.5||||
1765 NPin|pin@3||0.25|0.5|1|1||
1766 NPin|pin@4||2.5|-1.5|1|1||
1767 NPin|pin@5||0.75|-1.5||||
1768 Ngeneric:Invisible-Pin|pin@6||2.5|-1.5||||
1769 NPin|pin@7||-1.5|1|1|1||
1770 NPin|pin@8||-2.5|1||||
1771 NPin|pin@9||-0.5|-2|1|1||
1772 NPin|pin@10||-1.5|-2|1|1||
1773 NPin|pin@11||-1.5|2|1|1||
1774 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
1775 Nschematic:Bus_Pin|pin@13||-2.5|1|-2|-2||
1776 NPin|pin@14||-0.5|2|1|1||
1777 NPin|pin@15||-2.5|-1||||
1778 NPin|pin@16||-1.5|-1|1|1||
1779 Nschematic:Bus_Pin|pin@17||-2.5|-1|-2|-2||
1780 NPin|pin@18||-1.5|-0.75|1|1||
1781 NPin|pin@19||-0.25|-2|1|1||
1782 AThicker|net@0|||FS0|pin@0||0|-0.5|pin@1||-0.5|-0.5|ART_color()I78
1783 AThicker|net@1|||FS0|pin@3||0.25|0.5|pin@2||0|0.5|ART_color()I78
1784 AThicker|net@2|||FS0|pin@4||2.5|-1.5|pin@5||0.75|-1.5|ART_color()I78
1785 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@8||-2.5|1|ART_color()I78
1786 AThicker|net@4|||FS0|pin@9||-0.5|-2|pin@10||-1.5|-2|ART_color()I78
1787 AThicker|net@5|||FS2700|pin@10||-1.5|-2|pin@11||-1.5|2|ART_color()I78
1788 AThicker|net@6|||FS0|pin@14||-0.5|2|pin@11||-1.5|2|ART_color()I78
1789 AThicker|net@7|||FS0|pin@16||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
1790 AThicker|net@8|||FS3150|pin@19||-0.25|-2|pin@18||-1.5|-0.75|ART_color()I78
1791 EinC||D5G1;|pin@6||I
1792 Eina||D5G1;|pin@17||I
1793 Einb||D5G1;|pin@13||I
1794 Eout||D5G1;|pin@12||O
1795 X
1796
1797 # Cell nand2Schmitt;1{sch}
1798 Cnand2Schmitt;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-27.5;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPX-16.5;Y-5;)I1|ATTR_M(D5G1;HNPX-27.5;Y-10.5;)I1|ATTR_S(D5G1;HNOJPX-27.5;Y-14.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-27.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-27.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPX-27.5;Y-9.5;)I-1|ATTR_verilog_template(D5G1;NTX6;Y-16;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1799 IredFive:NMOS;1{ic}|NMOS@0||-4|6|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
1800 IredFive:NMOS;1{ic}|NMOS@1||-9.5|0|RRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_X(D5G1.5;NPX3.5;Y0.5;)I1|ATTR_M(D5G1;NTY1;)I1
1801 Ngeneric:Facet-Center|art@0||0|0||||AV
1802 NOff-Page|conn@0||20|-2.5|||RR|
1803 NOff-Page|conn@1||20|11.5||||
1804 NOff-Page|conn@2||-23.5|9||||
1805 NOff-Page|conn@3||-23.5|3||||
1806 Inand2Schmitt;1{ic}|nand2Sch@0||29|22|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-3;)I100|ATTR_LEGATE(D5G1;NPX-19.75;Y-15.5;)I1|ATTR_M(D5G1;NPX2.5;Y-2;)I1|ATTR_S(D5G1.5;NPX2;Y4;)I1|ATTR_drive0(D5G1;NPX2.5;Y-4;)Sstrong0|ATTR_drive1(D5G1;NPX2.5;Y-5;)Sstrong1|ATTR_su(D5G1;NPX-30.75;Y-20;)I-1
1807 Inms1;1{ic}|nms1@0||9.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1808 Inms1;1{ic}|nms1@1||0.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1809 Inms1;1{ic}|nms1@2||-11.5|-6.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
1810 NWire_Pin|pin@0||-7.5|6||||
1811 NWire_Pin|pin@1||-6|6||||
1812 NWire_Pin|pin@2||-7.5|6||||
1813 NWire_Pin|pin@3||-6|6||||
1814 Ngeneric:Invisible-Pin|pin@4||16.5|-8.5|||||ART_message(D6G2;)S[inC should be tied,to out externally]
1815 NWire_Pin|pin@5||14.5|-2.5||||
1816 NWire_Pin|pin@6||14.5|-6.5||||
1817 NWire_Pin|pin@7||14.5|1.5||||
1818 NWire_Pin|pin@8||-2|11.5||||
1819 NWire_Pin|pin@9||-14.5|3||||
1820 NWire_Pin|pin@10||-14.5|15.5||||
1821 NWire_Pin|pin@11||-4.5|-2.5||||
1822 NWire_Pin|pin@12||-4.5|-6.5||||
1823 NWire_Pin|pin@13||-11.5|-2.5||||
1824 NWire_Pin|pin@14||0.5|-2.5||||
1825 NWire_Pin|pin@15||5|-2.5||||
1826 NWire_Pin|pin@16||9.5|-2.5||||
1827 NWire_Pin|pin@17||5|1.5||||
1828 NWire_Pin|pin@18||5|-6.5||||
1829 Ngeneric:Invisible-Pin|pin@19||-1|30.5|||||ART_message(D5G6;)S[nand2Schmitt]
1830 Ngeneric:Invisible-Pin|pin@20||-1|25.5|||||ART_message(D5G2;)S[schmitt trigger with enable on pull-down (inb)]
1831 Ngeneric:Invisible-Pin|pin@21||-1|23|||||ART_message(D5G2;)S["hysteresis on ina, NOTE connect out to inC externally"]
1832 Ipms1;1{ic}|pms1@0||9.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1833 Ipms1;1{ic}|pms1@1||0.5|1.5|YRR||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1834 Ipms1;1{ic}|pms1@2||-2|15.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(P)I-1
1835 Awire|net@0|||900|pin@3||-6|6|pin@1||-6|6
1836 Awire|net@1|||0|pin@1||-6|6|pin@0||-7.5|6
1837 Awire|net@2|||2700|pin@0||-7.5|6|pin@2||-7.5|6
1838 Awire|net@3|||0|pin@3||-6|6|NMOS@0|s|-6|6
1839 Awire|net@4|||2700|NMOS@1|d|-7.5|0|pin@2||-7.5|6
1840 Awire|net@5|||1800|pin@8||-2|11.5|conn@1|a|18|11.5
1841 Awire|net@6|||1800|pin@5||14.5|-2.5|conn@0|y|18|-2.5
1842 Awire|net@7|||2700|pin@6||14.5|-6.5|pin@5||14.5|-2.5
1843 Awire|net@8|||2700|pin@5||14.5|-2.5|pin@7||14.5|1.5
1844 Awire|net@9|||1800|nms1@0|g|12.5|-6.5|pin@6||14.5|-6.5
1845 Awire|net@10|||0|pin@7||14.5|1.5|pms1@0|g|12.5|1.5
1846 Awire|net@11|||900|pin@8||-2|11.5|NMOS@0|d|-2|6
1847 Awire|net@12|||2700|pin@8||-2|11.5|pms1@2|d|-2|13.5
1848 Awire|net@13|||2700|pin@9||-14.5|3|pin@10||-14.5|15.5
1849 Awire|net@14|||1800|pin@9||-14.5|3|NMOS@1|g|-9.5|3
1850 Awire|net@15|||0|pin@9||-14.5|3|conn@3|y|-21.5|3
1851 Awire|net@16|||1800|pin@10||-14.5|15.5|pms1@2|g|-5|15.5
1852 Awire|net@17|||1800|conn@2|y|-21.5|9|NMOS@0|g|-4|9
1853 Awire|net@18|||900|pin@11||-4.5|-2.5|pin@12||-4.5|-6.5
1854 Awire|net@19|||1800|pin@13||-11.5|-2.5|pin@11||-4.5|-2.5
1855 Awire|net@20|||1800|pin@11||-4.5|-2.5|pin@14||0.5|-2.5
1856 Awire|net@21|||0|pin@12||-4.5|-6.5|nms1@2|g|-8.5|-6.5
1857 Awire|net@22|||2700|nms1@2|d|-11.5|-4.5|pin@13||-11.5|-2.5
1858 Awire|net@23|||900|NMOS@1|s|-11.5|0|pin@13||-11.5|-2.5
1859 Awire|net@24|||2700|nms1@1|d|0.5|-4.5|pin@14||0.5|-2.5
1860 Awire|net@25|||2700|pin@14||0.5|-2.5|pms1@1|d|0.5|-0.5
1861 Awire|net@26|||1800|pin@15||5|-2.5|pin@16||9.5|-2.5
1862 Awire|net@27|||900|pin@17||5|1.5|pin@15||5|-2.5
1863 Awire|net@28|||900|pin@15||5|-2.5|pin@18||5|-6.5
1864 Awire|net@29|||2700|nms1@0|d|9.5|-4.5|pin@16||9.5|-2.5
1865 Awire|net@30|||2700|pin@16||9.5|-2.5|pms1@0|d|9.5|-0.5
1866 Awire|net@31|||1800|pms1@1|g|3.5|1.5|pin@17||5|1.5
1867 Awire|net@32|||0|pin@18||5|-6.5|nms1@1|g|3.5|-6.5
1868 EinC||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)F1.17
1869 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
1870 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F0.67
1871 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D1.33
1872 X
1873
1874 # Cell nand2V;1{ic}
1875 Cnand2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-4.5;)I100|ATTR_M(D5G1;HNPX3;Y1.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.25;Y-13.75;)I-1|prototype_center()I[6000,0]
1876 Ngeneric:Facet-Center|art@0||0|0||||AV
1877 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1878 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1879 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1880 NPin|pin@0||-0.25|-2|1|1||
1881 NPin|pin@1||-1.5|-0.75|1|1||
1882 NPin|pin@2||-1.5|-1|1|1||
1883 NPin|pin@3||-2.5|-1||||
1884 NPin|pin@4||-0.5|2|1|1||
1885 NPin|pin@5||-1.5|2|1|1||
1886 NPin|pin@6||-1.5|-2|1|1||
1887 NPin|pin@7||-0.5|-2|1|1||
1888 NPin|pin@8||-2.5|1||||
1889 NPin|pin@9||-1.5|1|1|1||
1890 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
1891 Nschematic:Bus_Pin|pin@11||-2.5|1|-2|-2||
1892 Nschematic:Bus_Pin|pin@12||2.5|0|-2|-2||
1893 AThicker|net@0|||FS0|pin@2||-1.5|-1|pin@3||-2.5|-1|ART_color()I78
1894 AThicker|net@1|||FS3150|pin@0||-0.25|-2|pin@1||-1.5|-0.75|ART_color()I78
1895 AThicker|net@2|||FS0|pin@4||-0.5|2|pin@5||-1.5|2|ART_color()I78
1896 AThicker|net@3|||FS2700|pin@6||-1.5|-2|pin@5||-1.5|2|ART_color()I78
1897 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@8||-2.5|1|ART_color()I78
1898 AThicker|net@5|||FS0|pin@7||-0.5|-2|pin@6||-1.5|-2|ART_color()I78
1899 Eina||D5G1;|pin@10||I
1900 Einb||D5G1;|pin@11||I
1901 Eout||D5G1;|pin@12||O
1902 X
1903
1904 # Cell nand2V;1{sch}
1905 Cnand2V;1{sch}||schematic|1021415734000|1084377409000||ATTR_Delay(D5G1;HNPX-14.5;Y-8.5;)I100|ATTR_M(D5G1;HNPX-14.5;Y-4.5;)I1|ATTR_WN(D5G1;HNPX-14.5;Y-6.5;)I1|ATTR_WP(D5G1;HNPX-14.5;Y-5.5;)I1|ATTR_drive0(D5G1;HNPX-14.5;Y-9.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14.5;Y-10.5;)Sstrong1|ATTR_su(D5G1;HNPX-14.5;Y-7.5;)I-1|ATTR_verilog_template(D5G1;NTX2.5;Y-14.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1906 Ngeneric:Facet-Center|art@0||0|0||||AV
1907 NOff-Page|conn@0||14|-1|||RR|
1908 NOff-Page|conn@1||0|11|||R|
1909 NOff-Page|conn@2||-14|-1||||
1910 Inand2V;1{ic}|nand2V@0||17|13|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-4.5;)I100|ATTR_M(D5G1;NPX3;Y1.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-5.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-6.5;)Sstrong1|ATTR_su(D5G1;NPX-16.25;Y-13.75;)I-1
1911 Inms2;1{ic}|nms2@0||0|-7|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NOJTX1.5;Y-0.5;)S@M
1912 Ngeneric:Invisible-Pin|pin@0||1|18|||||ART_message(D5G2;)S[variable ratio]
1913 Ngeneric:Invisible-Pin|pin@1||13.5|-11.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
1914 NWire_Pin|pin@2||9|-1||||
1915 NWire_Pin|pin@3||-9|-1||||
1916 NWire_Pin|pin@4||-9|-7||||
1917 NWire_Pin|pin@5||-9|4||||
1918 NWire_Pin|pin@6||9|-3||||
1919 NWire_Pin|pin@7||0|0||||
1920 NWire_Pin|pin@8||-5|0||||
1921 NWire_Pin|pin@9||5|0||||
1922 NWire_Pin|pin@10||9|4||||
1923 Ngeneric:Invisible-Pin|pin@11||1|20|||||ART_message(D5G2;)S[two-parameter NAND]
1924 Ngeneric:Invisible-Pin|pin@12||1|24|||||ART_message(D5G6;)S[nand2V]
1925 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1926 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1927 Awire|net@0|||900|pin@2||9|-1|pin@6||9|-3
1928 Awire|net@1|||900|conn@1|a|0|9|pin@7||0|0
1929 Awire|net@2|||1800|pin@2||9|-1|conn@0|y|12|-1
1930 Awire|net@3|||2700|pin@2||9|-1|pin@10||9|4
1931 Awire|net@4|||0|pin@3||-9|-1|conn@2|y|-12|-1
1932 Awire|net@5|||900|pin@5||-9|4|pin@3||-9|-1
1933 Awire|net@6|||900|pin@3||-9|-1|pin@4||-9|-7
1934 Awire|net@7|||1800|nms2@0|g2|3|-3|pin@6||9|-3
1935 Awire|net@8|||1800|pin@4||-9|-7|nms2@0|g|-3|-7
1936 Awire|net@9|||1800|pms1@1|g|8|4|pin@10||9|4
1937 Awire|net@10|||900|pin@7||0|0|nms2@0|d|0|-1
1938 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
1939 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
1940 Awire|net@13|||1800|pin@5||-9|4|pms1@0|g|-8|4
1941 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
1942 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
1943 Eina||D5G2;|conn@2|a|I
1944 Einb||D5G2;|conn@0|a|I
1945 Eout||D5G2;|conn@1|y|O
1946 X
1947
1948 # Cell nand2V_sy;1{ic}
1949 Cnand2V_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-5.5;)I100|ATTR_M(D5G1;HNPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;HNPX1;Y3;)I1|ATTR_drive0(D5G1;HNPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19.5;Y-10.25;)I-1|prototype_center()I[6000,0]
1950 Ngeneric:Facet-Center|art@0||0|0||||AV
1951 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
1952 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
1953 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
1954 NPin|pin@0||-1.5|-1|1|1||
1955 NPin|pin@1||-2.5|-1||||
1956 NPin|pin@2||-0.5|2|1|1||
1957 NPin|pin@3||-1.5|2|1|1||
1958 NPin|pin@4||-1.5|-2|1|1||
1959 NPin|pin@5||-0.5|-2|1|1||
1960 NPin|pin@6||-2.5|1||||
1961 NPin|pin@7||-1.5|1|1|1||
1962 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
1963 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
1964 Nschematic:Bus_Pin|pin@10||2.5|0|-2|-2||
1965 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
1966 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
1967 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
1968 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
1969 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
1970 Eina||D5G1;|pin@8||I
1971 Einb||D5G1;|pin@9||I
1972 Eout||D5G1;|pin@10||O
1973 X
1974
1975 # Cell nand2V_sy;1{sch}
1976 Cnand2V_sy;1{sch}||schematic|1021415734000|1084377390000||ATTR_Delay(D5G1;HNPX-17.5;Y-7;)I100|ATTR_M(D5G1;HNPX-17.5;Y-3;)I1|ATTR_WN(D5G1;HNPX-17.5;Y-5;)I1|ATTR_WP(D5G1;HNPX-17.5;Y-4;)I1|ATTR_drive0(D5G1;HNPX-17.5;Y-8;)Sstrong0|ATTR_drive1(D5G1;HNPX-17.5;Y-9;)Sstrong1|ATTR_su(D5G1;HNPX-17.5;Y-6;)I-1|ATTR_verilog_template(D5G1;NTX2;Y-17.5;)Snand ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
1977 Ngeneric:Facet-Center|art@0||0|0||||AV
1978 NOff-Page|conn@0||14|-1|||RR|
1979 NOff-Page|conn@1||0|11|||R|
1980 NOff-Page|conn@2||-14|-1||||
1981 Inand2V_sy;1{ic}|nand2V_s@0||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-5.5;)I100|ATTR_M(D5G1;NPX2;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;NPX2;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX2;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19.5;Y-10.25;)I-1
1982 Inms2_sy;3{ic}|nms2_sy@0||0|-8.25|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(OJP)S@su
1983 Ngeneric:Invisible-Pin|pin@0||-1.5|16|||||ART_message(D5G2;)S[P to N ratio is variable]
1984 Ngeneric:Invisible-Pin|pin@1||14.5|-14.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
1985 NWire_Pin|pin@2||-9|-8.25||||
1986 NWire_Pin|pin@3||9|-4.25||||
1987 NWire_Pin|pin@4||9|-1||||
1988 NWire_Pin|pin@5||-9|-1||||
1989 NWire_Pin|pin@6||-9|4||||
1990 NWire_Pin|pin@7||0|0||||
1991 NWire_Pin|pin@8||-5|0||||
1992 NWire_Pin|pin@9||5|0||||
1993 NWire_Pin|pin@10||9|4||||
1994 Ngeneric:Invisible-Pin|pin@11||-2|18|||||ART_message(D5G2;)S[symetric two-parameter NAND]
1995 Ngeneric:Invisible-Pin|pin@12||-2|23|||||ART_message(D5G6;)S[nand2V_sy]
1996 Ipms1;1{ic}|pms1@0||-5|4|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1997 Ipms1;1{ic}|pms1@1||5|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3;)SLE.getdrive()|ATTR_su(OJP)S@su
1998 Awire|net@0|||900|pin@4||9|-1|pin@3||9|-4.25
1999 Awire|net@1|||0|pin@3||9|-4.25|nms2_sy@0|g2|3|-4.25
2000 Awire|net@2|||900|pin@7||0|0|nms2_sy@0|d|0|-2.25
2001 Awire|net@3|||1800|pin@2||-9|-8.25|nms2_sy@0|g|-3|-8.25
2002 Awire|net@4|||900|pin@5||-9|-1|pin@2||-9|-8.25
2003 Awire|net@5|||900|conn@1|a|0|9|pin@7||0|0
2004 Awire|net@6|||2700|pin@4||9|-1|pin@10||9|4
2005 Awire|net@7|||0|pin@5||-9|-1|conn@2|y|-12|-1
2006 Awire|net@8|||1800|pin@4||9|-1|conn@0|y|12|-1
2007 Awire|net@9|||900|pin@6||-9|4|pin@5||-9|-1
2008 Awire|net@10|||1800|pms1@1|g|8|4|pin@10||9|4
2009 Awire|net@11|||0|pin@9||5|0|pin@7||0|0
2010 Awire|net@12|||2700|pin@9||5|0|pms1@1|d|5|2
2011 Awire|net@13|||1800|pin@6||-9|4|pms1@0|g|-8|4
2012 Awire|net@14|||2700|pin@8||-5|0|pms1@0|d|-5|2
2013 Awire|net@15|||0|pin@7||0|0|pin@8||-5|0
2014 Eina||D5G2;|conn@2|a|I
2015 Einb||D5G2;|conn@0|a|I
2016 Eout||D5G2;|conn@1|y|O
2017 X
2018
2019 # Cell nand2Vn;1{ic}
2020 Cnand2Vn;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5.5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;HNPX-19;Y-4;)I-1|prototype_center()I[6000,0]
2021 Ngeneric:Facet-Center|art@0||0|0||||AV
2022 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2023 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2024 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2025 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2026 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2027 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2028 NPin|pin@0||-0.5|-1.75|1|1||
2029 NPin|pin@1||-1|-1.25|1|1||
2030 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
2031 NPin|pin@3||-2|-1|1|1||
2032 NPin|pin@4||-2.5|-1||||
2033 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
2034 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2035 NPin|pin@7||-2.5|1||||
2036 NPin|pin@8||-2|1|1|1||
2037 NPin|pin@9||1.5|0|1|1||
2038 NPin|pin@10||2.5|0||||
2039 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
2040 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
2041 AThicker|net@2|||FS0|pin@3||-2|-1|pin@4||-2.5|-1|ART_color()I78
2042 AThicker|net@3|||FS0|pin@8||-2|1|pin@7||-2.5|1|ART_color()I78
2043 AThicker|net@4|||FS0|pin@10||2.5|0|pin@9||1.5|0|ART_color()I78
2044 Eina||D5G1;|pin@2||I
2045 Einb||D5G1;|pin@5||I
2046 Eout||D5G1;|pin@6||O
2047 X
2048
2049 # Cell nand2Vn;1{sch}
2050 Cnand2Vn;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-14;Y1.5;)I100|ATTR_M(D5G1;HNPX-14;Y5.5;)I1|ATTR_WN(D5G1;HNPX-14;Y3.5;)I1|ATTR_WP(D5G1;HNPX-14;Y4.5;)I1|ATTR_drive0(D5G1;HNPX-14;Y0.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-14;Y-0.5;)Sstrong1|ATTR_su(D5G1;HNPX-14;Y2.5;)I-1|prototype_center()I[0,0]
2051 Ngeneric:Facet-Center|art@0||0|0||||AV
2052 NOff-Page|conn@0||-6|-9|||YRRR|
2053 NOff-Page|conn@1||8|0||||
2054 NOff-Page|conn@2||-6|8|||YR|
2055 Inand2V;1{ic}|nand2V@0||1|0|||D0G4;|ATTR_Delay(D5G1;NOJPX1.5;Y-4.5;)S@Delay|ATTR_M(D5G1;NOJPX3;Y1.5;)S@M|ATTR_WN(D5G1.5;NOJPX0.5;Y-3.5;)S@WN|ATTR_WP(D5G1.5;NOJPX0.5;Y3;)S@WP|ATTR_drive0(D5G1;NOJPX2;Y-5.5;)S@drive0|ATTR_drive1(D5G1;NOJPX2;Y-6.5;)S@drive1|ATTR_su(D5G1;NOJPX-16.25;Y-13.75;)S@su
2056 Inand2Vn;1{ic}|nand2Vn@0||14.5|11|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5.5;)I100|ATTR_M(D5G1;NPX1.5;Y-4.5;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6.5;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7.5;)Sstrong1|ATTR_su(D5G1;NPX-19;Y-4;)I-1
2057 NWire_Pin|pin@0||-6|-1||||
2058 NWire_Pin|pin@1||-6|1||||
2059 Ngeneric:Invisible-Pin|pin@2||1|24|||||ART_message(D5G6;)S[nand2Vn]
2060 Ngeneric:Invisible-Pin|pin@3||1|20|||||ART_message(D5G2;)S[duplicate icon for nand2V]
2061 NWire_Pin|pin@4||9|-5||||
2062 NWire_Pin|pin@5||9|-5||||
2063 Awire|net@0|||0|conn@1|a|6|0|nand2V@0|out|3.5|0
2064 Awire|net@1|||1800|pin@0||-6|-1|nand2V@0|ina|-1.5|-1
2065 Awire|net@2|||1800|pin@1||-6|1|nand2V@0|inb|-1.5|1
2066 Awire|net@3|||900|pin@0||-6|-1|conn@0|y|-6|-7
2067 Awire|net@4|||2700|pin@1||-6|1|conn@2|y|-6|6
2068 Awire|net@5|||2700|pin@5||9|-5|pin@4||9|-5
2069 Eina||D5G2;|conn@0|a|I
2070 Einb||D5G2;|conn@2|a|I
2071 Eout||D5G2;|conn@1|y|O
2072 X
2073
2074 # Cell nand2Vn_sy;1{ic}
2075 Cnand2Vn_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1;Y-5;)I100|ATTR_M(D5G1;HNPX1;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-21.75;Y-2.5;)I-1|prototype_center()I[6000,0]
2076 Ngeneric:Facet-Center|art@0||0|0||||AV
2077 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
2078 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2079 NThick-Circle|art@3||-1.5|-1|1|1|||ART_color()I78
2080 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2081 NThick-Circle|art@5||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2082 NThick-Circle|art@6||-1.5|1|1|1|||ART_color()I78
2083 NPin|pin@0||-1|-1.25|1|1||
2084 NPin|pin@1||2.5|0||||
2085 NPin|pin@2||1.5|0|1|1||
2086 NPin|pin@3||-2|1|1|1||
2087 NPin|pin@4||-2.5|1||||
2088 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2089 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2090 NPin|pin@7||-2.5|-1||||
2091 NPin|pin@8||-2|-1|1|1||
2092 Nschematic:Bus_Pin|pin@9||-2.5|-1|-2|-2||
2093 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2094 AThicker|net@1|||FS0|pin@1||2.5|0|pin@2||1.5|0|ART_color()I78
2095 AThicker|net@2|||FS0|pin@3||-2|1|pin@4||-2.5|1|ART_color()I78
2096 AThicker|net@3|||FS0|pin@8||-2|-1|pin@7||-2.5|-1|ART_color()I78
2097 Eina||D5G1;|pin@9||I
2098 Einb||D5G1;|pin@6||I
2099 Eout||D5G1;|pin@5||O
2100 X
2101
2102 # Cell nand2Vn_sy;1{sch}
2103 Cnand2Vn_sy;1{sch}||schematic|1021415734000|1025280871000||ATTR_Delay(D5G1;HNPX-16.5;Y3.5;)I100|ATTR_M(D5G1;HNPX-16.5;Y7.5;)I1|ATTR_WN(D5G1;HNPX-16.5;Y5.5;)I1|ATTR_WP(D5G1;HNPX-16.5;Y6.5;)I1|ATTR_drive0(D5G1;HNPX-16.5;Y2.5;)Sstrong0|ATTR_drive1(D5G1;HNPX-16.5;Y1.5;)Sstrong1|ATTR_su(D5G1;HNPX-16.5;Y4.5;)I-1|prototype_center()I[0,0]
2104 Ngeneric:Facet-Center|art@0||0|0||||AV
2105 NOff-Page|conn@0||-6|-7|||R|
2106 NOff-Page|conn@1||9|0||||
2107 NOff-Page|conn@2||-6|7|||RRR|
2108 Inand2V_sy;1{ic}|nand2V_s@0||1|0|||D0G4;|ATTR_Delay(D5G1;OJP)S@Delay|ATTR_M(D5G1;OJP)S@M|ATTR_WN(D5G1.5;NPX1;Y-3.5;)I1|ATTR_WP(D5G1.5;NPX1;Y3;)I1|ATTR_drive0(D5G1;OJP)S@drive0|ATTR_drive1(D5G1;OJP)S@drive1|ATTR_su(D5G1;NOJPX-19.5;Y-10.25;)S@su
2109 Inand2Vn_sy;1{ic}|nand2Vn_@0||15|10.5|||D0G4;|ATTR_Delay(D5G1;NPX1;Y-5;)I100|ATTR_M(D5G1;NPX1;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-21.75;Y-2.5;)I-1
2110 NWire_Pin|pin@0||-6|1||||
2111 NWire_Pin|pin@1||-6|-1||||
2112 Ngeneric:Invisible-Pin|pin@2||-2|23|||||ART_message(D5G6;)S[nand2Vn_sy]
2113 Ngeneric:Invisible-Pin|pin@3||-2|18|||||ART_message(D5G2;)S[duplicate icon for nand2V_sy]
2114 Awire|net@0|||0|conn@1|a|7|0|nand2V_s@0|out|3.5|0
2115 Awire|net@1|||1800|pin@1||-6|-1|nand2V_s@0|ina|-1.5|-1
2116 Awire|net@2|||1800|pin@0||-6|1|nand2V_s@0|inb|-1.5|1
2117 Awire|net@3|||2700|pin@0||-6|1|conn@2|y|-6|5
2118 Awire|net@4|||900|pin@1||-6|-1|conn@0|y|-6|-5
2119 Eina||D5G2;|conn@0|a|I
2120 Einb||D5G2;|conn@2|a|I
2121 Eout||D5G2;|conn@1|y|O
2122 X
2123
2124 # Cell nand2_sy;1{ic}
2125 Cnand2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2126 Ngeneric:Facet-Center|art@0||0|0||||AV
2127 NThick-Circle|art@1||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2128 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2129 NPin|pin@0||-1.5|-1|1|1||
2130 NPin|pin@1||-2.5|-1||||
2131 NPin|pin@2||-0.5|2|1|1||
2132 NPin|pin@3||-1.5|2|1|1||
2133 NPin|pin@4||-1.5|-2|1|1||
2134 NPin|pin@5||-0.5|-2|1|1||
2135 NPin|pin@6||-2.5|1||||
2136 NPin|pin@7||-1.5|1|1|1||
2137 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2138 Nschematic:Bus_Pin|pin@9||-2.5|1|-2|-2||
2139 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2140 AThicker|net@0|||FS0|pin@0||-1.5|-1|pin@1||-2.5|-1|ART_color()I78
2141 AThicker|net@1|||FS0|pin@2||-0.5|2|pin@3||-1.5|2|ART_color()I78
2142 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@3||-1.5|2|ART_color()I78
2143 AThicker|net@3|||FS0|pin@7||-1.5|1|pin@6||-2.5|1|ART_color()I78
2144 AThicker|net@4|||FS0|pin@5||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2145 Eina||D5G1;|pin@10||I
2146 Einb||D5G1;|pin@9||I
2147 Eout||D5G1;|pin@8||O
2148 X
2149
2150 # Cell nand2_sy;1{sch}
2151 Cnand2_sy;1{sch}||schematic|1021415734000|1159375680290||ATTR_Delay(D5G1;HNPX-16.5;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-7.5;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
2152 Ngeneric:Facet-Center|art@0||0|0||||AV
2153 NOff-Page|conn@0||-14.5|2.5||||
2154 NOff-Page|conn@1||11.5|0|||Y|
2155 NOff-Page|conn@2||-14.5|-2.5||||
2156 IredFive:nand2_sy;1{ic}|nand2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2157 Inand2_sy;1{ic}|nand2_sy@1||17.5|13|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2158 NWire_Pin|pin@0||-7.5|-1||||
2159 NWire_Pin|pin@1||-7.5|-2.5||||
2160 NWire_Pin|pin@2||-7.5|1||||
2161 NWire_Pin|pin@3||-7.5|2.5||||
2162 Ngeneric:Invisible-Pin|pin@4||16|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2163 Ngeneric:Invisible-Pin|pin@5||-2|16|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2164 Ngeneric:Invisible-Pin|pin@6||-2|23|||||ART_message(D5G6;)S[nand2_sy]
2165 Ngeneric:Invisible-Pin|pin@7||-2|18|||||ART_message(D5G2;)S[symetric one-parameter NAND]
2166 Awire|net@0|||0|nand2_sy@0|ina|-2.5|-1|pin@0||-7.5|-1
2167 Awire|net@1|||1800|nand2_sy@0|out|2.5|0|conn@1|a|9.5|0
2168 Awire|net@2|||0|nand2_sy@0|inb|-2.5|1|pin@2||-7.5|1
2169 Awire|net@3|||900|pin@0||-7.5|-1|pin@1||-7.5|-2.5
2170 Awire|net@4|||0|pin@1||-7.5|-2.5|conn@2|y|-12.5|-2.5
2171 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
2172 Awire|net@6|||0|pin@3||-7.5|2.5|conn@0|y|-12.5|2.5
2173 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F1.33
2174 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2175 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX-0.5;Y-2.5;)I2
2176 X
2177
2178 # Cell nand2en;1{ic}
2179 Cnand2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2;Y-3;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2180 Ngeneric:Facet-Center|art@0||0|0||||AV
2181 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2182 NThick-Circle|art@2||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2183 Ngeneric:Invisible-Pin|pin@0||-0.5|-1.25|||||ART_message(D5G1.5;)S[en]
2184 NPin|pin@1||-1.5|1|1|1||
2185 NPin|pin@2||-2.5|1||||
2186 NPin|pin@3||-0.5|-2|1|1||
2187 NPin|pin@4||-1.5|-2|1|1||
2188 NPin|pin@5||-1.5|2|1|1||
2189 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
2190 Nschematic:Bus_Pin|pin@7||-2.5|1|-2|-2||
2191 NPin|pin@8||-0.5|2|1|1||
2192 NPin|pin@9||-2.5|-1||||
2193 NPin|pin@10||-1.5|-1|1|1||
2194 Nschematic:Bus_Pin|pin@11||-2.5|-1|-2|-2||
2195 NPin|pin@12||-1.5|-0.75|1|1||
2196 NPin|pin@13||-0.25|-2|1|1||
2197 AThicker|net@0|||FS0|pin@1||-1.5|1|pin@2||-2.5|1|ART_color()I78
2198 AThicker|net@1|||FS0|pin@3||-0.5|-2|pin@4||-1.5|-2|ART_color()I78
2199 AThicker|net@2|||FS2700|pin@4||-1.5|-2|pin@5||-1.5|2|ART_color()I78
2200 AThicker|net@3|||FS0|pin@8||-0.5|2|pin@5||-1.5|2|ART_color()I78
2201 AThicker|net@4|||FS0|pin@10||-1.5|-1|pin@9||-2.5|-1|ART_color()I78
2202 AThicker|net@5|||FS3150|pin@13||-0.25|-2|pin@12||-1.5|-0.75|ART_color()I78
2203 Eina||D5G1;|pin@11||I
2204 Einb||D5G1;|pin@7||I
2205 Eout||D5G1;|pin@6||O
2206 X
2207
2208 # Cell nand2en;1{sch}
2209 Cnand2en;1{sch}||schematic|1021415734000|1159375684491||ATTR_Delay(D5G1;HNPX-16.5;Y-10;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-8;)I-1|ATTR_X(D5G1;HNPX-16.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9;)I-1|prototype_center()I[0,0]
2210 Ngeneric:Facet-Center|art@0||0|0||||AV
2211 NOff-Page|conn@0||13|0|||Y|
2212 NOff-Page|conn@1||-14|2.5||||
2213 NOff-Page|conn@2||-13.5|-3||||
2214 IredFive:nand2en;1{ic}|nand2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2215 Inand2en;1{ic}|nand2en@1||26.5|12|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-3;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2216 NWire_Pin|pin@0||-6|-1|||Y|
2217 NWire_Pin|pin@1||-6|-3|||Y|
2218 NWire_Pin|pin@2||-6|2.5|||Y|
2219 NWire_Pin|pin@3||-6|1|||Y|
2220 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand2en]
2221 Ngeneric:Invisible-Pin|pin@5||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND where inb is DC signal (enable)]
2222 Ngeneric:Invisible-Pin|pin@6||-0.5|17.5|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2223 Ngeneric:Invisible-Pin|pin@7||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,Pull-up has the same strength,as the pull-down]
2224 Awire|net@0|||1800|pin@0||-6|-1|nand2en@0|ina|-2.5|-1
2225 Awire|net@1|||1800|nand2en@0|out|2.5|0|conn@0|a|11|0
2226 Awire|net@2|||1800|pin@3||-6|1|nand2en@0|inb|-2.5|1
2227 Awire|net@3|||900|pin@0||-6|-1|pin@1||-6|-3
2228 Awire|net@4|||0|pin@1||-6|-3|conn@2|y|-11.5|-3
2229 Awire|net@5|||1800|conn@1|y|-12|2.5|pin@2||-6|2.5
2230 Awire|net@6|||900|pin@2||-6|2.5|pin@3||-6|1
2231 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F0.733
2232 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2233 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX-0.5;Y-2;)F1.4
2234 X
2235
2236 # Cell nand2k;1{ic}
2237 Cnand2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sweak0|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2238 Ngeneric:Facet-Center|art@0||0|0||||AV
2239 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
2240 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2241 NThick-Circle|art@3||-0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2242 NPin|pin@0||-1.5|1|1|1||
2243 NPin|pin@1||-2.5|1||||
2244 NPin|pin@2||-0.5|-2|1|1||
2245 NPin|pin@3||-1.5|-2|1|1||
2246 NPin|pin@4||-1.5|2|1|1||
2247 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
2248 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
2249 NPin|pin@7||-0.5|2|1|1||
2250 NPin|pin@8||-2.5|-1||||
2251 NPin|pin@9||-1.5|-1|1|1||
2252 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
2253 NPin|pin@11||-1.5|-0.75|1|1||
2254 NPin|pin@12||-0.25|-2|1|1||
2255 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
2256 AThicker|net@1|||FS0|pin@2||-0.5|-2|pin@3||-1.5|-2|ART_color()I78
2257 AThicker|net@2|||FS2700|pin@3||-1.5|-2|pin@4||-1.5|2|ART_color()I78
2258 AThicker|net@3|||FS0|pin@7||-0.5|2|pin@4||-1.5|2|ART_color()I78
2259 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
2260 AThicker|net@5|||FS3150|pin@12||-0.25|-2|pin@11||-1.5|-0.75|ART_color()I78
2261 Eina||D5G1;|pin@10||I
2262 Einb||D5G1;|pin@6||I
2263 Eout||D5G1;|pin@5||O
2264 X
2265
2266 # Cell nand2k;1{sch}
2267 Cnand2k;1{sch}||schematic|1021415734000|1159379778053||ATTR_Delay(D5G1;HNPX-16.5;Y-11;)I100|ATTR_LEKEEPER(D5G1;HNPX-16.5;Y-14;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-16.5;Y-8;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16.5;Y-12;)Sweak0|ATTR_drive1(D5G1;HNPTX-16.5;Y-13;)Sweak1|ATTR_su(D5G1;HNPTX-16.5;Y-10;)I-1|prototype_center()I[0,0]
2268 Ngeneric:Facet-Center|art@0||0|0||||AV
2269 NOff-Page|conn@0||10|0|||Y|
2270 NOff-Page|conn@1||-14.5|2.5||||
2271 NOff-Page|conn@2||-14.5|-2.5||||
2272 IredFive:nand2;1{ic}|nand2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2273 Inand2k;1{ic}|nand2k@0||18.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2274 Ngeneric:Invisible-Pin|pin@0||-3.5|20.5|||||ART_message(D5G6;)S[nand2k]
2275 Ngeneric:Invisible-Pin|pin@1||-3.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
2276 Ngeneric:Invisible-Pin|pin@2||-3.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 1]
2277 Ngeneric:Invisible-Pin|pin@3||16.5|-11.5|||||ART_message(D5G2;)S[X is drive strength,One pull-up has the same strength,as the pull-down]
2278 NWire_Pin|pin@4||-7|-2.5||||
2279 NWire_Pin|pin@5||-7|-1||||
2280 NWire_Pin|pin@6||-7|1||||
2281 NWire_Pin|pin@7||-7|2.5||||
2282 Awire|net@0|||0|nand2@0|ina|-2.5|-1|pin@5||-7|-1
2283 Awire|net@1|||1800|nand2@0|out|2.5|0|conn@0|a|8|0
2284 Awire|net@2|||1800|pin@6||-7|1|nand2@0|inb|-2.5|1
2285 Awire|net@3|||0|pin@4||-7|-2.5|conn@2|y|-12.5|-2.5
2286 Awire|net@4|||900|pin@5||-7|-1|pin@4||-7|-2.5
2287 Awire|net@5|||900|pin@7||-7|2.5|pin@6||-7|1
2288 Awire|net@6|||0|pin@7||-7|2.5|conn@1|y|-12.5|2.5
2289 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
2290 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2291 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NOJY2;)S2
2292 X
2293
2294 # Cell nand2n;1{ic}
2295 Cnand2n;1{ic}||artwork|1021415734000|1231281526605|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2296 Ngeneric:Facet-Center|art@0||0|0||||AV
2297 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2298 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2299 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2300 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2301 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2302 NPin|pin@0||-0.5|-1.75|1|1||
2303 Nschematic:Bus_Pin|pin@1||2.5|0|-2|-2||
2304 Nschematic:Bus_Pin|pin@2||-2.5|1|-2|-2||
2305 Nschematic:Bus_Pin|pin@3||-2.5|-1|-2|-2||
2306 NPin|pin@4||-1|-1.25|1|1||
2307 NPin|pin@5||-2|1|1|1||
2308 NPin|pin@6||-2.5|1||||
2309 NPin|pin@7||1.5|0|1|1||
2310 NPin|pin@8||2.5|0||||
2311 NPin|pin@9||-2.5|-1||||
2312 NPin|pin@10||-2|-1|1|1||
2313 AThicker|net@0|||FS2700|pin@4||-1|-1.25|pin@4||-1|-1.25|ART_color()I78
2314 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@4||-1|-1.25|ART_color()I78
2315 AThicker|net@2|||FS0|pin@5||-2|1|pin@6||-2.5|1|ART_color()I78
2316 AThicker|net@3|||FS0|pin@8||2.5|0|pin@7||1.5|0|ART_color()I78
2317 AThicker|net@4|||FS0|pin@10||-2|-1|pin@9||-2.5|-1|ART_color()I78
2318 Eina||D5G1;|pin@3||I
2319 Einb||D5G1;|pin@2||I
2320 Eout||D5G1;|pin@1||O
2321 X
2322
2323 # Cell nand2n;1{sch}
2324 Cnand2n;1{sch}||schematic|1021415734000|1231281554613||ATTR_Delay(D5G1;HNPX-19;Y-0.5;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-19;Y-1.5;)I-1|ATTR_X(D5G1;HNOJPX-19;Y0.75;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-19;Y-2.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-19;Y-3.5;)Sstrong1|ATTR_su(D5G1;HNPTX-19;Y-4.5;)I-1|prototype_center()I[0,0]
2325 Ngeneric:Facet-Center|art@0||0|0||||AV
2326 NOff-Page|conn@0||-7|-9|||R|
2327 NOff-Page|conn@1||10|0||||
2328 NOff-Page|conn@2||-7|8|||RRR|
2329 IredFive:nand2;1{ic}|nand2|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2330 Inand2n;1{ic}|nand2n@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2331 NWire_Pin|pin@0||-7|-1||||
2332 NWire_Pin|pin@1||-7|1||||
2333 Ngeneric:Invisible-Pin|pin@2||-10|21|||||ART_message(D5G6;)S[nand2n]
2334 Ngeneric:Invisible-Pin|pin@3||-11|16|||||ART_message(D5G2;)S[duplicate icon for nand2]
2335 Awire|net@0|||0|nand2|ina|-1|-1|pin@0||-7|-1
2336 Awire|net@1|||0|conn@1|a|8|0|nand2|out|4|0
2337 Awire|net@2|||0|nand2|inb|-1|1|pin@1||-7|1
2338 Awire|net@3|||900|pin@0||-7|-1|conn@0|y|-7|-7
2339 Awire|net@4|||2700|pin@1||-7|1|conn@2|y|-7|6
2340 Eina||D5G2;|conn@0|a|I
2341 Einb||D5G2;|conn@2|a|I
2342 Eout||D5G2;|conn@1|y|O
2343 X
2344
2345 # Cell nand2n_sy;1{ic}
2346 Cnand2n_sy;1{ic}||artwork|1021415734000|1231281686791|E|ATTR_Delay(D5G1;HNPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
2347 Ngeneric:Facet-Center|art@0||0|0||||AV
2348 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
2349 NThick-Circle|art@2||-1.5|-1|1|1|||ART_color()I78
2350 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2351 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
2352 NThick-Circle|art@5||-1.5|1|1|1|||ART_color()I78
2353 NPin|pin@0||-1|-1.25|1|1||
2354 NPin|pin@1||-2|-1|1|1||
2355 NPin|pin@2||-2.5|-1||||
2356 NPin|pin@3||2.5|0||||
2357 NPin|pin@4||1.5|0|1|1||
2358 NPin|pin@5||-2.5|1||||
2359 NPin|pin@6||-2|1|1|1||
2360 Nschematic:Bus_Pin|pin@7||-2.5|-1|-2|-2||
2361 Nschematic:Bus_Pin|pin@8||-2.5|1|-2|-2||
2362 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2363 AThicker|net@0|||FS2700|pin@0||-1|-1.25|pin@0||-1|-1.25|ART_color()I78
2364 AThicker|net@1|||FS0|pin@1||-2|-1|pin@2||-2.5|-1|ART_color()I78
2365 AThicker|net@2|||FS0|pin@3||2.5|0|pin@4||1.5|0|ART_color()I78
2366 AThicker|net@3|||FS0|pin@6||-2|1|pin@5||-2.5|1|ART_color()I78
2367 Eina||D5G1;|pin@7||I
2368 Einb||D5G1;|pin@8||I
2369 Eout||D5G1;|pin@9||O
2370 X
2371
2372 # Cell nand2n_sy;1{sch}
2373 Cnand2n_sy;1{sch}||schematic|1021415734000|1231281686791||ATTR_Delay(D5G1;HNPX-20;Y4;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y6;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-20;Y3;)Sstrong0|ATTR_drive1(D5G1;HNPTX-20;Y2;)Sstrong1|ATTR_su(D5G1;HNPTX-20;Y1;)I-1|prototype_center()I[0,0]
2374 Ngeneric:Facet-Center|art@0||0|0||||AV
2375 NOff-Page|conn@0||-6|8|||RRR|
2376 NOff-Page|conn@1||10|0||||
2377 NOff-Page|conn@2||-6|-10|||R|
2378 IredFive:nand2_sy;1{ic}|nand2_sy|D5G1;|1.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-3;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2.5;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
2379 Inand2n_sy;1{ic}|nand2n_s@0||12.5|14|||D0G4;|ATTR_Delay(D5G1;NPX2;Y-2.5;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2380 NWire_Pin|pin@0||-6|1||||
2381 NWire_Pin|pin@1||-6|-1||||
2382 Ngeneric:Invisible-Pin|pin@2||-11|16|||||ART_message(D5G2;)S[duplilcate icon for nand2_sy]
2383 Ngeneric:Invisible-Pin|pin@3||-10|21|||||ART_message(D5G6;)S[nand2n_sy]
2384 Awire|net@0|||0|nand2_sy|inb|-1|1|pin@0||-6|1
2385 Awire|net@1|||2700|pin@0||-6|1|conn@0|y|-6|6
2386 Awire|net@2|||0|nand2_sy|ina|-1|-1|pin@1||-6|-1
2387 Awire|net@3|||900|pin@1||-6|-1|conn@2|y|-6|-8
2388 Awire|net@4|||0|conn@1|a|8|0|nand2_sy|out|4|0
2389 Eina||D5G2;|conn@2|a|I
2390 Einb||D5G2;|conn@0|a|I
2391 Eout||D5G2;|conn@1|y|O
2392 X
2393
2394 # Cell nand3;1{ic}
2395 Cnand3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2396 Ngeneric:Facet-Center|art@0||0|0||||AV
2397 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2398 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2399 NPin|pin@0||-2.5|2||||
2400 NPin|pin@1||-1.5|2|1|1||
2401 Ngeneric:Invisible-Pin|pin@2||-2.5|2||||
2402 NPin|pin@3||-1.5|0|1|1||
2403 NPin|pin@4||-2.5|0||||
2404 NPin|pin@5||-0.5|-3|1|1||
2405 NPin|pin@6||-1.5|-3|1|1||
2406 NPin|pin@7||-1.5|3|1|1||
2407 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2408 Nschematic:Bus_Pin|pin@9||-2.5|0|-2|-2||
2409 NPin|pin@10||-0.5|3|1|1||
2410 NPin|pin@11||-2.5|-2||||
2411 NPin|pin@12||-1.5|-2|1|1||
2412 Nschematic:Bus_Pin|pin@13||-2.5|-2|-2|-2||
2413 NPin|pin@14||-1.5|-1.75|1|1||
2414 NPin|pin@15||-0.25|-3|1|1||
2415 AThicker|net@0|||FS0|pin@1||-1.5|2|pin@0||-2.5|2|ART_color()I78
2416 AThicker|net@1|||FS0|pin@3||-1.5|0|pin@4||-2.5|0|ART_color()I78
2417 AThicker|net@2|||FS0|pin@5||-0.5|-3|pin@6||-1.5|-3|ART_color()I78
2418 AThicker|net@3|||FS2700|pin@6||-1.5|-3|pin@7||-1.5|3|ART_color()I78
2419 AThicker|net@4|||FS0|pin@10||-0.5|3|pin@7||-1.5|3|ART_color()I78
2420 AThicker|net@5|||FS0|pin@12||-1.5|-2|pin@11||-2.5|-2|ART_color()I78
2421 AThicker|net@6|||FS3150|pin@15||-0.25|-3|pin@14||-1.5|-1.75|ART_color()I78
2422 Eina||D5G1;|pin@13||I
2423 Einb||D5G1;|pin@9||I
2424 Einc||D5G1;|pin@2||I
2425 Eout||D5G1;|pin@8||O
2426 X
2427
2428 # Cell nand3;1{sch}
2429 Cnand3;1{sch}||schematic|1021415734000|1159379591711||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2430 Ngeneric:Facet-Center|art@0||0|0||||AV
2431 NOff-Page|conn@0||-18|2||||
2432 NOff-Page|conn@1||15.5|0|||Y|
2433 NOff-Page|conn@2||-9|0|||Y|
2434 NOff-Page|conn@3||-23.5|-2||||
2435 IredFive:nand3;1{ic}|nand3@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2436 Inand3;1{ic}|nand3@1||27.5|19|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2437 Ngeneric:Invisible-Pin|pin@0||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2438 Ngeneric:Invisible-Pin|pin@1||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2439 Ngeneric:Invisible-Pin|pin@2||0|19|||||ART_message(D5G2;)S["three input, fixed-size NAND"]
2440 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3]
2441 Awire|net@0|||0|nand3@0|inc|-2.5|2|conn@0|y|-16|2
2442 Awire|net@1|||0|nand3@0|ina|-2.5|-2|conn@3|y|-21.5|-2
2443 Awire|net@2|||1800|nand3@0|out|2.5|0|conn@1|a|13.5|0
2444 Awire|net@3|||0|nand3@0|inb|-2.5|0|conn@2|y|-7|0
2445 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.67
2446 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2447 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2448 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I3
2449 X
2450
2451 # Cell nand3LT;1{ic}
2452 Cnand3LT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2453 Ngeneric:Facet-Center|art@0||0|0||||AV
2454 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2455 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2456 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2457 NPin|pin@0||-0.25|-3|1|1||
2458 NPin|pin@1||-1.5|-1.75|1|1||
2459 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2460 NPin|pin@3||-1.5|-2|1|1||
2461 NPin|pin@4||-2.5|-2||||
2462 NPin|pin@5||-0.5|3|1|1||
2463 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2464 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2465 NPin|pin@8||-1.5|3|1|1||
2466 NPin|pin@9||-1.5|-3|1|1||
2467 NPin|pin@10||-0.5|-3|1|1||
2468 NPin|pin@11||-2.5|0||||
2469 NPin|pin@12||-1.5|0|1|1||
2470 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2471 NPin|pin@14||-1.5|2|1|1||
2472 NPin|pin@15||-2.5|2||||
2473 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
2474 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
2475 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
2476 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2477 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
2478 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
2479 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
2480 Eina||D5G1;|pin@2||I
2481 Einb||D5G1;|pin@6||I
2482 Einc||D5G1;|pin@13||I
2483 Eout||D5G1;|pin@7||O
2484 X
2485
2486 # Cell nand3LT;1{sch}
2487 Cnand3LT;1{sch}||schematic|1021415734000|1159376000942||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2488 Ngeneric:Facet-Center|art@0||0|0||||AV
2489 NOff-Page|conn@0||-22.5|-2||||
2490 NOff-Page|conn@1||-11|0|||Y|
2491 NOff-Page|conn@2||12.5|0|||Y|
2492 NOff-Page|conn@3||-19.5|2||||
2493 IredFive:nand3LT;1{ic}|nand3LT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2494 Inand3LT;1{ic}|nand3LT@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2495 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2496 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2497 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2498 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2499 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LT]
2500 Awire|net@0|||0|nand3LT@0|inc|-2.5|2|conn@3|y|-17.5|2
2501 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LT@0|ina|-2.5|-2
2502 Awire|net@2|||1800|nand3LT@0|out|2.5|0|conn@2|a|10.5|0
2503 Awire|net@3|||0|nand3LT@0|inb|-2.5|0|conn@1|y|-9|0
2504 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2505 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2506 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2507 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2508 X
2509
2510 # Cell nand3LT_sy3;1{ic}
2511 Cnand3LT_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2512 Ngeneric:Facet-Center|art@0||0|0||||AV
2513 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2514 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2515 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2516 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)S[sy3]
2517 NPin|pin@1||-2.5|2||||
2518 NPin|pin@2||-1.5|2|1|1||
2519 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2520 NPin|pin@4||-1.5|0|1|1||
2521 NPin|pin@5||-2.5|0||||
2522 NPin|pin@6||-0.5|-3|1|1||
2523 NPin|pin@7||-1.5|-3|1|1||
2524 NPin|pin@8||-1.5|3|1|1||
2525 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2526 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2527 NPin|pin@11||-0.5|3|1|1||
2528 NPin|pin@12||-2.5|-2||||
2529 NPin|pin@13||-1.5|-2|1|1||
2530 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2531 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2532 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2533 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2534 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2535 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2536 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2537 Eina||D5G1;|pin@14||I
2538 Einb||D5G1;|pin@10||I
2539 Einc||D5G1;|pin@3||I
2540 Eout||D5G1;|pin@9||O
2541 X
2542
2543 # Cell nand3LT_sy3;1{sch}
2544 Cnand3LT_sy3;1{sch}||schematic|1021415734000|1159376011909||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2545 Ngeneric:Facet-Center|art@0||0|0||||AV
2546 NOff-Page|conn@0||-19.5|2||||
2547 NOff-Page|conn@1||12.5|0|||Y|
2548 NOff-Page|conn@2||-11|0|||Y|
2549 NOff-Page|conn@3||-22.5|-2||||
2550 IredFive:nand3LT_sy3;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2551 Inand3LT_sy3;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2552 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LT_sy3]
2553 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2554 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2555 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2556 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2557 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2558 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2559 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2560 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2561 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2562 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2563 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2564 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2565 X
2566
2567 # Cell nand3LT_sy6;1{ic}
2568 Cnand3LT_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2569 Ngeneric:Facet-Center|art@0||0|0||||AV
2570 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2571 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2572 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2573 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2574 NPin|pin@1||-2.5|2||||
2575 NPin|pin@2||-1.5|2|1|1||
2576 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2577 NPin|pin@4||-1.5|0|1|1||
2578 NPin|pin@5||-2.5|0||||
2579 NPin|pin@6||-0.5|-3|1|1||
2580 NPin|pin@7||-1.5|-3|1|1||
2581 NPin|pin@8||-1.5|3|1|1||
2582 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2583 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2584 NPin|pin@11||-0.5|3|1|1||
2585 NPin|pin@12||-2.5|-2||||
2586 NPin|pin@13||-1.5|-2|1|1||
2587 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2588 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
2589 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
2590 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
2591 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
2592 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
2593 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
2594 Eina||D5G1;|pin@14||I
2595 Einb||D5G1;|pin@10||I
2596 Einc||D5G1;|pin@3||I
2597 Eout||D5G1;|pin@9||O
2598 X
2599
2600 # Cell nand3LT_sy6;1{sch}
2601 Cnand3LT_sy6;1{sch}||schematic|1021415734000|1159379295448||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2602 Ngeneric:Facet-Center|art@0||0|0||||AV
2603 NOff-Page|conn@0||-19.5|2||||
2604 NOff-Page|conn@1||12.5|0|||Y|
2605 NOff-Page|conn@2||-11|0|||Y|
2606 NOff-Page|conn@3||-22.5|-2||||
2607 IredFive:nand3LT_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2608 Inand3LT_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2609 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3LT_sy6
2610 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2611 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2612 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2613 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2614 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2615 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2616 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2617 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2618 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.22
2619 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.22
2620 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.22
2621 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F1.67
2622 X
2623
2624 # Cell nand3LTen;1{ic}
2625 Cnand3LTen;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2626 Ngeneric:Facet-Center|art@0||0|0||||AV
2627 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2628 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2629 NOpened-Thicker-Polygon|art@3||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2630 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2631 NPin|pin@1||-2.5|2||||
2632 NPin|pin@2||-1.5|2|1|1||
2633 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2634 NPin|pin@4||-1.5|0|1|1||
2635 NPin|pin@5||-2.5|0||||
2636 NPin|pin@6||-0.5|-3|1|1||
2637 NPin|pin@7||-1.5|-3|1|1||
2638 NPin|pin@8||-1.5|3|1|1||
2639 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2640 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2641 NPin|pin@11||-0.5|3|1|1||
2642 NPin|pin@12||-2.5|-2||||
2643 NPin|pin@13||-1.5|-2|1|1||
2644 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2645 NPin|pin@15||-1.5|-1.75|1|1||
2646 NPin|pin@16||-0.25|-3|1|1||
2647 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2648 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2649 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2650 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2651 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2652 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2653 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
2654 Eina||D5G1;|pin@14||I
2655 Einb||D5G1;|pin@10||I
2656 Einc||D5G1;|pin@3||I
2657 Eout||D5G1;|pin@9||O
2658 X
2659
2660 # Cell nand3LTen;1{sch}
2661 Cnand3LTen;1{sch}||schematic|1021415734000|1159376004533||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2662 Ngeneric:Facet-Center|art@0||0|0||||AV
2663 NOff-Page|conn@0||-19.5|2||||
2664 NOff-Page|conn@1||12.5|0|||Y|
2665 NOff-Page|conn@2||-11|0|||Y|
2666 NOff-Page|conn@3||-22.5|-2||||
2667 IredFive:nand3LTen;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2668 Inand3LTen;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2669 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)S[nand3LTen]
2670 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable)]
2671 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2672 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2673 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2674 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@0|y|-17.5|2
2675 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
2676 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@1|a|10.5|0
2677 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@2|y|-9|0
2678 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
2679 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2680 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2681 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y-2.5;)I2
2682 X
2683
2684 # Cell nand3LTen_sy;1{ic}
2685 Cnand3LTen_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2686 Ngeneric:Facet-Center|art@0||0|0||||AV
2687 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2688 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2689 NThick-Circle|art@3||2|0|1|1|||ART_color()I78
2690 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2691 NPin|pin@1||-0.25|-3|1|1||
2692 NPin|pin@2||-1.5|-1.75|1|1||
2693 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
2694 NPin|pin@4||-1.5|-2|1|1||
2695 NPin|pin@5||-2.5|-2||||
2696 NPin|pin@6||-0.5|3|1|1||
2697 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
2698 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2699 NPin|pin@9||-1.5|3|1|1||
2700 NPin|pin@10||-1.5|-3|1|1||
2701 NPin|pin@11||-0.5|-3|1|1||
2702 NPin|pin@12||-2.5|0||||
2703 NPin|pin@13||-1.5|0|1|1||
2704 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
2705 NPin|pin@15||-1.5|2|1|1||
2706 NPin|pin@16||-2.5|2||||
2707 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2708 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
2709 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
2710 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
2711 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
2712 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
2713 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
2714 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
2715 Eina||D5G1;|pin@3||I
2716 Einb||D5G1;|pin@7||I
2717 Einc||D5G1;|pin@14||I
2718 Eout||D5G1;|pin@8||O
2719 X
2720
2721 # Cell nand3LTen_sy;1{sch}
2722 Cnand3LTen_sy;1{sch}||schematic|1021415734000|1159376008564||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2723 Ngeneric:Facet-Center|art@0||0|0||||AV
2724 NOff-Page|conn@0||-22.5|-2||||
2725 NOff-Page|conn@1||-11|0|||Y|
2726 NOff-Page|conn@2||12.5|0|||Y|
2727 NOff-Page|conn@3||-19.5|2||||
2728 IredFive:nand3LTen_sy;1{ic}|nand3LTe@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2729 Inand3LTen_sy;1{ic}|nand3LTe@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2730 Ngeneric:Invisible-Pin|pin@0||-1|15.5|||||ART_message(D5G2;)S[Sized assuming that all 3 inputs go low together]
2731 Ngeneric:Invisible-Pin|pin@1||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2732 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)S[P to N width ratio is 2/3 to 3]
2733 Ngeneric:Invisible-Pin|pin@3||-0.5|20|||||ART_message(D5G2;)S[one-parameter low-threshold NAND where ina is DC signal (enable) and inb/c are symmetric]
2734 Ngeneric:Invisible-Pin|pin@4||-0.5|25|||||ART_message(D5G6;)S[nand3LTen_sy]
2735 Awire|net@0|||0|nand3LTe@0|inc|-2.5|2|conn@3|y|-17.5|2
2736 Awire|net@1|||1800|conn@0|y|-20.5|-2|nand3LTe@0|ina|-2.5|-2
2737 Awire|net@2|||1800|nand3LTe@0|out|2.5|0|conn@2|a|10.5|0
2738 Awire|net@3|||0|nand3LTe@0|inb|-2.5|0|conn@1|y|-9|0
2739 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.033
2740 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2741 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2742 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
2743 X
2744
2745 # Cell nand3MLT;1{ic}
2746 Cnand3MLT;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2747 Ngeneric:Facet-Center|art@0||0|0||||AV
2748 NOpened-Thicker-Polygon|art@1||-0.5|0|1|1|||ART_color()I78|trace()V[-0.5/-0.5,-0.5/0.5,0/-0.5,0.5/0.5,0.5/-0.5]
2749 NOpened-Thicker-Polygon|art@2||0.75|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,0.25/-0.5]
2750 NThick-Circle|art@3||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2751 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
2752 NPin|pin@0||-0.25|-3|1|1||
2753 NPin|pin@1||-1.5|-1.75|1|1||
2754 Nschematic:Bus_Pin|pin@2||-2.5|-2|-2|-2||
2755 NPin|pin@3||-1.5|-2|1|1||
2756 NPin|pin@4||-2.5|-2||||
2757 NPin|pin@5||-0.5|3|1|1||
2758 Nschematic:Bus_Pin|pin@6||-2.5|0|-2|-2||
2759 Nschematic:Bus_Pin|pin@7||2.5|0|-2|-2||
2760 NPin|pin@8||-1.5|3|1|1||
2761 NPin|pin@9||-1.5|-3|1|1||
2762 NPin|pin@10||-0.5|-3|1|1||
2763 NPin|pin@11||-2.5|0||||
2764 NPin|pin@12||-1.5|0|1|1||
2765 Ngeneric:Invisible-Pin|pin@13||-2.5|2||||
2766 NPin|pin@14||-1.5|2|1|1||
2767 NPin|pin@15||-2.5|2||||
2768 AThicker|net@0|||FS3150|pin@0||-0.25|-3|pin@1||-1.5|-1.75|ART_color()I78
2769 AThicker|net@1|||FS0|pin@3||-1.5|-2|pin@4||-2.5|-2|ART_color()I78
2770 AThicker|net@2|||FS0|pin@5||-0.5|3|pin@8||-1.5|3|ART_color()I78
2771 AThicker|net@3|||FS2700|pin@9||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2772 AThicker|net@4|||FS0|pin@10||-0.5|-3|pin@9||-1.5|-3|ART_color()I78
2773 AThicker|net@5|||FS0|pin@12||-1.5|0|pin@11||-2.5|0|ART_color()I78
2774 AThicker|net@6|||FS0|pin@14||-1.5|2|pin@15||-2.5|2|ART_color()I78
2775 Eina||D5G1;|pin@2||I
2776 Einb||D5G1;|pin@6||I
2777 Einc||D5G1;|pin@13||I
2778 Eout||D5G1;|pin@7||O
2779 X
2780
2781 # Cell nand3MLT;1{sch}
2782 Cnand3MLT;1{sch}||schematic|1021415734000|1159375997206||ATTR_Delay(D5G1;HNPX-15.5;Y-7.5;)I100|ATTR_LEGATE(D5G1;HNPTX-16.5;Y-12.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-16;Y-8.5;)I-1|ATTR_X(D5G1;HNOJPX-16;Y-6.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-16;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-16;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-16.5;Y-9.5;)I-1|prototype_center()I[0,0]
2783 Ngeneric:Facet-Center|art@0||0|0||||AV
2784 NOff-Page|conn@0||-21|-2|||Y|
2785 NOff-Page|conn@1||-9|0|||Y|
2786 NOff-Page|conn@2||13.5|0|||Y|
2787 NOff-Page|conn@3||-17.5|2||||
2788 IredFive:nand3MLT;1{ic}|nand3MLT@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2789 Inand3MLT;1{ic}|nand3MLT@1||30|17|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2790 Ngeneric:Invisible-Pin|pin@0||1|11|||||ART_message(D5G2;)S[Sized assuming at least 2 of 3 inputs go low together]
2791 Ngeneric:Invisible-Pin|pin@1||23.5|-10|||||ART_message(D5G2;)S[X is drive strength,Two pull-ups have the same strength,as the pull-down]
2792 Ngeneric:Invisible-Pin|pin@2||-0.5|13|||||ART_message(D5G2;)S[P to N width ratio is 1 to 3]
2793 Ngeneric:Invisible-Pin|pin@3||-0.5|15.5|||||ART_message(D5G2;)S[one-parameter NAND]
2794 Ngeneric:Invisible-Pin|pin@4||-0.5|20.5|||||ART_message(D5G6;)S[nand3LT]
2795 Awire|net@0|||0|nand3MLT@0|inc|-2.5|2|conn@3|y|-15.5|2
2796 Awire|net@1|||0|nand3MLT@0|ina|-2.5|-2|conn@0|y|-19|-2
2797 Awire|net@2|||1800|nand3MLT@0|out|2.5|0|conn@2|a|11.5|0
2798 Awire|net@3|||0|nand3MLT@0|inb|-2.5|0|conn@1|y|-7|0
2799 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2800 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.33
2801 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.33
2802 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
2803 X
2804
2805 # Cell nand3_sy6;1{ic}
2806 Cnand3_sy6;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2807 Ngeneric:Facet-Center|art@0||0|0||||AV
2808 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2809 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2810 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.5|||||ART_message(D5G1;)Ssy6
2811 NPin|pin@1||-2.5|2||||
2812 NPin|pin@2||-1.5|2|1|1||
2813 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2814 NPin|pin@4||-1.5|0|1|1||
2815 NPin|pin@5||-2.5|0||||
2816 NPin|pin@6||-0.5|-3|1|1||
2817 NPin|pin@7||-1.5|-3|1|1||
2818 NPin|pin@8||-1.5|3|1|1||
2819 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2820 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2821 NPin|pin@11||-0.5|3|1|1||
2822 NPin|pin@12||-2.5|-2||||
2823 NPin|pin@13||-1.5|-2|1|1||
2824 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2825 AThicker|net@0|||FS1800|pin@1||-2.5|2|pin@2||-1.5|2|ART_color()I78
2826 AThicker|net@1|||FS1800|pin@5||-2.5|0|pin@4||-1.5|0|ART_color()I78
2827 AThicker|net@2|||FS1800|pin@7||-1.5|-3|pin@6||-0.5|-3|ART_color()I78
2828 AThicker|net@3|||FS900|pin@8||-1.5|3|pin@7||-1.5|-3|ART_color()I78
2829 AThicker|net@4|||FS1800|pin@8||-1.5|3|pin@11||-0.5|3|ART_color()I78
2830 AThicker|net@5|||FS1800|pin@12||-2.5|-2|pin@13||-1.5|-2|ART_color()I78
2831 Eina||D5G1;|pin@14||I
2832 Einb||D5G1;|pin@10||I
2833 Einc||D5G1;|pin@3||I
2834 Eout||D5G1;|pin@9||O
2835 X
2836
2837 # Cell nand3_sy6;1{sch}
2838 Cnand3_sy6;1{sch}||schematic|1021415734000|1159379441239||ATTR_Delay(D5G1;HNPX-25.5;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-26;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-25.75;Y-10.5;)I-1|ATTR_X(D5G1;HNOJPX-25.5;Y-8.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-25.5;Y-12.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-25.5;Y-13.5;)Sstrong1|ATTR_su(D5G1;HNPTX-26.5;Y-11.5;)I-1|prototype_center()I[0,0]
2839 Ngeneric:Facet-Center|art@0||0|0||||AV
2840 NOff-Page|conn@0||-19.5|2||||
2841 NOff-Page|conn@1||12.5|0|||Y|
2842 NOff-Page|conn@2||-11|0|||Y|
2843 NOff-Page|conn@3||-22.5|-2||||
2844 IredFive:nand3_sy6;1{ic}|nand3LT_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-4;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2845 Inand3_sy6;1{ic}|nand3LT_@1||27.5|12|||D0G4;|ATTR_Delay(D5G1;NPX4.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2846 Ngeneric:Invisible-Pin|pin@0||-0.5|25|||||ART_message(D5G6;)Snand3_sy6
2847 Ngeneric:Invisible-Pin|pin@1||-0.5|20|||||ART_message(D5G2;)S[one-parameter NAND]
2848 Ngeneric:Invisible-Pin|pin@2||-0.5|18|||||ART_message(D5G2;)SP to N width ratio is 2 to 3
2849 Ngeneric:Invisible-Pin|pin@3||28|-11|||||ART_message(D5G2;)S[X is drive strength,Three pull-ups have the same strength,as the pull-down]
2850 Ngeneric:Invisible-Pin|pin@4||-1|15.5|||||ART_message(D5G2;)SSized assuming that only 1 input goes low at a time
2851 Awire|net@0|||0|nand3LT_@0|inc|-2.5|2|conn@0|y|-17.5|2
2852 Awire|net@1|||1800|conn@3|y|-20.5|-2|nand3LT_@0|ina|-2.5|-2
2853 Awire|net@2|||1800|nand3LT_@0|out|2.5|0|conn@1|a|10.5|0
2854 Awire|net@3|||0|nand3LT_@0|inb|-2.5|0|conn@2|y|-9|0
2855 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)S1.67
2856 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)S1.67
2857 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)S1.67
2858 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)S3
2859 X
2860
2861 # Cell nand3en;1{ic}
2862 Cnand3en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2863 Ngeneric:Facet-Center|art@0||0|0||||AV
2864 NThick-Circle|art@1||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2865 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
2866 Ngeneric:Invisible-Pin|pin@0||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2867 NPin|pin@1||-0.25|-3|1|1||
2868 NPin|pin@2||-1.5|-1.75|1|1||
2869 Nschematic:Bus_Pin|pin@3||-2.5|-2|-2|-2||
2870 NPin|pin@4||-1.5|-2|1|1||
2871 NPin|pin@5||-2.5|-2||||
2872 NPin|pin@6||-0.5|3|1|1||
2873 Nschematic:Bus_Pin|pin@7||-2.5|0|-2|-2||
2874 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
2875 NPin|pin@9||-1.5|3|1|1||
2876 NPin|pin@10||-1.5|-3|1|1||
2877 NPin|pin@11||-0.5|-3|1|1||
2878 NPin|pin@12||-2.5|0||||
2879 NPin|pin@13||-1.5|0|1|1||
2880 Ngeneric:Invisible-Pin|pin@14||-2.5|2||||
2881 NPin|pin@15||-1.5|2|1|1||
2882 NPin|pin@16||-2.5|2||||
2883 AThicker|net@0|||FS3150|pin@1||-0.25|-3|pin@2||-1.5|-1.75|ART_color()I78
2884 AThicker|net@1|||FS0|pin@4||-1.5|-2|pin@5||-2.5|-2|ART_color()I78
2885 AThicker|net@2|||FS0|pin@6||-0.5|3|pin@9||-1.5|3|ART_color()I78
2886 AThicker|net@3|||FS2700|pin@10||-1.5|-3|pin@9||-1.5|3|ART_color()I78
2887 AThicker|net@4|||FS0|pin@11||-0.5|-3|pin@10||-1.5|-3|ART_color()I78
2888 AThicker|net@5|||FS0|pin@13||-1.5|0|pin@12||-2.5|0|ART_color()I78
2889 AThicker|net@6|||FS0|pin@15||-1.5|2|pin@16||-2.5|2|ART_color()I78
2890 Eina||D5G1;|pin@3||I
2891 Einb||D5G1;|pin@7||I
2892 Einc||D5G1;|pin@14||I
2893 Eout||D5G1;|pin@8||O
2894 X
2895
2896 # Cell nand3en;1{sch}
2897 Cnand3en;1{sch}||schematic|1021415734000|1159375988991||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2898 Ngeneric:Facet-Center|art@0||0|0||||AV
2899 NOff-Page|conn@0||-23.5|-2||||
2900 NOff-Page|conn@1||-9|0|||Y|
2901 NOff-Page|conn@2||15.5|0|||Y|
2902 NOff-Page|conn@3||-18|2||||
2903 IredFive:nand3en;1{ic}|nand3en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2904 Inand3en;1{ic}|nand3en@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2905 Ngeneric:Invisible-Pin|pin@0||-0.5|19|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable)"]
2906 Ngeneric:Invisible-Pin|pin@1||-0.5|25|||||ART_message(D5G6;)S[nand3en]
2907 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2908 Ngeneric:Invisible-Pin|pin@3||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2909 Awire|net@0|||0|nand3en@0|inc|-2.5|2|conn@3|y|-16|2
2910 Awire|net@1|||0|nand3en@0|ina|-2.5|-2|conn@0|y|-21.5|-2
2911 Awire|net@2|||1800|nand3en@0|out|2.5|0|conn@2|a|13.5|0
2912 Awire|net@3|||0|nand3en@0|inb|-2.5|0|conn@1|y|-7|0
2913 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
2914 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2915 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2916 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
2917 X
2918
2919 # Cell nand3en_sy;1{ic}
2920 Cnand3en_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,4000]
2921 Ngeneric:Facet-Center|art@0||0|0||||AV
2922 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
2923 NThick-Circle|art@2||-0.5|0|6|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
2924 Ngeneric:Invisible-Pin|pin@0||-0.5|1|||||ART_message(D5G1;)S[sy2]
2925 NPin|pin@1||-2.5|2||||
2926 NPin|pin@2||-1.5|2|1|1||
2927 Ngeneric:Invisible-Pin|pin@3||-2.5|2||||
2928 NPin|pin@4||-1.5|0|1|1||
2929 NPin|pin@5||-2.5|0||||
2930 NPin|pin@6||-0.5|-3|1|1||
2931 NPin|pin@7||-1.5|-3|1|1||
2932 NPin|pin@8||-1.5|3|1|1||
2933 Nschematic:Bus_Pin|pin@9||2.5|0|-2|-2||
2934 Nschematic:Bus_Pin|pin@10||-2.5|0|-2|-2||
2935 NPin|pin@11||-0.5|3|1|1||
2936 NPin|pin@12||-2.5|-2||||
2937 NPin|pin@13||-1.5|-2|1|1||
2938 Nschematic:Bus_Pin|pin@14||-2.5|-2|-2|-2||
2939 NPin|pin@15||-1.5|-1.75|1|1||
2940 NPin|pin@16||-0.25|-3|1|1||
2941 Ngeneric:Invisible-Pin|pin@17||-0.5|-2.25|||||ART_message(D5G1.5;)S[en]
2942 AThicker|net@0|||FS0|pin@2||-1.5|2|pin@1||-2.5|2|ART_color()I78
2943 AThicker|net@1|||FS0|pin@4||-1.5|0|pin@5||-2.5|0|ART_color()I78
2944 AThicker|net@2|||FS0|pin@6||-0.5|-3|pin@7||-1.5|-3|ART_color()I78
2945 AThicker|net@3|||FS2700|pin@7||-1.5|-3|pin@8||-1.5|3|ART_color()I78
2946 AThicker|net@4|||FS0|pin@11||-0.5|3|pin@8||-1.5|3|ART_color()I78
2947 AThicker|net@5|||FS0|pin@13||-1.5|-2|pin@12||-2.5|-2|ART_color()I78
2948 AThicker|net@6|||FS3150|pin@16||-0.25|-3|pin@15||-1.5|-1.75|ART_color()I78
2949 Eina||D5G1;|pin@14||I
2950 Einb||D5G1;|pin@10||I
2951 Einc||D5G1;|pin@3||I
2952 Eout||D5G1;|pin@9||O
2953 X
2954
2955 # Cell nand3en_sy;1{sch}
2956 Cnand3en_sy;1{sch}||schematic|1021415734000|1159375992880||ATTR_Delay(D5G1;HNPX-14.5;Y-8;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-14.5;Y-9;)I-1|ATTR_X(D5G1;HNOJPX-14.5;Y-7;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-14.5;Y-11;)Sstrong0|ATTR_drive1(D5G1;HNPTX-14.5;Y-12;)Sstrong1|ATTR_su(D5G1;HNPTX-14.5;Y-10;)I-1|prototype_center()I[0,0]
2957 Ngeneric:Facet-Center|art@0||0|0||||AV
2958 NOff-Page|conn@0||-18|2||||
2959 NOff-Page|conn@1||15.5|0|||Y|
2960 NOff-Page|conn@2||-9|0|||Y|
2961 NOff-Page|conn@3||-23.5|-2||||
2962 IredFive:nand3en_sy;1{ic}|nand3en_@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX4;Y-2.5;)S@Delay|ATTR_X(D5G1.5;NOJPX3;Y2.5;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
2963 Inand3en_sy;1{ic}|nand3en_@1||31.5|13|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;Y2.5;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
2964 Ngeneric:Invisible-Pin|pin@0||-0.5|19.5|||||ART_message(D5G2;)S["three input, fixed-size NAND where ina is DC signal (enable) and inb/c are symmetric"]
2965 Ngeneric:Invisible-Pin|pin@1||30.5|-13|||||ART_message(D5G2;)S[X is drive strength,Each pull-up has the same strength,as the pull-down]
2966 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 3]
2967 Ngeneric:Invisible-Pin|pin@3||-0.5|25|||||ART_message(D5G6;)S[nand3en_sy]
2968 Awire|net@0|||0|nand3en_@0|inc|-2.5|2|conn@0|y|-16|2
2969 Awire|net@1|||0|nand3en_@0|ina|-2.5|-2|conn@3|y|-21.5|-2
2970 Awire|net@2|||1800|nand3en_@0|out|2.5|0|conn@1|a|13.5|0
2971 Awire|net@3|||0|nand3en_@0|inb|-2.5|0|conn@2|y|-7|0
2972 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.067
2973 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY1.5;)F1.67
2974 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NX-1;Y-2;)F1.67
2975 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY-2.5;)F2.33
2976 X
2977
2978 # Cell nms1;1{ic}
2979 Cnms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
2980 Ngeneric:Facet-Center|art@0||0|0||||AV
2981 NPin|pin@0||0|2||||
2982 NPin|pin@1||0|1|1|1||
2983 NPin|pin@2||-0.75|1|1|1||
2984 NPin|pin@3||-0.75|-1|1|1||
2985 NPin|pin@4||0|-1|1|1||
2986 NPin|pin@5||-1.5|-1|1|1||
2987 NPin|pin@6||-1.5|1|1|1||
2988 NPin|pin@7||-1|-2|1|1||
2989 NPin|pin@8||0|-3||||
2990 NPin|pin@9||1|-2||||
2991 NPin|pin@10||0|-2|1|1||
2992 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
2993 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
2994 NPin|pin@13||-3|0|||RR|
2995 NPin|pin@14||-1.5|0|1|1|RR|
2996 AThicker|net@0|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
2997 AThicker|net@1|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
2998 AThicker|net@2|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
2999 AThicker|net@3|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
3000 AThicker|net@4|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
3001 AThicker|net@5|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
3002 AThicker|net@6|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
3003 AThicker|net@7|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3004 AThicker|net@8|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3005 AThicker|net@9|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3006 AThicker|net@10|||FS1800|pin@13||-3|0|pin@14||-1.5|0|ART_color()I78
3007 Ed||D5G1;|pin@11||O
3008 Eg||D5G1;|pin@12||I
3009 X
3010
3011 # Cell nms1;2{sch}
3012 Cnms1;2{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-8.5;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y-15.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-16.5;)I-1|prototype_center()I[0,0]
3013 IredFive:NMOS;1{ic}|NMOS@1||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3014 Ngeneric:Facet-Center|art@0||0|0||||AV
3015 NOff-Page|conn@0||23|0||||
3016 NOff-Page|conn@1||-17|-8||||
3017 NGround|gnd@0||0|-16||||
3018 Inms1;1{ic}|nms1@0||24|10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3019 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1]
3020 Ngeneric:Invisible-Pin|pin@1||-0.5|5.5|||||ART_message(D5G2;)S[N-type transistor to GND]
3021 NWire_Pin|pin@2||0|0||||
3022 Awire|net@0|||2700|gnd@0||0|-14|NMOS@1|s|0|-10
3023 Awire|net@1|||900|pin@2||0|0|NMOS@1|d|0|-6
3024 Awire|net@2|||1800|conn@1|y|-15|-8|NMOS@1|g|-3|-8
3025 Awire|net@3|||1800|pin@2||0|0|conn@0|a|21|0
3026 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.33
3027 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.33
3028 X
3029
3030 # Cell nms1K;1{ic}
3031 Cnms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX3;Y-5;)Sweak0|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3032 Ngeneric:Facet-Center|art@0||0|0||||AV
3033 NOpened-Thicker-Polygon|art@1||-2|-2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3034 NPin|pin@0||0|2||||
3035 NPin|pin@1||0|1|1|1||
3036 NPin|pin@2||-0.75|1|1|1||
3037 NPin|pin@3||-0.75|-1|1|1||
3038 NPin|pin@4||0|-1|1|1||
3039 NPin|pin@5||-1.5|-1|1|1||
3040 NPin|pin@6||-1.5|1|1|1||
3041 NPin|pin@7||-1|-2|1|1||
3042 NPin|pin@8||0|-3||||
3043 NPin|pin@9||1|-2||||
3044 NPin|pin@10||0|-2|1|1||
3045 NPin|pin@11||-3|0|||RR|
3046 NPin|pin@12||-1.5|0|1|1|RR|
3047 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
3048 Nschematic:Bus_Pin|pin@14||0|2|-2|-2||
3049 AThicker|net@0|||FS900|pin@6||-1.5|1|pin@5||-1.5|-1|ART_color()I78
3050 AThicker|net@1|||FS1800|pin@11||-3|0|pin@12||-1.5|0|ART_color()I78
3051 AThicker|net@2|||FS2250|pin@8||0|-3|pin@9||1|-2|ART_color()I78
3052 AThicker|net@3|||FS900|pin@4||0|-1|pin@10||0|-2|ART_color()I78
3053 AThicker|net@4|||FS1350|pin@7||-1|-2|pin@8||0|-3|ART_color()I78
3054 AThicker|net@5|||FS0|pin@9||1|-2|pin@10||0|-2|ART_color()I78
3055 AThicker|net@6|||FS900|pin@0||0|2|pin@1||0|1|ART_color()I78
3056 AThicker|net@7|||FS0|pin@1||0|1|pin@2||-0.75|1|ART_color()I78
3057 AThicker|net@8|||FS900|pin@2||-0.75|1|pin@3||-0.75|-1|ART_color()I78
3058 AThicker|net@9|||FS1800|pin@3||-0.75|-1|pin@4||0|-1|ART_color()I78
3059 AThicker|net@10|||FS0|pin@10||0|-2|pin@7||-1|-2|ART_color()I78
3060 Ed||D5G1;|pin@14||O
3061 Eg||D5G1;|pin@13||I
3062 X
3063
3064 # Cell nms1K;1{sch}
3065 Cnms1K;1{sch}||schematic|1021415734000|1248729331835||ATTR_Delay(D5G1;HNPX-11;Y-14;)I100|ATTR_LEKEEPER(D5G1;HNPTX-11;Y-17;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-11;Y-15;)I-1|ATTR_X(D5G1;HNOJPX-11;Y-13;)SLE.getdrive()|ATTR_drive0(D5G1;HNPX-11;Y-18;)Sweak0|ATTR_su(D5G1;HNPTX-11;Y-16;)I-1|ATTR_verilog_template(D5G1;NTX9.5;Y-22.5;)Snot ($(drive0), highz1) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
3066 IredFive:NMOS;1{ic}|NMOS@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3067 Ngeneric:Facet-Center|art@0||0|0||||AV
3068 NOff-Page|conn@0||5|0||||
3069 NOff-Page|conn@1||-10|-8||||
3070 NGround|gnd@0||0|-17||||
3071 Inms1K;1{ic}|nms1K@0||28|5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)SLE.getdrive()|ATTR_drive0(D5G1;NPX3;Y-5;)Sweak0|ATTR_su(P)I-1
3072 Ngeneric:Invisible-Pin|pin@0||0|8.5|||||ART_message(D5G6;)S[nms1K]
3073 Ngeneric:Invisible-Pin|pin@1||0.5|5|||||ART_message(D5G2;)S[N-type keeper transistor to GND]
3074 NWire_Pin|pin@2||0|0||||
3075 Awire|net@0|||2700|gnd@0||0|-15|NMOS@0|s|0|-10
3076 Awire|net@1|||900|pin@2||0|0|NMOS@0|d|0|-6
3077 Awire|net@2|||1800|conn@1|y|-8|-8|NMOS@0|g|-3|-8
3078 Awire|net@3|||1800|pin@2||0|0|conn@0|a|3|0
3079 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y2;)F0.33
3080 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.33
3081 X
3082
3083 # Cell nms2;1{ic}
3084 Cnms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3085 Ngeneric:Facet-Center|art@0||0|0||||AV
3086 NPin|pin@0||1.5|4|1|1|Y|
3087 NPin|pin@1||3|4||||
3088 NPin|pin@2||0|2|1|1|YRR|
3089 NPin|pin@3||1.5|5|1|1|YRR|
3090 NPin|pin@4||1.5|3|1|1|YRR|
3091 NPin|pin@5||0|3|1|1|YRR|
3092 NPin|pin@6||0.75|3|1|1|YRR|
3093 NPin|pin@7||0.75|5|1|1|YRR|
3094 NPin|pin@8||0|5|1|1|YRR|
3095 NPin|pin@9||0|6|||RR|
3096 NPin|pin@10||0|2|1|1||
3097 NPin|pin@11||0|1|1|1||
3098 NPin|pin@12||-0.75|1|1|1||
3099 NPin|pin@13||-0.75|-1|1|1||
3100 NPin|pin@14||0|-1|1|1||
3101 NPin|pin@15||-1.5|-1|1|1||
3102 NPin|pin@16||-1.5|1|1|1||
3103 NPin|pin@17||-1|-2|1|1||
3104 NPin|pin@18||0|-3||||
3105 NPin|pin@19||1|-2|1|1||
3106 NPin|pin@20||0|-2|1|1||
3107 NPin|pin@21||-3|0|||RR|
3108 NPin|pin@22||-1.5|0|1|1|RR|
3109 Nschematic:Bus_Pin|pin@23||3|4|-2|-2||
3110 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
3111 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3112 AThicker|net@0|||FS0|pin@1||3|4|pin@0||1.5|4|ART_color()I78
3113 AThicker|net@1|||FS900|pin@7||0.75|5|pin@6||0.75|3|ART_color()I78
3114 AThicker|net@2|||FS900|pin@3||1.5|5|pin@4||1.5|3|ART_color()I78
3115 AThicker|net@3|||FS1800|pin@8||0|5|pin@7||0.75|5|ART_color()I78
3116 AThicker|net@4|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3117 AThicker|net@5|||FS0|pin@6||0.75|3|pin@5||0|3|ART_color()I78
3118 AThicker|net@6|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3119 AThicker|net@7|||FS1800|pin@21||-3|0|pin@22||-1.5|0|ART_color()I78
3120 AThicker|net@8|||FS2250|pin@18||0|-3|pin@19||1|-2|ART_color()I78
3121 AThicker|net@9|||FS0|pin@20||0|-2|pin@17||-1|-2|ART_color()I78
3122 AThicker|net@10|||FS1350|pin@17||-1|-2|pin@18||0|-3|ART_color()I78
3123 AThicker|net@11|||FS0|pin@19||1|-2|pin@20||0|-2|ART_color()I78
3124 AThicker|net@12|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3125 AThicker|net@13|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3126 AThicker|net@14|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3127 AThicker|net@15|||FS900|pin@14||0|-1|pin@20||0|-2|ART_color()I78
3128 AThicker|net@16|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3129 AThicker|net@17|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3130 Ed||D5G1;|pin@25||O
3131 Eg||D5G1;|pin@24||I
3132 Eg2||D5G1;|pin@23||I
3133 X
3134
3135 # Cell nms2;1{sch}
3136 Cnms2;1{sch}||schematic|1021415734000|1159375566278||ATTR_Delay(D5G1;HNPX-9;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-9;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-9;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9;Y-15.5;)I-1|prototype_center()I[0,0]
3137 Ngeneric:Facet-Center|art@0||0|0||||AV
3138 NOff-Page|conn@0||8|-4|||YRR|
3139 NOff-Page|conn@1||5|0||||
3140 NOff-Page|conn@2||-10|-8||||
3141 IredFive:nms2b;1{ic}|nms2@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)S@X
3142 Inms2;1{ic}|nms2@1||27|0|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2.25;Y1.5;)SLE.getdrive()|ATTR_su(P)I-1
3143 Ngeneric:Invisible-Pin|pin@0||0|10.5|||||ART_message(D5G6;)S[nms2]
3144 Ngeneric:Invisible-Pin|pin@1||0|6|||||ART_message(D5G2;)S[two N-type transistors to GND]
3145 NWire_Pin|pin@2||0|0||||
3146 Awire|net@0|||0|conn@0|y|6|-4|nms2@0|g2|3|-4
3147 Awire|net@1|||900|pin@2||0|0|nms2@0|d|0|-2
3148 Awire|net@2|||0|nms2@0|g|-3|-8|conn@2|y|-8|-8
3149 Awire|net@3|||1800|pin@2||0|0|conn@1|a|3|0
3150 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NX0.5;Y2.5;)F0.67
3151 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX0.5;Y2;)F0.67
3152 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F0.67
3153 X
3154
3155 # Cell nms2K;1{ic}
3156 Cnms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;HNPX3.5;Y-1.5;)I1|ATTR_su(D5G1;HNPX3.5;Y-3.5;)I-1|prototype_center()I[0,-24000]
3157 Ngeneric:Facet-Center|art@0||0|0||||AV
3158 NOpened-Thicker-Polygon|art@1||0.75|4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3159 NPin|pin@0||-3|4||||
3160 NPin|pin@1||-1.5|4|1|1|Y|
3161 NPin|pin@2||0|2|1|1|YRR|
3162 NPin|pin@3||-1.5|5|1|1|YRR|
3163 NPin|pin@4||-1.5|3|1|1|YRR|
3164 NPin|pin@5||0|3|1|1|YRR|
3165 NPin|pin@6||-0.75|3|1|1|YRR|
3166 NPin|pin@7||-0.75|5|1|1|YRR|
3167 NPin|pin@8||0|5|1|1|YRR|
3168 NPin|pin@9||0|6|||RR|
3169 NPin|pin@10||0|2|1|1||
3170 NPin|pin@11||0|1|1|1||
3171 NPin|pin@12||-0.75|1|1|1||
3172 NPin|pin@13||-0.75|-1|1|1||
3173 NPin|pin@14||0|-1|1|1||
3174 NPin|pin@15||-1.5|-1|1|1||
3175 NPin|pin@16||-1.5|1|1|1||
3176 NPin|pin@17||0|-2||||
3177 NPin|pin@18||-3|0|1|1|RR|
3178 NPin|pin@19||-1.5|0|1|1|RR|
3179 Nschematic:Bus_Pin|pin@20||0|-2|-2|-2||
3180 NPin|pin@21||-3|1|1|1||
3181 NPin|pin@22||-2.5|0.5|1|1||
3182 NPin|pin@23||-3.5|1.5||||
3183 NPin|pin@24||-3|0|1|1|RR|
3184 Nschematic:Bus_Pin|pin@25||0|6|-2|-2||
3185 Nschematic:Bus_Pin|pin@26||-3|4|-2|-2||
3186 AThicker|net@0|||FS1800|pin@18||-3|0|pin@19||-1.5|0|ART_color()I78
3187 AThicker|net@1|||FS0|pin@1||-1.5|4|pin@0||-3|4|ART_color()I78
3188 AThicker|net@2|||FS900|pin@3||-1.5|5|pin@4||-1.5|3|ART_color()I78
3189 AThicker|net@3|||FS900|pin@9||0|6|pin@8||0|5|ART_color()I78
3190 AThicker|net@4|||FS0|pin@11||0|1|pin@12||-0.75|1|ART_color()I78
3191 AThicker|net@5|||FS900|pin@12||-0.75|1|pin@13||-0.75|-1|ART_color()I78
3192 AThicker|net@6|||FS900|pin@16||-1.5|1|pin@15||-1.5|-1|ART_color()I78
3193 AThicker|net@7|||FS900|pin@14||0|-1|pin@17||0|-2|ART_color()I78
3194 AThicker|net@8|||FS900|pin@7||-0.75|5|pin@6||-0.75|3|ART_color()I78
3195 AThicker|net@9|||FS1800|pin@6||-0.75|3|pin@5||0|3|ART_color()I78
3196 AThicker|net@10|||FS0|pin@8||0|5|pin@7||-0.75|5|ART_color()I78
3197 AThicker|net@11|||FS900|pin@5||0|3|pin@2||0|2|ART_color()I78
3198 AThicker|net@12|||FS900|pin@10||0|2|pin@11||0|1|ART_color()I78
3199 AThicker|net@13|||FS1800|pin@13||-0.75|-1|pin@14||0|-1|ART_color()I78
3200 AThicker|net@14|||FS900|pin@21||-3|1|pin@24||-3|0|ART_color()I78
3201 AThicker|net@15|||FS3150|pin@22||-2.5|0.5|pin@23||-3.5|1.5|ART_color()I78
3202 Ein||D5G1;|pin@26||I
3203 Eout||D5G1;|pin@25||O
3204 Esrc||D5G1;|pin@20||I
3205 X
3206
3207 # Cell nms2K;1{sch}
3208 Cnms2K;1{sch}||schematic|1021415734000|1248729521939||ATTR_Delay(D5G1;HNPX-8.5;Y-24;)I100|ATTR_LEKEEPER(D5G1;HNPX-8.5;Y-26;)I1|ATTR_SN(D5G1;HNPX-8.5;Y-23;)I1|ATTR_su(D5G1;HNPX-8.5;Y-25;)I-1|prototype_center()I[0,0]
3209 Iorange40nm:NMOS4f;1{ic}|NMOS4f@2||0|-15|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.25;Y-0.25;)S@SN==0?0:@SN<0.5?(0.5*(2-0.4)/@SN + 0.4):2|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D6G1;NOJPX1.75;Y0.75;)S"P(\"SN\")>1?3*P(\"SN\"):3"
3210 Iorange40nm:NMOS4f;1{ic}|NMOS4f@3||0|-7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NPX3.25;Y-0.25;)I2|ATTR_M1(D5G1;NOLPX3.5;Y-1;)S1|ATTR_NF(D5G1;NOLPX3.5;)S1|ATTR_W(D6G1;NPX1.75;Y0.75;)I3
3211 Ngeneric:Facet-Center|art@0||0|0||||AV
3212 NOff-Page|conn@0||-10|-20||||
3213 NOff-Page|conn@1||-10|-7||||
3214 NOff-Page|conn@2||5|0||||
3215 NGround|gnd@0||2|-24||||
3216 Inms2K;1{ic}|nms2K@0||14|-10|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-4.5;)I1|ATTR_SN(D5G1.5;NPX3.5;Y-1.5;)I1|ATTR_su(D5G1;NPX3.5;Y-3.5;)I-1
3217 NWire_Pin|pin@0||2|-7.5||||
3218 NWire_Pin|pin@1||2|-15.5||||
3219 NWire_Pin|pin@2||0|0||||
3220 Ngeneric:Invisible-Pin|pin@3||0|6|||||ART_message(D5G2;)S[min N-type with resistor to pin]
3221 Ngeneric:Invisible-Pin|pin@4||0|10.5|||||ART_message(D5G6;)S[nms2K]
3222 NWire_Pin|pin@5||0|-20||||
3223 NPower|pwr@0||-3|-12||||
3224 Awire|net@0|||2700|pin@1||2|-15.5|pin@0||2|-7.5
3225 Awire|net@1|||0|pin@1||2|-15.5|NMOS4f@2|b|0|-15.5
3226 Awire|net@2|||2700|pin@5||0|-20|NMOS4f@2|s|0|-17
3227 Awire|net@3|||2700|NMOS4f@2|d|0|-13|NMOS4f@3|s|0|-9
3228 Awire|net@4|||900|pwr@0||-3|-12|NMOS4f@2|g|-3|-15
3229 Awire|net@5|||0|pin@0||2|-7.5|NMOS4f@3|b|0|-7.5
3230 Awire|net@6|||900|pin@2||0|0|NMOS4f@3|d|0|-5
3231 Awire|net@7|||1800|conn@1|y|-8|-7|NMOS4f@3|g|-3|-7
3232 Awire|net@8|||900|pin@1||2|-15.5|gnd@0||2|-22
3233 Awire|net@9|||1800|conn@0|y|-8|-20|pin@5||0|-20
3234 Awire|net@10|||1800|pin@2||0|0|conn@2|a|3|0
3235 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)I0
3236 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
3237 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)I1
3238 X
3239
3240 # Cell nms2_sy;3{ic}
3241 Cnms2_sy;3{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX5.5;Y-0.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3242 Ngeneric:Facet-Center|art@0||0|0||||AV
3243 NPin|pin@0||-2.25|0|1|1||
3244 NPin|pin@1||2.25|4|1|1||
3245 NPin|pin@2||0.25|1|1|1||
3246 NPin|pin@3||-0.25|3|1|1||
3247 NPin|pin@4||0.25|3|1|1||
3248 NPin|pin@5||0.75|1|1|1|YRR|
3249 NPin|pin@6||0.75|-1|1|1|YRR|
3250 NPin|pin@7||0|-1|1|1|YRR|
3251 NPin|pin@8||1.5|-1|1|1|YRR|
3252 NPin|pin@9||1.5|1|1|1|YRR|
3253 NPin|pin@10||2.25|0|1|1|Y|
3254 NPin|pin@11||1.5|0|1|1|Y|
3255 NPin|pin@12||-1.5|3|1|1||
3256 NPin|pin@13||-1.5|5|1|1||
3257 NPin|pin@14||-2.25|4|1|1|RR|
3258 NPin|pin@15||-1.5|4|1|1|RR|
3259 NPin|pin@16||-0.75|3|1|1||
3260 NPin|pin@17||-0.75|5|1|1||
3261 NPin|pin@18||1.5|4|1|1|Y|
3262 NPin|pin@19||3|4||||
3263 NPin|pin@20||1.5|5|1|1|YRR|
3264 NPin|pin@21||1.5|3|1|1|YRR|
3265 NPin|pin@22||0.75|3|1|1|YRR|
3266 NPin|pin@23||0.75|5|1|1|YRR|
3267 NPin|pin@24||0|5|1|1|YRR|
3268 NPin|pin@25||0|6|||RR|
3269 NPin|pin@26||-0.25|1|1|1||
3270 NPin|pin@27||-0.75|1|1|1||
3271 NPin|pin@28||-0.75|-1|1|1||
3272 NPin|pin@29||0|-1|1|1||
3273 NPin|pin@30||-1.5|-1|1|1||
3274 NPin|pin@31||-1.5|1|1|1||
3275 NPin|pin@32||-1|-2|1|1||
3276 NPin|pin@33||0|-3||||
3277 NPin|pin@34||1|-2|1|1||
3278 NPin|pin@35||0|-2|1|1||
3279 NPin|pin@36||-3|0|||RR|
3280 NPin|pin@37||-1.5|0|1|1|RR|
3281 Nschematic:Bus_Pin|pin@38||3|4|-2|-2||
3282 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
3283 Nschematic:Bus_Pin|pin@40||0|6|-2|-2||
3284 AThicker|net@0|||FS2700|pin@0||-2.25|0|pin@14||-2.25|4|ART_color()I78
3285 AThicker|net@1|||FS900|pin@1||2.25|4|pin@10||2.25|0|ART_color()I78
3286 AThicker|net@2|||FS0|pin@19||3|4|pin@18||1.5|4|ART_color()I78
3287 AThicker|net@3|||FS0|pin@5||0.75|1|pin@2||0.25|1|ART_color()I78
3288 AThicker|net@4|||FS2840|pin@2||0.25|1|pin@3||-0.25|3|ART_color()I78
3289 AThicker|net@5|||FS0|pin@3||-0.25|3|pin@16||-0.75|3|ART_color()I78
3290 AThicker|net@6|||FS0|pin@22||0.75|3|pin@4||0.25|3|ART_color()I78
3291 AThicker|net@7|||FS760|pin@4||0.25|3|pin@26||-0.25|1|ART_color()I78
3292 AThicker|net@8|||FS900|pin@9||1.5|1|pin@8||1.5|-1|ART_color()I78
3293 AThicker|net@9|||FS0|pin@6||0.75|-1|pin@7||0|-1|ART_color()I78
3294 AThicker|net@10|||FS900|pin@5||0.75|1|pin@6||0.75|-1|ART_color()I78
3295 AThicker|net@11|||FS0|pin@10||2.25|0|pin@11||1.5|0|ART_color()I78
3296 AThicker|net@12|||FS900|pin@13||-1.5|5|pin@12||-1.5|3|ART_color()I78
3297 AThicker|net@13|||FS1800|pin@14||-2.25|4|pin@15||-1.5|4|ART_color()I78
3298 AThicker|net@14|||FS2700|pin@16||-0.75|3|pin@17||-0.75|5|ART_color()I78
3299 AThicker|net@15|||FS1800|pin@17||-0.75|5|pin@24||0|5|ART_color()I78
3300 AThicker|net@16|||FS900|pin@23||0.75|5|pin@22||0.75|3|ART_color()I78
3301 AThicker|net@17|||FS1800|pin@24||0|5|pin@23||0.75|5|ART_color()I78
3302 AThicker|net@18|||FS900|pin@20||1.5|5|pin@21||1.5|3|ART_color()I78
3303 AThicker|net@19|||FS900|pin@25||0|6|pin@24||0|5|ART_color()I78
3304 AThicker|net@20|||FS0|pin@34||1|-2|pin@35||0|-2|ART_color()I78
3305 AThicker|net@21|||FS2250|pin@33||0|-3|pin@34||1|-2|ART_color()I78
3306 AThicker|net@22|||FS900|pin@29||0|-1|pin@35||0|-2|ART_color()I78
3307 AThicker|net@23|||FS1800|pin@28||-0.75|-1|pin@29||0|-1|ART_color()I78
3308 AThicker|net@24|||FS1800|pin@36||-3|0|pin@37||-1.5|0|ART_color()I78
3309 AThicker|net@25|||FS900|pin@31||-1.5|1|pin@30||-1.5|-1|ART_color()I78
3310 AThicker|net@26|||FS0|pin@35||0|-2|pin@32||-1|-2|ART_color()I78
3311 AThicker|net@27|||FS1350|pin@32||-1|-2|pin@33||0|-3|ART_color()I78
3312 AThicker|net@28|||FS0|pin@26||-0.25|1|pin@27||-0.75|1|ART_color()I78
3313 AThicker|net@29|||FS900|pin@27||-0.75|1|pin@28||-0.75|-1|ART_color()I78
3314 Ed||D5G1;|pin@40||O
3315 Eg||D5G1;|pin@39||I
3316 Eg2||D5G1;|pin@38||I
3317 X
3318
3319 # Cell nms2_sy;1{sch}
3320 Cnms2_sy;1{sch}||schematic|1021415734000|1159375570860||ATTR_Delay(D5G1;HNPX-8;Y-13.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8;Y-16.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8;Y-14.5;)I-1|ATTR_X(D5G1;HNOJPX-8;Y-12.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8;Y-15.5;)I-1|prototype_center()I[0,0]
3321 Ngeneric:Facet-Center|art@0||0|0||||AV
3322 NOff-Page|conn@0||-15|-9||||
3323 NOff-Page|conn@1||16|-5|||YRR|
3324 NOff-Page|conn@2||16.5|0||||
3325 IredFive:nms2_sy;1{ic}|nms2_sy@0||0|-9|||D0G4;|ATTR_Delay(D5G1;NOJPX5.5;Y-0.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)S@X
3326 Inms2_sy;3{ic}|nms2_sy@1||22|11|||D0G4;|ATTR_Delay(D5G1;NPX5.5;Y-0.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-3.75;Y2.5;)SLE.getdrive()|ATTR_su(P)I-1
3327 NWire_Pin|pin@0||0|0||||
3328 Ngeneric:Invisible-Pin|pin@1||-4|9|||||ART_message(D5G2;)S[symmetric N-type two-stack]
3329 Ngeneric:Invisible-Pin|pin@2||-4|14|||||ART_message(D5G6;)S[nms2_sy]
3330 Awire|net@0|||1800|nms2_sy@0|g2|3|-5|conn@1|y|14|-5
3331 Awire|net@1|||900|pin@0||0|0|nms2_sy@0|d|0|-3
3332 Awire|net@2|||0|nms2_sy@0|g|-3|-9|conn@0|y|-13|-9
3333 Awire|net@3|||0|conn@2|a|14.5|0|pin@0||0|0
3334 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NX-0.5;Y2;)F0.67
3335 Eg||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX1;Y-2;)F0.67
3336 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
3337 X
3338
3339 # Cell nms3;1{ic}
3340 Cnms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3341 Ngeneric:Facet-Center|art@0||0|0||||AV
3342 NPin|pin@0||0|10||||
3343 NPin|pin@1||0|9|1|1||
3344 NPin|pin@2||-0.75|9|1|1||
3345 NPin|pin@3||-0.75|7|1|1||
3346 NPin|pin@4||0|7|1|1||
3347 NPin|pin@5||-1.5|7|1|1||
3348 NPin|pin@6||-1.5|9|1|1||
3349 NPin|pin@7||0|6|1|1||
3350 NPin|pin@8||-3|8|||RR|
3351 NPin|pin@9||-1.5|8|1|1|RR|
3352 Ngeneric:Invisible-Pin|pin@10||-3|8||||
3353 Nschematic:Bus_Pin|pin@11||0|10|-2|-2||
3354 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3355 Nschematic:Bus_Pin|pin@13||3|4|-2|-2||
3356 NPin|pin@14||-1.5|0|1|1|RR|
3357 NPin|pin@15||-3|0|||RR|
3358 NPin|pin@16||0|-2|1|1||
3359 NPin|pin@17||1|-2|1|1||
3360 NPin|pin@18||0|-3||||
3361 NPin|pin@19||-1|-2|1|1||
3362 NPin|pin@20||-1.5|1|1|1||
3363 NPin|pin@21||-1.5|-1|1|1||
3364 NPin|pin@22||0|-1|1|1||
3365 NPin|pin@23||-0.75|-1|1|1||
3366 NPin|pin@24||-0.75|1|1|1||
3367 NPin|pin@25||0|1|1|1||
3368 NPin|pin@26||0|2|1|1||
3369 NPin|pin@27||0|6|||RR|
3370 NPin|pin@28||0|5|1|1|YRR|
3371 NPin|pin@29||0.75|5|1|1|YRR|
3372 NPin|pin@30||0.75|3|1|1|YRR|
3373 NPin|pin@31||0|3|1|1|YRR|
3374 NPin|pin@32||1.5|3|1|1|YRR|
3375 NPin|pin@33||1.5|5|1|1|YRR|
3376 NPin|pin@34||0|2|1|1|YRR|
3377 NPin|pin@35||3|4||||
3378 NPin|pin@36||1.5|4|1|1|Y|
3379 AThicker|net@0|||FS900|pin@6||-1.5|9|pin@5||-1.5|7|ART_color()I78
3380 AThicker|net@1|||FS900|pin@0||0|10|pin@1||0|9|ART_color()I78
3381 AThicker|net@2|||FS0|pin@1||0|9|pin@2||-0.75|9|ART_color()I78
3382 AThicker|net@3|||FS1800|pin@3||-0.75|7|pin@4||0|7|ART_color()I78
3383 AThicker|net@4|||FS900|pin@4||0|7|pin@7||0|6|ART_color()I78
3384 AThicker|net@5|||FS1800|pin@8||-3|8|pin@9||-1.5|8|ART_color()I78
3385 AThicker|net@6|||FS900|pin@2||-0.75|9|pin@3||-0.75|7|ART_color()I78
3386 AThicker|net@7|||FS900|pin@20||-1.5|1|pin@21||-1.5|-1|ART_color()I78
3387 AThicker|net@8|||FS1800|pin@23||-0.75|-1|pin@22||0|-1|ART_color()I78
3388 AThicker|net@9|||FS900|pin@22||0|-1|pin@16||0|-2|ART_color()I78
3389 AThicker|net@10|||FS900|pin@26||0|2|pin@25||0|1|ART_color()I78
3390 AThicker|net@11|||FS900|pin@24||-0.75|1|pin@23||-0.75|-1|ART_color()I78
3391 AThicker|net@12|||FS0|pin@25||0|1|pin@24||-0.75|1|ART_color()I78
3392 AThicker|net@13|||FS0|pin@17||1|-2|pin@16||0|-2|ART_color()I78
3393 AThicker|net@14|||FS1350|pin@19||-1|-2|pin@18||0|-3|ART_color()I78
3394 AThicker|net@15|||FS0|pin@16||0|-2|pin@19||-1|-2|ART_color()I78
3395 AThicker|net@16|||FS2250|pin@18||0|-3|pin@17||1|-2|ART_color()I78
3396 AThicker|net@17|||FS1800|pin@15||-3|0|pin@14||-1.5|0|ART_color()I78
3397 AThicker|net@18|||FS900|pin@31||0|3|pin@34||0|2|ART_color()I78
3398 AThicker|net@19|||FS0|pin@30||0.75|3|pin@31||0|3|ART_color()I78
3399 AThicker|net@20|||FS900|pin@27||0|6|pin@28||0|5|ART_color()I78
3400 AThicker|net@21|||FS1800|pin@28||0|5|pin@29||0.75|5|ART_color()I78
3401 AThicker|net@22|||FS900|pin@33||1.5|5|pin@32||1.5|3|ART_color()I78
3402 AThicker|net@23|||FS900|pin@29||0.75|5|pin@30||0.75|3|ART_color()I78
3403 AThicker|net@24|||FS0|pin@35||3|4|pin@36||1.5|4|ART_color()I78
3404 Eina||D5G1;|pin@12||I
3405 Einb||D5G1;|pin@13||I
3406 Einc||D5G1;|pin@10||I
3407 Eout||D5G1;|pin@11||O
3408 X
3409
3410 # Cell nms3;1{sch}
3411 Cnms3;1{sch}||schematic|1021415734000|1159375575060||ATTR_Delay(D5G1;HNPX-9.5;Y-14;)I100|ATTR_LEGATE(D5G1;HNPTX-9.5;Y-15;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-9.5;Y-13;)I-1|ATTR_X(D5G1;HNOJPX-9.5;Y-12;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-9.5;Y-16;)I-1|prototype_center()I[0,0]
3412 Ngeneric:Facet-Center|art@0||0|0||||AV
3413 NOff-Page|conn@0||-10|0||||
3414 NOff-Page|conn@1||-10|-8||||
3415 NOff-Page|conn@2||5|6||||
3416 NOff-Page|conn@3||8|-4|||YRR|
3417 IredFive:nms3;1{ic}|nms3@0||0|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)S@X
3418 Inms3;1{ic}|nms3@1||30|5|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3419 NWire_Pin|pin@0||0|6||||
3420 Ngeneric:Invisible-Pin|pin@1||0|12|||||ART_message(D5G2;)S[three N-type transistors to GND]
3421 Ngeneric:Invisible-Pin|pin@2||0|16.5|||||ART_message(D5G6;)S[nms3]
3422 Awire|net@0|||0|nms3@0|g3|-3|0|conn@0|y|-8|0
3423 Awire|net@1|||0|conn@3|y|6|-4|nms3@0|g2|3|-4
3424 Awire|net@2|||900|pin@0||0|6|nms3@0|d|0|2
3425 Awire|net@3|||0|nms3@0|g|-3|-8|conn@1|y|-8|-8
3426 Awire|net@4|||1800|pin@0||0|6|conn@2|a|3|6
3427 Eina||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y2;)I1
3428 Einb||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)I1
3429 Einc||D5G2;|conn@0|y|I|ATTR_le(D5G1;NY-2;)I1
3430 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I1
3431 X
3432
3433 # Cell nms3_sy3;1{ic}
3434 Cnms3_sy3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.25;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3435 Ngeneric:Facet-Center|art@0||0|0||||AV
3436 Ngeneric:Invisible-Pin|pin@0||-0.75|-3.5|||||ART_message(D5G2;)S[sy3]
3437 NPin|pin@1||1.5|4|1|1|Y|
3438 NPin|pin@2||3|4||||
3439 NPin|pin@3||0|2|1|1|YRR|
3440 NPin|pin@4||1.5|5|1|1|YRR|
3441 NPin|pin@5||1.5|3|1|1|YRR|
3442 NPin|pin@6||0|3|1|1|YRR|
3443 NPin|pin@7||0.75|3|1|1|YRR|
3444 NPin|pin@8||0.75|5|1|1|YRR|
3445 NPin|pin@9||0|5|1|1|YRR|
3446 NPin|pin@10||0|6|||RR|
3447 NPin|pin@11||0|2|1|1||
3448 NPin|pin@12||0|1|1|1||
3449 NPin|pin@13||-0.75|1|1|1||
3450 NPin|pin@14||-0.75|-1|1|1||
3451 NPin|pin@15||0|-1|1|1||
3452 NPin|pin@16||-1.5|-1|1|1||
3453 NPin|pin@17||-1.5|1|1|1||
3454 NPin|pin@18||-1|-2|1|1||
3455 NPin|pin@19||0|-3||||
3456 NPin|pin@20||1|-2|1|1||
3457 NPin|pin@21||0|-2|1|1||
3458 NPin|pin@22||-3|0|||RR|
3459 NPin|pin@23||-1.5|0|1|1|RR|
3460 Nschematic:Bus_Pin|pin@24||3|4|-2|-2||
3461 Nschematic:Bus_Pin|pin@25||-3|0|-2|-2||
3462 Nschematic:Bus_Pin|pin@26||0|10|-2|-2||
3463 Ngeneric:Invisible-Pin|pin@27||-3|8||||
3464 NPin|pin@28||-1.5|8|1|1|RR|
3465 NPin|pin@29||-3|8|||RR|
3466 NPin|pin@30||0|6|1|1||
3467 NPin|pin@31||-1.5|9|1|1||
3468 NPin|pin@32||-1.5|7|1|1||
3469 NPin|pin@33||0|7|1|1||
3470 NPin|pin@34||-0.75|7|1|1||
3471 NPin|pin@35||-0.75|9|1|1||
3472 NPin|pin@36||0|9|1|1||
3473 NPin|pin@37||0|10||||
3474 AThicker|net@0|||FS0|pin@2||3|4|pin@1||1.5|4|ART_color()I78
3475 AThicker|net@1|||FS900|pin@8||0.75|5|pin@7||0.75|3|ART_color()I78
3476 AThicker|net@2|||FS900|pin@4||1.5|5|pin@5||1.5|3|ART_color()I78
3477 AThicker|net@3|||FS1800|pin@9||0|5|pin@8||0.75|5|ART_color()I78
3478 AThicker|net@4|||FS900|pin@10||0|6|pin@9||0|5|ART_color()I78
3479 AThicker|net@5|||FS0|pin@7||0.75|3|pin@6||0|3|ART_color()I78
3480 AThicker|net@6|||FS900|pin@6||0|3|pin@3||0|2|ART_color()I78
3481 AThicker|net@7|||FS1800|pin@22||-3|0|pin@23||-1.5|0|ART_color()I78
3482 AThicker|net@8|||FS2250|pin@19||0|-3|pin@20||1|-2|ART_color()I78
3483 AThicker|net@9|||FS0|pin@21||0|-2|pin@18||-1|-2|ART_color()I78
3484 AThicker|net@10|||FS1350|pin@18||-1|-2|pin@19||0|-3|ART_color()I78
3485 AThicker|net@11|||FS0|pin@20||1|-2|pin@21||0|-2|ART_color()I78
3486 AThicker|net@12|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
3487 AThicker|net@13|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
3488 AThicker|net@14|||FS900|pin@11||0|2|pin@12||0|1|ART_color()I78
3489 AThicker|net@15|||FS900|pin@15||0|-1|pin@21||0|-2|ART_color()I78
3490 AThicker|net@16|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
3491 AThicker|net@17|||FS900|pin@17||-1.5|1|pin@16||-1.5|-1|ART_color()I78
3492 AThicker|net@18|||FS900|pin@35||-0.75|9|pin@34||-0.75|7|ART_color()I78
3493 AThicker|net@19|||FS1800|pin@29||-3|8|pin@28||-1.5|8|ART_color()I78
3494 AThicker|net@20|||FS900|pin@33||0|7|pin@30||0|6|ART_color()I78
3495 AThicker|net@21|||FS1800|pin@34||-0.75|7|pin@33||0|7|ART_color()I78
3496 AThicker|net@22|||FS0|pin@36||0|9|pin@35||-0.75|9|ART_color()I78
3497 AThicker|net@23|||FS900|pin@37||0|10|pin@36||0|9|ART_color()I78
3498 AThicker|net@24|||FS900|pin@31||-1.5|9|pin@32||-1.5|7|ART_color()I78
3499 Eina||D5G1;|pin@25||I
3500 Einb||D5G1;|pin@24||I
3501 Einc||D5G1;|pin@27||I
3502 Eout||D5G1;|pin@26||O
3503 X
3504
3505 # Cell nms3_sy3;1{sch}
3506 Cnms3_sy3;1{sch}||schematic|1021415734000|1159375578755||ATTR_Delay(D5G1;HNPX-20;Y-11.5;)I100|ATTR_LEGATE(D5G1;HNPTX-20;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20;Y-12.5;)I-1|ATTR_X(D5G1;HNOJPX-20;Y-10.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20;Y-13.5;)I-1|prototype_center()I[0,0]
3507 Ngeneric:Facet-Center|art@0||0|0||||AV
3508 NOff-Page|conn@0||14.5|0|||YRR|
3509 NOff-Page|conn@1||15|9||||
3510 NOff-Page|conn@2||-14.5|-4||||
3511 NOff-Page|conn@3||-14.5|4||||
3512 IredFive:nms3_sy3;1{ic}|nms3_sy3@0||-4|-4|||D0G4;|ATTR_Delay(D5G1;NOJPX-8.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX-8.5;Y1;)S@X
3513 Inms3_sy3;1{ic}|nms3_sy3@1||32|11|||D0G4;|ATTR_Delay(D5G1;NPX3.25;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX-2;Y0.5;)SLE.getdrive()|ATTR_su(P)I-1
3514 NWire_Pin|pin@0||1|9||||
3515 Ngeneric:Invisible-Pin|pin@1||-2|21.5|||||ART_message(D5G6;)S[nms3_sy3]
3516 Ngeneric:Invisible-Pin|pin@2||-2|17|||||ART_message(D5G2;)S[semi-symmetric three N-type transistors to GND]
3517 Awire|net@0|||1800|conn@3|y|-12.5|4|nms3_sy3@0|g3|-7|4
3518 Awire|net@1|||1800|nms3_sy3@0|g2|7.5|0|conn@0|y|12.5|0
3519 Awire|net@2|||900|pin@0||1|9|nms3_sy3@0|d|1|7
3520 Awire|net@3|||1800|conn@2|y|-12.5|-4|nms3_sy3@0|g|-7|-4
3521 Awire|net@4|||1800|pin@0||1|9|conn@1|a|13|9
3522 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NX1.5;Y-2.5;)I1
3523 Einb||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)I1
3524 Einc||D5G2;|conn@3|y|I|ATTR_le(D5G1;NY-2;)I1
3525 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)I1
3526 X
3527
3528 # Cell nor2;1{ic}
3529 Cnor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3530 Ngeneric:Facet-Center|art@0||0|0||||AV
3531 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3532 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3533 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3534 NThick-Circle|art@4||2|0|1|1|||ART_color()I78
3535 NPin|pin@0||-0.5|-1.75|1|1||
3536 NPin|pin@1||-1|-1.25|1|1||
3537 NPin|pin@2||-1|1|1|1||
3538 NPin|pin@3||-2.5|1||||
3539 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3540 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
3541 NPin|pin@6||-2.5|-1||||
3542 NPin|pin@7||-1|-1|1|1||
3543 Nschematic:Bus_Pin|pin@8||-2.5|-1|-2|-2||
3544 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
3545 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
3546 AThicker|net@2|||FS0|pin@2||-1|1|pin@3||-2.5|1|ART_color()I78
3547 AThicker|net@3|||FS0|pin@7||-1|-1|pin@6||-2.5|-1|ART_color()I78
3548 Eina||D5G1;|pin@8||I
3549 Einb||D5G1;|pin@5||I
3550 Eout||D5G1;|pin@4||O
3551 X
3552
3553 # Cell nor2;1{sch}
3554 Cnor2;1{sch}||schematic|1021415734000|1159375948527||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3555 Ngeneric:Facet-Center|art@0||0|0||||AV
3556 NOff-Page|conn@0||14|0|||Y|
3557 NOff-Page|conn@1||-15.5|2.5||||
3558 NOff-Page|conn@2||-15.5|-2.5|||Y|
3559 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3560 Inor2;1{ic}|nor2@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3561 NWire_Pin|pin@0||-7.5|-2.5||||
3562 NWire_Pin|pin@1||-7.5|-1||||
3563 NWire_Pin|pin@2||-7.5|1||||
3564 NWire_Pin|pin@3||-7.5|2.5||||
3565 Ngeneric:Invisible-Pin|pin@4||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3566 Ngeneric:Invisible-Pin|pin@5||-2|15|||||ART_message(D5G6;)S[nor2]
3567 Ngeneric:Invisible-Pin|pin@6||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3568 Awire|net@0|||1800|pin@1||-7.5|-1|nor2@0|ina|-2.5|-1
3569 Awire|net@1|||0|conn@0|a|12|0|nor2@0|out|2.5|0
3570 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@2||-7.5|1
3571 Awire|net@3|||900|pin@1||-7.5|-1|pin@0||-7.5|-2.5
3572 Awire|net@4|||0|pin@0||-7.5|-2.5|conn@2|y|-13.5|-2.5
3573 Awire|net@5|||2700|pin@2||-7.5|1|pin@3||-7.5|2.5
3574 Awire|net@6|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3575 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)F1.67
3576 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
3577 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I2
3578 X
3579
3580 # Cell nor2V;1{ic}
3581 Cnor2V;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX1.5;Y-5;)I100|ATTR_M(D5G1;HNPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;HNPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;HNPX0.5;Y3;)I1|ATTR_drive0(D5G1;HNPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;HNPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;HNPX-18.25;Y-14.5;)I-1|prototype_center()I[6000,0]
3582 Ngeneric:Facet-Center|art@0||0|0||||AV
3583 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,0/-0.5,0.25/0.5]
3584 NThick-Circle|art@2||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3585 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3586 NThick-Circle|art@4||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3587 NThick-Circle|art@5||2|0|1|1|||ART_color()I78
3588 NPin|pin@0||-0.5|-1.75|1|1||
3589 NPin|pin@1||-1|-1.25|1|1||
3590 Nschematic:Bus_Pin|pin@2||-2.5|-1|-2|-2||
3591 NPin|pin@3||-1|-1|1|1||
3592 NPin|pin@4||-2.5|-1||||
3593 Nschematic:Bus_Pin|pin@5||-2.5|1|-2|-2||
3594 Nschematic:Bus_Pin|pin@6||2.5|0|-2|-2||
3595 NPin|pin@7||-2.5|1||||
3596 NPin|pin@8||-1|1|1|1||
3597 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
3598 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
3599 AThicker|net@2|||FS0|pin@3||-1|-1|pin@4||-2.5|-1|ART_color()I78
3600 AThicker|net@3|||FS0|pin@8||-1|1|pin@7||-2.5|1|ART_color()I78
3601 Eina||D5G1;|pin@2||I
3602 Einb||D5G1;|pin@5||I
3603 Eout||D5G1;|pin@6||O
3604 X
3605
3606 # Cell nor2V;1{sch}
3607 Cnor2V;1{sch}||schematic|1021415734000|1084377212000||ATTR_Delay(D5G1;HNPX-15.5;Y-11;)I100|ATTR_M(D5G1;HNPX-15.5;Y-10;)I1|ATTR_WN(D5G1;HNPX-15.5;Y-9;)I1|ATTR_WP(D5G1;HNPX-15.5;Y-8;)I1|ATTR_drive0(D5G1;HNPX-15.5;Y-12;)Sstrong0|ATTR_drive1(D5G1;HNPX-15.5;Y-13;)Sstrong1|ATTR_su(D5G1;HNPX-15;Y-14;)I-1|ATTR_verilog_template(D5G1;NTX7;Y-19;)Snor ($(drive0), $(drive1)) #($(Delay)) $(node_name) ($(out), $(ina), $(inb));|prototype_center()I[0,0]
3608 Ngeneric:Facet-Center|art@0||0|0||||AV
3609 NOff-Page|conn@0||-14|-4||||
3610 NOff-Page|conn@1||14|-4|||RR|
3611 NOff-Page|conn@2||14|0||||
3612 Inms1;1{ic}|nms1@0||-4|-8|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
3613 Inms1;1{ic}|nms1@1||4|-8|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX3.5;Y0.5;)SLE.getdrive()|ATTR_su(OJP)S@su
3614 Inor2V;1{ic}|nor2V@0||20|9.5|||D0G4;|ATTR_Delay(D5G1;NPX1.5;Y-5;)I100|ATTR_M(D5G1;NPX1.5;Y-4;)I1|ATTR_WN(D5G1.5;NPX0.5;Y-3;)I1|ATTR_WP(D5G1.5;NPX0.5;Y3;)I1|ATTR_drive0(D5G1;NPX1.5;Y-6;)Sstrong0|ATTR_drive1(D5G1;NPX1.5;Y-7;)Sstrong1|ATTR_su(D5G1;NPX-18.25;Y-14.5;)I-1
3615 Ngeneric:Invisible-Pin|pin@0||11.5|-15.5|||||ART_message(D5G2;)S[S is drive strength,"P and N drive strengths are WP, WN"]
3616 NWire_Pin|pin@1||-9|-8||||
3617 Ngeneric:Invisible-Pin|pin@2||-1.5|11.5|||||ART_message(D5G2;)S[two-parameter NOR]
3618 NWire_Pin|pin@3||-9|-4||||
3619 NWire_Pin|pin@4||9|3||||
3620 NWire_Pin|pin@5||9|-4||||
3621 Ngeneric:Invisible-Pin|pin@6||-1.5|16.5|||||ART_message(D5G6;)S[nor2V]
3622 NWire_Pin|pin@7||-9|7||||
3623 NWire_Pin|pin@8||0|0||||
3624 NWire_Pin|pin@9||4|0||||
3625 NWire_Pin|pin@10||-4|0||||
3626 NWire_Pin|pin@11||9|-8||||
3627 Ipms2;1{ic}|pms2@0||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NPX2.25;Y1;)SLE.getdrive()|ATTR_su(OJP)S@su|ATTR_M(D5G1;NTX2;)I1
3628 Awire|net@0|||2700|pin@1||-9|-8|pin@3||-9|-4
3629 Awire|net@1|||0|pin@3||-9|-4|conn@0|y|-12|-4
3630 Awire|net@2|||2700|pin@5||9|-4|pin@4||9|3
3631 Awire|net@3|||1800|pin@5||9|-4|conn@1|y|12|-4
3632 Awire|net@4|||0|pin@4||9|3|pms2@0|g2|3|3
3633 Awire|net@5|||1800|pin@7||-9|7|pms2@0|g|-3|7
3634 Awire|net@6|||2700|pin@8||0|0|pms2@0|d|0|1
3635 Awire|net@7|||0|pin@9||4|0|pin@8||0|0
3636 Awire|net@8|||900|pin@9||4|0|nms1@1|d|4|-6
3637 Awire|net@9|||0|pin@8||0|0|pin@10||-4|0
3638 Awire|net@10|||900|pin@10||-4|0|nms1@0|d|-4|-6
3639 Awire|net@11|||0|nms1@0|g|-7|-8|pin@1||-9|-8
3640 Awire|net@12|||0|pin@11||9|-8|nms1@1|g|7|-8
3641 Awire|net@13|||2700|pin@11||9|-8|pin@5||9|-4
3642 Awire|net@14|||2700|pin@3||-9|-4|pin@7||-9|7
3643 Awire|net@15|||1800|pin@9||4|0|conn@2|a|12|0
3644 Eina||D5G2;|conn@0|a|I
3645 Einb||D5G2;|conn@1|a|I
3646 Eout||D5G2;|conn@2|y|O
3647 X
3648
3649 # Cell nor2_sy;2{ic}
3650 Cnor2_sy;2{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3651 Ngeneric:Facet-Center|art@0||0|0||||AV
3652 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3653 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3654 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3655 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3656 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3657 NPin|pin@1||-1|-1|1|1||
3658 NPin|pin@2||-2.5|-1||||
3659 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3660 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3661 NPin|pin@5||-2.5|1||||
3662 NPin|pin@6||-1|1|1|1||
3663 NPin|pin@7||-1|-1.25|1|1||
3664 AThicker|net@0|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
3665 AThicker|net@1|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
3666 AThicker|net@2|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
3667 Eina||D5G1;|pin@0||I
3668 Einb||D5G1;|pin@3||I
3669 Eout||D5G1;|pin@4||O
3670 X
3671
3672 # Cell nor2_sy;2{sch}
3673 Cnor2_sy;2{sch}||schematic|1021415734000|1159375955602||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
3674 Ngeneric:Facet-Center|art@0||0|0||||AV
3675 NOff-Page|conn@0||-14|1||||
3676 NOff-Page|conn@1||-14|-1|||Y|
3677 NOff-Page|conn@2||14|0|||Y|
3678 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
3679 Inor2_sy;2{ic}|nor2_sy@1||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3680 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
3681 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
3682 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)S[nor2_sy]
3683 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
3684 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
3685 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
3686 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
3687 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
3688 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
3689 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3690 X
3691
3692 # Cell nor2en;1{ic}
3693 Cnor2en;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3694 Ngeneric:Facet-Center|art@0||0|0||||AV
3695 NThick-Circle|art@1||2|0|1|1|||ART_color()I78
3696 NThick-Circle|art@2||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3697 NThick-Circle|art@3||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3698 NThick-Circle|art@4||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3699 Ngeneric:Invisible-Pin|pin@0||-0.25|-1.25|||||ART_message(D5G1;)S[en]
3700 Nschematic:Bus_Pin|pin@1||-2.5|-1|-2|-2||
3701 NPin|pin@2||-1|-1|1|1||
3702 NPin|pin@3||-2.5|-1||||
3703 Nschematic:Bus_Pin|pin@4||-2.5|1|-2|-2||
3704 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3705 NPin|pin@6||-2.5|1||||
3706 NPin|pin@7||-1|1|1|1||
3707 NPin|pin@8||-1|-1.25|1|1||
3708 NPin|pin@9||-0.5|-1.75|1|1||
3709 AThicker|net@0|||FS0|pin@2||-1|-1|pin@3||-2.5|-1|ART_color()I78
3710 AThicker|net@1|||FS0|pin@7||-1|1|pin@6||-2.5|1|ART_color()I78
3711 AThicker|net@2|||FS3150|pin@9||-0.5|-1.75|pin@8||-1|-1.25|ART_color()I78
3712 AThicker|net@3|||FS2700|pin@8||-1|-1.25|pin@8||-1|-1.25|ART_color()I78
3713 Eina||D5G1;|pin@1||I
3714 Einb||D5G1;|pin@4||I
3715 Eout||D5G1;|pin@5||O
3716 X
3717
3718 # Cell nor2en;1{sch}
3719 Cnor2en;1{sch}||schematic|1021415734000|1159377045073||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3720 Ngeneric:Facet-Center|art@0||0|0||||AV
3721 NOff-Page|conn@0||-15.5|-2.5|||Y|
3722 NOff-Page|conn@1||-15.5|2.5||||
3723 NOff-Page|conn@2||14|0||||
3724 IredFive:nor2en;1{ic}|nor2en@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3725 Inor2en;1{ic}|nor2en@1||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3726 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3727 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2en]
3728 Ngeneric:Invisible-Pin|pin@2||17|-9|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3729 NWire_Pin|pin@3||-7.5|2.5||||
3730 NWire_Pin|pin@4||-7.5|1||||
3731 NWire_Pin|pin@5||-7.5|-1||||
3732 NWire_Pin|pin@6||-7.5|-2.5||||
3733 Awire|net@0|||1800|pin@5||-7.5|-1|nor2en@0|ina|-2.5|-1
3734 Awire|net@1|||0|conn@2|a|12|0|nor2en@0|out|2.5|0
3735 Awire|net@2|||0|nor2en@0|inb|-2.5|1|pin@4||-7.5|1
3736 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3737 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
3738 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
3739 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
3740 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)S1.44
3741 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)S1.67
3742 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2;)D1.78
3743 X
3744
3745 # Cell nor2k;1{ic}
3746 Cnor2k;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3747 Ngeneric:Facet-Center|art@0||0|0||||AV
3748 NOpened-Thicker-Polygon|art@1||0|0|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3749 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
3750 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3751 NThick-Circle|art@4||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3752 NThick-Circle|art@5||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
3753 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3754 NPin|pin@1||-1|-1|1|1||
3755 NPin|pin@2||-2.5|-1||||
3756 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3757 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3758 NPin|pin@5||-2.5|1||||
3759 NPin|pin@6||-1|1|1|1||
3760 NPin|pin@7||-1|-1.25|1|1||
3761 NPin|pin@8||-0.5|-1.75|1|1||
3762 AThicker|net@0|||FS0|pin@1||-1|-1|pin@2||-2.5|-1|ART_color()I78
3763 AThicker|net@1|||FS0|pin@6||-1|1|pin@5||-2.5|1|ART_color()I78
3764 AThicker|net@2|||FS3150|pin@8||-0.5|-1.75|pin@7||-1|-1.25|ART_color()I78
3765 AThicker|net@3|||FS2700|pin@7||-1|-1.25|pin@7||-1|-1.25|ART_color()I78
3766 Eina||D5G1;|pin@0||I
3767 Einb||D5G1;|pin@3||I
3768 Eout||D5G1;|pin@4||O
3769 X
3770
3771 # Cell nor2k;1{sch}
3772 Cnor2k;1{sch}||schematic|1021415734000|1159375660125||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEKEEPER(D5G1;HNPX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3773 Ngeneric:Facet-Center|art@0||0|0||||AV
3774 NOff-Page|conn@0||-15.5|-2.5|||Y|
3775 NOff-Page|conn@1||-15.5|2.5||||
3776 NOff-Page|conn@2||14|0||||
3777 IredFive:nor2;1{ic}|nor2@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
3778 Inor2k;1{ic}|nor2k@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3779 Ngeneric:Invisible-Pin|pin@0||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3780 Ngeneric:Invisible-Pin|pin@1||-2|15|||||ART_message(D5G6;)S[nor2]
3781 Ngeneric:Invisible-Pin|pin@2||17|-12.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3782 NWire_Pin|pin@3||-7.5|2.5||||
3783 NWire_Pin|pin@4||-7.5|1||||
3784 NWire_Pin|pin@5||-7.5|-1||||
3785 NWire_Pin|pin@6||-7.5|-2.5||||
3786 Awire|net@0|||1800|pin@5||-7.5|-1|nor2@0|ina|-2.5|-1
3787 Awire|net@1|||0|conn@2|a|12|0|nor2@0|out|2.5|0
3788 Awire|net@2|||0|nor2@0|inb|-2.5|1|pin@4||-7.5|1
3789 Awire|net@3|||0|pin@3||-7.5|2.5|conn@1|y|-13.5|2.5
3790 Awire|net@4|||2700|pin@4||-7.5|1|pin@3||-7.5|2.5
3791 Awire|net@5|||0|pin@6||-7.5|-2.5|conn@0|y|-13.5|-2.5
3792 Awire|net@6|||900|pin@5||-7.5|-1|pin@6||-7.5|-2.5
3793 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2.5;)F1.67
3794 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F1.67
3795 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)I2
3796 X
3797
3798 # Cell nor2kresetV;1{ic}
3799 Cnor2kresetV;1{ic}||artwork|1021415734000|1248841528989|E|ATTR_Delay(D5G1;HNPX2.5;Y-6.25;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HPTX-1;Y-9.5;)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPTX-7;Y-4;)Sstrong0|ATTR_drive1(D5G1;HPTX-7.25;Y-7.75;)Sstrong1|ATTR_su(D5G1;HPTX-3.25;Y-9.5;)I-1|prototype_center()I[6000,0]
3800 Ngeneric:Facet-Center|art@0||0|0||||AV
3801 NThick-Circle|art@1||-3.75|-4.25|6|6|3200||ART_color()I78|ART_degrees()I800
3802 NThick-Circle|art@2||-1.5|-2.25|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3803 NThick-Circle|art@3||-1.5|-6.25|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
3804 NThick-Circle|art@4||2|-4.25|1|1|||ART_color()I78
3805 NOpened-Thicker-Polygon|art@5||0|-4.25|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
3806 NPin|pin@0||0.5|-3.25|||R|
3807 NPin|pin@1||0.5|-1.75|1|1|R|
3808 Ngeneric:Invisible-Pin|pin@2||0.5|-1.75||||
3809 NPin|pin@3||-0.5|-6|1|1||
3810 NPin|pin@4||-1|-5.5|1|1||
3811 NPin|pin@5||-1|-3.25|1|1||
3812 NPin|pin@6||-2.5|-3.25||||
3813 Nschematic:Bus_Pin|pin@7||2.5|-4.25|-2|-2||
3814 Nschematic:Bus_Pin|pin@8||-2.5|-3.25|-2|-2||
3815 NPin|pin@9||-2.5|-5.25||||
3816 NPin|pin@10||-1|-5.25|1|1||
3817 Nschematic:Bus_Pin|pin@11||-2.5|-5.25|-2|-2||
3818 AThicker|net@0|||FS900|pin@1||0.5|-1.75|pin@0||0.5|-3.25|ART_color()I78
3819 AThicker|net@1|||FS2700|pin@4||-1|-5.5|pin@4||-1|-5.5|ART_color()I78
3820 AThicker|net@2|||FS3150|pin@3||-0.5|-6|pin@4||-1|-5.5|ART_color()I78
3821 AThicker|net@3|||FS0|pin@5||-1|-3.25|pin@6||-2.5|-3.25|ART_color()I78
3822 AThicker|net@4|||FS0|pin@10||-1|-5.25|pin@9||-2.5|-5.25|ART_color()I78
3823 Eina||D5G1;|pin@11||I
3824 Einb||D5G1;|pin@8||I
3825 Eout||D5G1;|pin@7||O
3826 EresetV||D5G2;|pin@2||I
3827 X
3828
3829 # Cell nor2kresetV;1{sch}
3830 Cnor2kresetV;1{sch}||schematic|1021415734000|1248729106644||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPX-18;Y-14.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
3831 IredFive:NMOS;1{ic}|NMOS@2||3.88|-11.25|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
3832 IredFive:NMOS;1{ic}|NMOS@3||-4.12|-11.25|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X/10.0
3833 Ngeneric:Facet-Center|art@0||0|0||||AV
3834 NOff-Page|conn@0||4|-17|||R|
3835 NOff-Page|conn@1||15|-5||||
3836 NOff-Page|conn@2||-15.5|0||||
3837 NOff-Page|conn@3||14.5|4|||YRR|
3838 NGround|gnd@0||-4.12|-18.25||||
3839 Inor2kresetV;1{ic}|nor2kres@0||19.5|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEKEEPER()I1
3840 NWire_Pin|pin@0||9|4||||
3841 NWire_Pin|pin@1||4|-13.25||||
3842 NWire_Pin|pin@2||3.88|-5||||
3843 NWire_Pin|pin@3||9|-11.25||||
3844 NWire_Pin|pin@4||-9|-11.25||||
3845 NWire_Pin|pin@5||-9|0||||
3846 NWire_Pin|pin@6||-4.12|-5||||
3847 NWire_Pin|pin@7||0|-5||||
3848 Ngeneric:Invisible-Pin|pin@8||21|-18|||||ART_message(D5G2;)S[X is drive strength,One pull-down is as strong,as the pull-up]
3849 Ngeneric:Invisible-Pin|pin@9||-2|15|||||ART_message(D5G6;)S[nor2kresetV]
3850 Ngeneric:Invisible-Pin|pin@10||-2|10|||||ART_message(D5G2;)S[one-parameter NOR]
3851 IredFive:pms2;1{ic}|pms2@0||0|4|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y1;)S@X/10.0
3852 Awire|net@0|||1800|pin@5||-9|0|pms2@0|g2|-3|0
3853 Awire|net@1|||1800|pms2@0|g|3|4|pin@0||9|4
3854 Awire|net@2|||2700|pin@7||0|-5|pms2@0|d|0|-2
3855 Awire|net@3|||1800|NMOS@2|s|3.88|-13.25|pin@1||4|-13.25
3856 Awire|net@4|||900|pin@2||3.88|-5|NMOS@2|d|3.88|-9.25
3857 Awire|net@5|||1800|NMOS@2|g|6.88|-11.25|pin@3||9|-11.25
3858 Awire|net@6|||900|NMOS@3|s|-4.12|-13.25|gnd@0||-4.12|-16.25
3859 Awire|net@7|||900|pin@6||-4.12|-5|NMOS@3|d|-4.12|-9.25
3860 Awire|net@8|||0|NMOS@3|g|-7.12|-11.25|pin@4||-9|-11.25
3861 Awire|net@9|||1800|pin@7||0|-5|pin@2||3.88|-5
3862 Awire|net@10|||2700|pin@3||9|-11.25|pin@0||9|4
3863 Awire|net@11|||0|conn@3|y|12.5|4|pin@0||9|4
3864 Awire|net@12|||900|pin@1||4|-13.25|conn@0|y|4|-15
3865 Awire|net@13|||1800|pin@2||3.88|-5|conn@1|a|13|-5
3866 Awire|net@14|||2700|pin@4||-9|-11.25|pin@5||-9|0
3867 Awire|net@15|||0|pin@5||-9|0|conn@2|y|-13.5|0
3868 Awire|net@16|||0|pin@7||0|-5|pin@6||-4.12|-5
3869 Eina||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)F0.4
3870 Einb||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2;)F0.167
3871 Eout||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)D0.5
3872 EresetV||D4G2;|conn@0|a|I|ATTR_le(D5G1;NX-3;)I4
3873 X
3874
3875 # Cell nor2n;1{ic}
3876 Cnor2n;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3877 Ngeneric:Facet-Center|art@0||0|0||||AV
3878 NThick-Circle|art@1||-1|-1|1|1|||ART_color()I78
3879 NThick-Circle|art@2||-1|1|1|1|||ART_color()I78
3880 NThick-Circle|art@3||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3881 NPin|pin@0||-1.5|1|1|1||
3882 NPin|pin@1||-2.5|1||||
3883 NPin|pin@2||0.5|-2|1|1||
3884 NPin|pin@3||-0.5|-2|1|1||
3885 NPin|pin@4||-0.5|2|1|1||
3886 Nschematic:Bus_Pin|pin@5||2.5|0|-2|-2||
3887 Nschematic:Bus_Pin|pin@6||-2.5|1|-2|-2||
3888 NPin|pin@7||0.5|2|1|1||
3889 NPin|pin@8||-2.5|-1||||
3890 NPin|pin@9||-1.5|-1|1|1||
3891 Nschematic:Bus_Pin|pin@10||-2.5|-1|-2|-2||
3892 NPin|pin@11||-0.5|-0.75|1|1||
3893 NPin|pin@12||0.75|-2|1|1||
3894 AThicker|net@0|||FS0|pin@0||-1.5|1|pin@1||-2.5|1|ART_color()I78
3895 AThicker|net@1|||FS0|pin@2||0.5|-2|pin@3||-0.5|-2|ART_color()I78
3896 AThicker|net@2|||FS2700|pin@3||-0.5|-2|pin@4||-0.5|2|ART_color()I78
3897 AThicker|net@3|||FS0|pin@7||0.5|2|pin@4||-0.5|2|ART_color()I78
3898 AThicker|net@4|||FS0|pin@9||-1.5|-1|pin@8||-2.5|-1|ART_color()I78
3899 AThicker|net@5|||FS3150|pin@12||0.75|-2|pin@11||-0.5|-0.75|ART_color()I78
3900 Eina||D5G1;|pin@10||I
3901 Einb||D5G1;|pin@6||I
3902 Eout||D5G1;|pin@5||O
3903 X
3904
3905 # Cell nor2n;1{sch}
3906 Cnor2n;1{sch}||schematic|1021415734000|1231281018554||ATTR_Delay(D5G1;HNPX-17.5;Y8;)I100|ATTR_LEPARALLGRP(D5G1;HNPTX-17.5;Y7;)I-1|ATTR_X(D5G1;HNOJPX-17.5;Y9;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(D5G1;HNPTX-17.5;Y5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-17.5;Y4;)Sstrong1|ATTR_su(D5G1;HNPTX-17.5;Y6;)I-1|prototype_center()I[0,0]
3907 Ngeneric:Facet-Center|art@0||0|0||||AV
3908 NOff-Page|conn@0||10.75|3.75||||
3909 NOff-Page|conn@1||-8.5|11||||
3910 NOff-Page|conn@2||-8.25|-2||||
3911 IredFive:nor2n;1{ic}|nor2|D5G1;|1.25|3.75|||D0G4;|ATTR_Delay(D5G1;NPX3;Y-3;)I100|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1
3912 Inor2n;1{ic}|nor2n@0||23|19.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-3;)I100|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3.5;Y2.5;)S"LE.subdrive(\"nor2\", \"X\")"|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1|ATTR_LEGATE()I1
3913 NWire_Pin|pin@0||-4.75|4.75||||
3914 NWire_Pin|pin@1||-4.75|11||||
3915 NWire_Pin|pin@2||-4.75|2.75||||
3916 NWire_Pin|pin@3||-4.75|-2||||
3917 Ngeneric:Invisible-Pin|pin@4||-2|25|||||ART_message(D5G6;)S[nor2n]
3918 Ngeneric:Invisible-Pin|pin@5||-2|20|||||ART_message(D5G2;)S["one-parameter Nor, looks like Nand"]
3919 Awire|net@0|||1800|nor2|out|3.75|3.75|conn@0|a|8.75|3.75
3920 Awire|net@1|||0|nor2|inb|-1.25|4.75|pin@0||-4.75|4.75
3921 Awire|net@2|||2700|pin@0||-4.75|4.75|pin@1||-4.75|11
3922 Awire|net@3|||0|pin@1||-4.75|11|conn@1|y|-6.5|11
3923 Awire|net@4|||0|nor2|ina|-1.25|2.75|pin@2||-4.75|2.75
3924 Awire|net@5|||900|pin@2||-4.75|2.75|pin@3||-4.75|-2
3925 Awire|net@6|||0|pin@3||-4.75|-2|conn@2|y|-6.25|-2
3926 Eina||D5G2;|conn@2|a|I
3927 Einb||D5G2;|conn@1|a|I
3928 Eout||D5G2;|conn@0|y|O
3929 X
3930
3931 # Cell nor2n_sy;2{ic}
3932 Cnor2n_sy;2{ic}||artwork|1021415734000|1231281340304|E|ATTR_Delay(D5G1;HNPX2.5;Y-2.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
3933 Ngeneric:Facet-Center|art@0||0|0||||AV
3934 NThick-Circle|art@5||-1|-1|1|1|||ART_color()I78
3935 NThick-Circle|art@6||-1|1|1|1|||ART_color()I78
3936 NThick-Circle|art@7||0.5|0|4|4|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
3937 Nschematic:Bus_Pin|pin@0||-2.5|-1|-2|-2||
3938 Nschematic:Bus_Pin|pin@3||-2.5|1|-2|-2||
3939 Nschematic:Bus_Pin|pin@4||2.5|0|-2|-2||
3940 NPin|pin@8||-1.5|-1|1|1||
3941 NPin|pin@9||-1.5|1|1|1||
3942 NPin|pin@10||-2.5|1||||
3943 NPin|pin@11||0.5|-2|1|1||
3944 NPin|pin@12||-0.5|-2|1|1||
3945 NPin|pin@13||-0.5|2|1|1||
3946 NPin|pin@14||0.5|2|1|1||
3947 NPin|pin@15||-2.5|-1||||
3948 AThicker|net@3|||FS0|pin@11||0.5|-2|pin@12||-0.5|-2|ART_color()I78
3949 AThicker|net@4|||FS0|pin@9||-1.5|1|pin@10||-2.5|1|ART_color()I78
3950 AThicker|net@5|||FS2700|pin@12||-0.5|-2|pin@13||-0.5|2|ART_color()I78
3951 AThicker|net@6|||FS0|pin@14||0.5|2|pin@13||-0.5|2|ART_color()I78
3952 AThicker|net@7|||FS0|pin@8||-1.5|-1|pin@15||-2.5|-1|ART_color()I78
3953 Eina||D5G1;|pin@0||I
3954 Einb||D5G1;|pin@3||I
3955 Eout||D5G1;|pin@4||O
3956 X
3957
3958 # Cell nor2n_sy;2{sch}
3959 Cnor2n_sy;2{sch}||schematic|1021415734000|1231281234643||ATTR_Delay(D5G1;HNPX-15;Y-9.5;)I100|ATTR_LEGATE(D5G1;HNPTX-15;Y-13;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-15;Y-14;)I-1|ATTR_X(D5G1;HNOJPX-15;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-15;Y-10.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-15;Y-11.5;)Sstrong1|ATTR_su(D5G1;HNPTX-15;Y-8.5;)I-1|prototype_center()I[0,0]
3960 Ngeneric:Facet-Center|art@0||0|0||||AV
3961 NOff-Page|conn@0||-14|1||||
3962 NOff-Page|conn@1||-14|-1|||Y|
3963 NOff-Page|conn@2||14|0|||Y|
3964 IredFive:nor2_sy;1{ic}|nor2_sy@0||0|0|Y||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_LEGATE(T)I1|ATTR_LEPARALLGRP()I-1|ATTR_su(T)I-1
3965 Inor2n_sy;2{ic}|nor2n_sy@0||23.5|12.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
3966 Ngeneric:Invisible-Pin|pin@0||-2|12.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
3967 Ngeneric:Invisible-Pin|pin@1||-2|14.5|||||ART_message(D5G2;)S[one-parameter NOR]
3968 Ngeneric:Invisible-Pin|pin@2||-2|19.5|||||ART_message(D5G6;)Snor2n_sy
3969 Ngeneric:Invisible-Pin|pin@3||15|-14.5|||||ART_message(D5G2;)S[X is drive strength,One pull-down is the same strength,as the pull-up]
3970 Awire|net@0|||1800|conn@0|y|-12|1|nor2_sy@0|ina|-2.5|1
3971 Awire|net@1|||0|conn@2|a|12|0|nor2_sy@0|out|2.5|0
3972 Awire|net@2|||0|nor2_sy@0|inb|-2.5|-1|conn@1|y|-12|-1
3973 Eina||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY2;)F1.67
3974 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX0.5;Y1.5;)F1.67
3975 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY-2.5;)I2
3976 X
3977
3978 # Cell pms1;1{ic}
3979 Cpms1;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
3980 Ngeneric:Facet-Center|art@0||0|0||||AV
3981 NThick-Circle|art@1||-2|0|1|1|||ART_color()I78
3982 NPin|pin@0||-1.5|1|1|1||
3983 NPin|pin@1||-1.5|-1|1|1||
3984 NPin|pin@2||0.5|1.5||||
3985 NPin|pin@3||-0.5|2.5||||
3986 NPin|pin@4||0|2|1|1||
3987 NPin|pin@5||-2.5|0|1|1|RR|
3988 NPin|pin@6||-3|0|||RR|
3989 NPin|pin@7||0|-2||||
3990 NPin|pin@8||0|-1|1|1||
3991 NPin|pin@9||-0.75|-1|1|1||
3992 NPin|pin@10||-0.75|1|1|1||
3993 NPin|pin@11||0|1|1|1||
3994 Nschematic:Bus_Pin|pin@12||-3|0|-2|-2||
3995 Nschematic:Bus_Pin|pin@13||0|-2|-2|-2||
3996 AThicker|net@0|||FS900|pin@0||-1.5|1|pin@1||-1.5|-1|ART_color()I78
3997 AThicker|net@1|||FS3150|pin@2||0.5|1.5|pin@3||-0.5|2.5|ART_color()I78
3998 AThicker|net@2|||FS900|pin@10||-0.75|1|pin@9||-0.75|-1|ART_color()I78
3999 AThicker|net@3|||FS900|pin@8||0|-1|pin@7||0|-2|ART_color()I78
4000 AThicker|net@4|||FS2700|pin@11||0|1|pin@4||0|2|ART_color()I78
4001 AThicker|net@5|||FS1800|pin@6||-3|0|pin@5||-2.5|0|ART_color()I78
4002 AThicker|net@6|||FS1800|pin@9||-0.75|-1|pin@8||0|-1|ART_color()I78
4003 AThicker|net@7|||FS0|pin@11||0|1|pin@10||-0.75|1|ART_color()I78
4004 Ed||D5G1;|pin@13||O
4005 Eg||D5G1;|pin@12||I
4006 X
4007
4008 # Cell pms1;2{sch}
4009 Cpms1;2{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-8.5;Y2.5;)I100|ATTR_LEGATE(D5G1;HNPTX-8.5;Y1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-8.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-8.5;Y3.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-8.5;Y-0.5;)I-1|prototype_center()I[0,0]
4010 IredFive:PMOS;1{ic}|PMOS@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4011 Ngeneric:Facet-Center|art@0||0|0||||AV
4012 NOff-Page|conn@0||15.5|0||||
4013 NOff-Page|conn@1||-13.5|7||||
4014 Ngeneric:Invisible-Pin|pin@0||-1|18|||||ART_message(D5G2;)S[P-type transistor to VDD]
4015 Ngeneric:Invisible-Pin|pin@1||-1|23|||||ART_message(D5G6;)S[pms1]
4016 NWire_Pin|pin@2||0|0||||
4017 Ipms1;1{ic}|pms1@0||14|12.5|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_su(P)S""
4018 NPower|pwr@0||0|14||||
4019 Awire|net@0|||900|pwr@0||0|14|PMOS@1|s|0|9
4020 Awire|net@1|||1800|conn@1|y|-11.5|7|PMOS@1|g|-3|7
4021 Awire|net@2|||2700|pin@2||0|0|PMOS@1|d|0|5
4022 Awire|net@3|||1800|pin@2||0|0|conn@0|a|13.5|0
4023 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4024 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)F0.67
4025 X
4026
4027 # Cell pms1K;1{ic}
4028 Cpms1K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;Y-2;)I100|ATTR_LEKEEPER(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX3;)SLE.getdrive()|ATTR_drive1(D5G1;HPT)Sweak1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4029 Ngeneric:Facet-Center|art@0||0|0||||AV
4030 NOpened-Thicker-Polygon|art@1||-1.5|2|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4031 NThick-Circle|art@2||-1.5|0|0.5|0.5|||ART_color()I78
4032 NPin|pin@0||-1.75|0|1|1||
4033 NPin|pin@1||-3|0||||
4034 NPin|pin@2||-1.25|0.75|1|1||
4035 NPin|pin@3||-1.25|-0.75|1|1||
4036 NPin|pin@4||0.5|1.5||||
4037 NPin|pin@5||-0.5|2.5||||
4038 NPin|pin@6||0|2|1|1||
4039 NPin|pin@7||0|-2||||
4040 NPin|pin@8||0|-0.75|1|1||
4041 NPin|pin@9||-0.75|-0.75|1|1||
4042 NPin|pin@10||-0.75|0.75|1|1||
4043 NPin|pin@11||0|0.75|1|1||
4044 Nschematic:Bus_Pin|pin@12||0|-2|-2|-2||
4045 Nschematic:Bus_Pin|pin@13||-3|0|-2|-2||
4046 AThicker|net@0|||FS0|pin@0||-1.75|0|pin@1||-3|0|ART_color()I78
4047 AThicker|net@1|||FS900|pin@2||-1.25|0.75|pin@3||-1.25|-0.75|ART_color()I78
4048 AThicker|net@2|||FS900|pin@10||-0.75|0.75|pin@9||-0.75|-0.75|ART_color()I78
4049 AThicker|net@3|||FS900|pin@8||0|-0.75|pin@7||0|-2|ART_color()I78
4050 AThicker|net@4|||FS1800|pin@9||-0.75|-0.75|pin@8||0|-0.75|ART_color()I78
4051 AThicker|net@5|||FS3150|pin@4||0.5|1.5|pin@5||-0.5|2.5|ART_color()I78
4052 AThicker|net@6|||FS2700|pin@11||0|0.75|pin@6||0|2|ART_color()I78
4053 AThicker|net@7|||FS0|pin@11||0|0.75|pin@10||-0.75|0.75|ART_color()I78
4054 Ed||D5G1;|pin@12||O
4055 Eg||D5G1;|pin@13||I
4056 X
4057
4058 # Cell pms1K;1{sch}
4059 Cpms1K;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-10.5;Y1.5;)I100|ATTR_LEKEEPER(D5G1;HNPTX-10.5;Y-1.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-10.5;Y0.5;)I-1|ATTR_X(D5G1;HNOJPX-10.5;Y2.5;)SLE.getdrive()|ATTR_drive1(D5G1;HNPTX-10.5;Y-2.5;)Sweak1|ATTR_su(D5G1;HNPTX-10.5;Y-0.5;)I-1|ATTR_verilog_template(D5G1;NTX-4;Y17;)Snot (highz0, $(drive1)) #($(Delay)) $(node_name) ($(d), $(g));|prototype_center()I[0,0]
4060 IredFive:PMOS;1{ic}|PMOS@1||0|7|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X
4061 Ngeneric:Facet-Center|art@0||0|0||||AV
4062 NOff-Page|conn@0||8|0||||
4063 NOff-Page|conn@1||-14|7||||
4064 NWire_Pin|pin@0||0|0||||
4065 Ngeneric:Invisible-Pin|pin@1||-0.5|19.5|||||ART_message(D5G2;)S[P-type keeper transistor to VDD]
4066 Ngeneric:Invisible-Pin|pin@2||-0.5|24|||||ART_message(D5G6;)S[pms1K]
4067 Ipms1K;1{ic}|pms1K@0||10|13|||D0G4;|ATTR_Delay(D5G1;NPX3.5;Y-2;)I100|ATTR_LEKEEPER(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX3;)SLE.getdrive()|ATTR_drive1(P)Sweak1|ATTR_su(P)I-1
4068 NPower|pwr@0||0|14||||
4069 Awire|net@0|||900|pwr@0||0|14|PMOS@1|s|0|9
4070 Awire|net@1|||1800|conn@1|y|-12|7|PMOS@1|g|-3|7
4071 Awire|net@2|||2700|pin@0||0|0|PMOS@1|d|0|5
4072 Awire|net@3|||0|conn@0|a|6|0|pin@0||0|0
4073 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY2;)F0.67
4074 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F0.67
4075 X
4076
4077 # Cell pms2;1{ic}
4078 Cpms2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4079 Ngeneric:Facet-Center|art@0||0|0||||AV
4080 NThick-Circle|art@1||2|-4|1|1|||ART_color()I78
4081 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4082 NPin|pin@0||0|-3|1|1|YRR|
4083 NPin|pin@1||0.75|-3|1|1|YRR|
4084 NPin|pin@2||0.75|-5|1|1|YRR|
4085 NPin|pin@3||0|-5|1|1|YRR|
4086 NPin|pin@4||0|-6|||RR|
4087 NPin|pin@5||2.5|-4|1|1|RR|
4088 NPin|pin@6||3|-4|||RR|
4089 NPin|pin@7||0|-2|1|1|YRR|
4090 NPin|pin@8||1.5|-5|1|1|YRR|
4091 NPin|pin@9||1.5|-3|1|1|YRR|
4092 NPin|pin@10||-1.5|1|1|1||
4093 NPin|pin@11||-1.5|-1|1|1||
4094 NPin|pin@12||0.5|1.5|1|1||
4095 NPin|pin@13||-0.5|2.5||||
4096 NPin|pin@14||0|2|1|1||
4097 NPin|pin@15||-2.5|0|1|1|RR|
4098 NPin|pin@16||-3|0|||RR|
4099 NPin|pin@17||0|-2|1|1|YRR|
4100 NPin|pin@18||0|-1|1|1|YRR|
4101 NPin|pin@19||-0.75|-1|1|1||
4102 NPin|pin@20||-0.75|1|1|1||
4103 NPin|pin@21||0|1|1|1||
4104 Nschematic:Bus_Pin|pin@22||3|-4|-2|-2||
4105 Nschematic:Bus_Pin|pin@23||0|-6|-2|-2||
4106 Nschematic:Bus_Pin|pin@24||-3|0|-2|-2||
4107 AThicker|net@0|||FS900|pin@1||0.75|-3|pin@2||0.75|-5|ART_color()I78
4108 AThicker|net@1|||FS900|pin@3||0|-5|pin@4||0|-6|ART_color()I78
4109 AThicker|net@2|||FS0|pin@2||0.75|-5|pin@3||0|-5|ART_color()I78
4110 AThicker|net@3|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4111 AThicker|net@4|||FS900|pin@9||1.5|-3|pin@8||1.5|-5|ART_color()I78
4112 AThicker|net@5|||FS1800|pin@0||0|-3|pin@1||0.75|-3|ART_color()I78
4113 AThicker|net@6|||FS2700|pin@0||0|-3|pin@7||0|-2|ART_color()I78
4114 AThicker|net@7|||FS3150|pin@12||0.5|1.5|pin@13||-0.5|2.5|ART_color()I78
4115 AThicker|net@8|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4116 AThicker|net@9|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4117 AThicker|net@10|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4118 AThicker|net@11|||FS1800|pin@16||-3|0|pin@15||-2.5|0|ART_color()I78
4119 AThicker|net@12|||FS2700|pin@21||0|1|pin@14||0|2|ART_color()I78
4120 AThicker|net@13|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4121 AThicker|net@14|||FS900|pin@10||-1.5|1|pin@11||-1.5|-1|ART_color()I78
4122 Ed||D5G1;|pin@23||O
4123 Eg||D5G1;|pin@24||I
4124 Eg2||D5G1;|pin@22||I
4125 X
4126
4127 # Cell pms2;1{sch}
4128 Cpms2;1{sch}||schematic|1021415734000|1248729055117||ATTR_Delay(D5G1;HNPX-12;Y8;)I100|ATTR_LEGATE(D5G1;HNPTX-12.5;Y5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y7;)I-1|ATTR_X(D5G1;HNOJPX-12.25;Y9;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-12.5;Y6;)I-1|prototype_center()I[0,0]
4129 IredFive:PMOS;1{ic}|PMOS@2||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4130 IredFive:PMOS;1{ic}|PMOS@3||0|7|YRR||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX3.5;Y0.5;)S@X*2.0
4131 Ngeneric:Facet-Center|art@0||0|0||||AV
4132 NOff-Page|conn@0||8.5|7|||YRR|
4133 NOff-Page|conn@1||8|0||||
4134 NOff-Page|conn@2||-8.5|15||||
4135 Ngeneric:Invisible-Pin|pin@0||-1|26|||||ART_message(D5G2;)S[two P-type transistors to VDD]
4136 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms2]
4137 NWire_Pin|pin@2||0|0||||
4138 Ipms2;1{ic}|pms2@0||20.25|21.75|||D0G4;|ATTR_Delay(D5G1;NPX-3;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y1;)SLE.getdrive()|ATTR_su(P)I-1
4139 NPower|pwr@0||0|22||||
4140 Awire|net@0|||900|pwr@0||0|22|PMOS@2|s|0|17
4141 Awire|net@1|||1800|conn@2|y|-6.5|15|PMOS@2|g|-3|15
4142 Awire|net@2|||900|PMOS@2|d|0|13|PMOS@3|s|0|9
4143 Awire|net@3|||0|conn@0|y|6.5|7|PMOS@3|g|3|7
4144 Awire|net@4|||2700|pin@2||0|0|PMOS@3|d|0|5
4145 Awire|net@5|||1800|pin@2||0|0|conn@1|a|6|0
4146 Ed||D5G2;|conn@1|y|O|ATTR_le(D5G1;NY2;)F1.33
4147 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4148 Eg2||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4149 X
4150
4151 # Cell pms2K;1{ic}
4152 Cpms2K;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX3.5;)I100|ATTR_LEKEEPER(D5G1;HNPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;HNOJPX3.5;Y1;)SLE.getdrive()|ATTR_su(D5G1;HNPX3.5;Y-1;)I-1|prototype_center()I[0,24000]
4153 Ngeneric:Facet-Center|art@0||0|0||||AV
4154 NOpened-Thicker-Polygon|art@1||0.75|-4|0.5|1|||ART_color()I78|trace()V[-0.25/0.5,-0.25/-0.5,-0.25/0,0.25/0.5,-0.25/0,0.25/-0.5]
4155 NThick-Circle|art@2||-2|-4|1|1|||ART_color()I78
4156 NThick-Circle|art@3||-2|0|1|1|||ART_color()I78
4157 Nschematic:Wire_Pin|pin@0||0|2|-0.5|-0.5||
4158 NPin|pin@1||-3|-1|1|1||
4159 NPin|pin@2||-3|-4|1|1||
4160 NPin|pin@3||-2.5|-4|1|1||
4161 NPin|pin@4||-2.5|0|1|1||
4162 NPin|pin@5||-3|0|1|1||
4163 NPin|pin@6||0|-3|1|1|YRR|
4164 NPin|pin@7||-0.75|-3|1|1|YRR|
4165 NPin|pin@8||-0.75|-5|1|1|YRR|
4166 NPin|pin@9||0|-5|1|1|YRR|
4167 NPin|pin@10||0|-6|||RR|
4168 NPin|pin@11||0|-2|1|1|YRR|
4169 NPin|pin@12||-1.5|-5|1|1|YRR|
4170 NPin|pin@13||-1.5|-3|1|1|YRR|
4171 NPin|pin@14||-1.5|1|1|1||
4172 NPin|pin@15||-1.5|-1|1|1||
4173 NPin|pin@16||0|2||||
4174 NPin|pin@17||0|-2|1|1|YRR|
4175 NPin|pin@18||0|-1|1|1|YRR|
4176 NPin|pin@19||-0.75|-1|1|1||
4177 NPin|pin@20||-0.75|1|1|1||
4178 NPin|pin@21||0|1|1|1||
4179 NPin|pin@22||-2|-1|1|1||
4180 NPin|pin@23||-3|-2|1|1||
4181 NPin|pin@24||-4|-1||||
4182 Nschematic:Bus_Pin|pin@25||-3|-4|-2|-2||
4183 Nschematic:Bus_Pin|pin@26||0|-6|-2|-2||
4184 AThicker|net@0|||FS2700|pin@1||-3|-1|pin@5||-3|0|ART_color()I78
4185 AThicker|net@1|||FS1800|pin@24||-4|-1|pin@1||-3|-1|ART_color()I78
4186 AThicker|net@2|||FS1800|pin@1||-3|-1|pin@22||-2|-1|ART_color()I78
4187 AThicker|net@3|||FS0|pin@3||-2.5|-4|pin@2||-3|-4|ART_color()I78
4188 AThicker|net@4|||FS0|pin@4||-2.5|0|pin@5||-3|0|ART_color()I78
4189 AThicker|net@5|||FS900|pin@9||0|-5|pin@10||0|-6|ART_color()I78
4190 AThicker|net@6|||FS900|pin@20||-0.75|1|pin@19||-0.75|-1|ART_color()I78
4191 AThicker|net@7|||FS1800|pin@19||-0.75|-1|pin@18||0|-1|ART_color()I78
4192 AThicker|net@8|||FS2700|pin@21||0|1|pin@16||0|2|ART_color()I78
4193 AThicker|net@9|||FS0|pin@21||0|1|pin@20||-0.75|1|ART_color()I78
4194 AThicker|net@10|||FS900|pin@14||-1.5|1|pin@15||-1.5|-1|ART_color()I78
4195 AThicker|net@11|||FS900|pin@13||-1.5|-3|pin@12||-1.5|-5|ART_color()I78
4196 AThicker|net@12|||FS900|pin@7||-0.75|-3|pin@8||-0.75|-5|ART_color()I78
4197 AThicker|net@13|||FS2700|pin@6||0|-3|pin@11||0|-2|ART_color()I78
4198 AThicker|net@14|||FS1800|pin@8||-0.75|-5|pin@9||0|-5|ART_color()I78
4199 AThicker|net@15|||FS0|pin@6||0|-3|pin@7||-0.75|-3|ART_color()I78
4200 AThicker|net@16|||FS900|pin@18||0|-1|pin@17||0|-2|ART_color()I78
4201 AThicker|net@17|||FS1350|pin@24||-4|-1|pin@23||-3|-2|ART_color()I78
4202 AThicker|net@18|||FS2250|pin@23||-3|-2|pin@22||-2|-1|ART_color()I78
4203 Ein||D5G1;|pin@25||I
4204 Eout||D5G1;|pin@26||O
4205 Esrc||D5G1;|pin@0||I
4206 X
4207
4208 # Cell pms2K;1{sch}
4209 Cpms2K;1{sch}||schematic|1021415734000|1248729478630||ATTR_Delay(D5G1;HNPX-9;Y1;)I100|ATTR_LEKEEPER(D5G1;HNPX-9;Y-1;)I1|ATTR_SP(D5G1;HNOJPX-9;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPX-9;)I-1|prototype_center()I[0,0]
4210 Iorange40nm:PMOS4f;1{ic}|PMOS4f@2||0|7|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NPX3.5;)I2|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D6G1;NPX2;Y1;)I3
4211 Iorange40nm:PMOS4f;1{ic}|PMOS4f@3||0|14|||D0G4;|ATTR_Delay(OJP)S@Delay|ATTR_L(D5G1;NOJPX3.5;)S@SP==0?0:@SP<0.25?(0.25*(2-0.4)/@SP + 0.4):2|ATTR_M1(D5G1;NOLPX4;Y-1;)S1|ATTR_NF(D5G1;NOLPX4;)S1|ATTR_W(D6G1;NOJPX2;Y1;)S@SP>1?3*@SP:3
4212 Ngeneric:Facet-Center|art@0||0|0||||AV
4213 NOff-Page|conn@0||-14.25|18.75||||
4214 NOff-Page|conn@1||-14|7||||
4215 NOff-Page|conn@2||8|0||||
4216 NGround|gnd@0||-6|10||||
4217 NWire_Pin|pin@0||2|7.5||||
4218 NWire_Pin|pin@1||2|14.5||||
4219 NWire_Pin|pin@2||0|18.75||||
4220 NWire_Pin|pin@3||-6|14||||
4221 Ngeneric:Invisible-Pin|pin@4||-1|31|||||ART_message(D5G6;)S[pms2K]
4222 Ngeneric:Invisible-Pin|pin@5||-1|26|||||ART_message(D5G2;)S[min P-type with resistor to pin]
4223 NWire_Pin|pin@6||0|0||||
4224 Ipms2K;1{ic}|pms2K@0||11|19|||D0G4;|ATTR_Delay(D5G1;NPX3.5;)I100|ATTR_LEKEEPER(D5G1;NPX3.5;Y-2;)I1|ATTR_SP(D5G1.5;NPX3.5;Y1;)I1|ATTR_su(D5G1;NPX3.5;Y-1;)I-1
4225 NPower|pwr@0||2|21||||
4226 Awire|net@0|||900|pin@1||2|14.5|pin@0||2|7.5
4227 Awire|net@1|||900|pin@2||0|18.75|PMOS4f@3|s|0|16
4228 Awire|net@2|||0|pin@1||2|14.5|PMOS4f@3|b|0|14.5
4229 Awire|net@3|||1800|pin@3||-6|14|PMOS4f@3|g|-3|14
4230 Awire|net@4|||900|PMOS4f@3|d|0|12|PMOS4f@2|s|0|9
4231 Awire|net@5|||0|pin@0||2|7.5|PMOS4f@2|b|0|7.5
4232 Awire|net@6|||1800|conn@1|y|-12|7|PMOS4f@2|g|-3|7
4233 Awire|net@7|||2700|pin@6||0|0|PMOS4f@2|d|0|5
4234 Awire|net@8|||900|pwr@0||2|21|pin@1||2|14.5
4235 Awire|net@9|||0|pin@2||0|18.75|conn@0|y|-12.25|18.75
4236 Awire|net@10|||900|pin@3||-6|14|gnd@0||-6|12
4237 Awire|net@11|||0|conn@2|a|6|0|pin@6||0|0
4238 Ein||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I0
4239 Eout||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F0.33
4240 Esrc||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-2;)I1
4241 X
4242
4243 # Cell pms2_sy;1{ic}
4244 Cpms2_sy;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-5;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX4;Y2;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4245 Ngeneric:Facet-Center|art@0||0|0||||AV
4246 NThick-Circle|art@1||-1.75|-4|1|1|||ART_color()I78
4247 NThick-Circle|art@2||1.75|0|1|1|||ART_color()I78
4248 NThick-Circle|art@3||1.75|-4|1|1|||ART_color()I78
4249 NThick-Circle|art@4||-1.75|0|1|1|||ART_color()I78
4250 NPin|pin@0||-2.25|0|1|1||
4251 NPin|pin@1||-2.25|-4|1|1||
4252 NPin|pin@2||2.25|-4|1|1||
4253 NPin|pin@3||2.25|0|1|1||
4254 NPin|pin@4||2.5|0|1|1||
4255 NPin|pin@5||2.5|-4|1|1|RR|
4256 NPin|pin@6||3|-4|||RR|
4257 NPin|pin@7||-2.5|-4|1|1||
4258 NPin|pin@8||-2.5|0|1|1|RR|
4259 NPin|pin@9||-3|0|||RR|
4260 NPin|pin@10||-0.25|-1|1|1||
4261 NPin|pin@11||-1.25|-3|1|1||
4262 NPin|pin@12||-1.25|-5|1|1||
4263 NPin|pin@13||0|-5|1|1|YRR|
4264 NPin|pin@14||-0.75|-5|1|1||
4265 NPin|pin@15||-0.75|-3|1|1||
4266 NPin|pin@16||-0.25|-3|1|1||
4267 NPin|pin@17||0|1|1|1|YRR|
4268 NPin|pin@18||0.75|1|1|1|YRR|
4269 NPin|pin@19||0.75|-1|1|1|YRR|
4270 NPin|pin@20||0.25|-1|1|1||
4271 NPin|pin@21||1.25|-1|1|1|YRR|
4272 NPin|pin@22||1.25|1|1|1|YRR|
4273 NPin|pin@23||0.25|-3|1|1|YRR|
4274 NPin|pin@24||0.75|-3|1|1|YRR|
4275 NPin|pin@25||0.75|-5|1|1|YRR|
4276 NPin|pin@26||0|-5|1|1|YRR|
4277 NPin|pin@27||0|-6|||RR|
4278 NPin|pin@28||1.25|-5|1|1|YRR|
4279 NPin|pin@29||1.25|-3|1|1|YRR|
4280 NPin|pin@30||-1.25|1|1|1||
4281 NPin|pin@31||-1.25|-1|1|1||
4282 NPin|pin@32||0.5|1.5|1|1||
4283 NPin|pin@33||-0.5|2.5||||
4284 NPin|pin@34||0|2|1|1||
4285 NPin|pin@35||-0.75|-1|1|1||
4286 NPin|pin@36||-0.75|1|1|1||
4287 NPin|pin@37||0|1|1|1||
4288 Nschematic:Bus_Pin|pin@38||0|-6|-2|-2||
4289 Nschematic:Bus_Pin|pin@39||-3|0|-2|-2||
4290 Nschematic:Bus_Pin|pin@40||3|-4|-2|-2||
4291 AThicker|net@0|||FS0|pin@0||-2.25|0|pin@8||-2.5|0|ART_color()I78
4292 AThicker|net@1|||FS0|pin@1||-2.25|-4|pin@7||-2.5|-4|ART_color()I78
4293 AThicker|net@2|||FS1800|pin@2||2.25|-4|pin@5||2.5|-4|ART_color()I78
4294 AThicker|net@3|||FS1800|pin@3||2.25|0|pin@4||2.5|0|ART_color()I78
4295 AThicker|net@4|||FS900|pin@4||2.5|0|pin@5||2.5|-4|ART_color()I78
4296 AThicker|net@5|||FS1800|pin@5||2.5|-4|pin@6||3|-4|ART_color()I78
4297 AThicker|net@6|||FS2700|pin@7||-2.5|-4|pin@8||-2.5|0|ART_color()I78
4298 AThicker|net@7|||FS1800|pin@9||-3|0|pin@8||-2.5|0|ART_color()I78
4299 AThicker|net@8|||FS2560|pin@16||-0.25|-3|pin@20||0.25|-1|ART_color()I78
4300 AThicker|net@9|||FS2840|pin@23||0.25|-3|pin@10||-0.25|-1|ART_color()I78
4301 AThicker|net@10|||FS0|pin@10||-0.25|-1|pin@35||-0.75|-1|ART_color()I78
4302 AThicker|net@11|||FS1800|pin@14||-0.75|-5|pin@13||0|-5|ART_color()I78
4303 AThicker|net@12|||FS0|pin@16||-0.25|-3|pin@15||-0.75|-3|ART_color()I78
4304 AThicker|net@13|||FS900|pin@15||-0.75|-3|pin@14||-0.75|-5|ART_color()I78
4305 AThicker|net@14|||FS900|pin@11||-1.25|-3|pin@12||-1.25|-5|ART_color()I78
4306 AThicker|net@15|||FS0|pin@19||0.75|-1|pin@20||0.25|-1|ART_color()I78
4307 AThicker|net@16|||FS1800|pin@17||0|1|pin@18||0.75|1|ART_color()I78
4308 AThicker|net@17|||FS900|pin@18||0.75|1|pin@19||0.75|-1|ART_color()I78
4309 AThicker|net@18|||FS900|pin@22||1.25|1|pin@21||1.25|-1|ART_color()I78
4310 AThicker|net@19|||FS2700|pin@37||0|1|pin@34||0|2|ART_color()I78
4311 AThicker|net@20|||FS3150|pin@32||0.5|1.5|pin@33||-0.5|2.5|ART_color()I78
4312 AThicker|net@21|||FS0|pin@37||0|1|pin@36||-0.75|1|ART_color()I78
4313 AThicker|net@22|||FS900|pin@30||-1.25|1|pin@31||-1.25|-1|ART_color()I78
4314 AThicker|net@23|||FS900|pin@36||-0.75|1|pin@35||-0.75|-1|ART_color()I78
4315 AThicker|net@24|||FS900|pin@26||0|-5|pin@27||0|-6|ART_color()I78
4316 AThicker|net@25|||FS900|pin@29||1.25|-3|pin@28||1.25|-5|ART_color()I78
4317 AThicker|net@26|||FS900|pin@24||0.75|-3|pin@25||0.75|-5|ART_color()I78
4318 AThicker|net@27|||FS0|pin@25||0.75|-5|pin@26||0|-5|ART_color()I78
4319 AThicker|net@28|||FS1800|pin@23||0.25|-3|pin@24||0.75|-3|ART_color()I78
4320 Ed||D5G1;|pin@38||O
4321 Eg||D5G1;|pin@39||I
4322 Eg2||D5G1;|pin@40||I
4323 X
4324
4325 # Cell pms2_sy;1{sch}
4326 Cpms2_sy;1{sch}||schematic|1021415734000|1159375585690||ATTR_Delay(D5G1;HNPX-20;Y-10.5;)I100|ATTR_LEGATE(D5G1;HNPTX-21;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-20.5;Y-11.5;)I-1|ATTR_X(D5G1;HNOJPX-20.5;Y-9.5;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-20.5;Y-12.5;)I-1|prototype_center()I[0,0]
4327 Ngeneric:Facet-Center|art@0||0|0||||AV
4328 NOff-Page|conn@0||16.5|-11|||Y|
4329 NOff-Page|conn@1||16|-4|||YRR|
4330 NOff-Page|conn@2||-13|0||||
4331 NWire_Pin|pin@0||0|-11||||
4332 Ngeneric:Invisible-Pin|pin@1||-2|14|||||ART_message(D5G6;)S[pms2_sy]
4333 Ngeneric:Invisible-Pin|pin@2||-2.5|9|||||ART_message(D5G2;)S[symmetric P-type two-stack]
4334 IredFive:pms2_sy;1{ic}|pms2_sy@0||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX-5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX4;Y2;)S@X
4335 Ipms2_sy;1{ic}|pms2_sy@1||28|12|||D0G4;|ATTR_Delay(D5G1;NPX-5;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX4;Y2;)SLE.getdrive()|ATTR_su(P)I-1
4336 Awire|net@0|||1800|pms2_sy@0|g2|3|-4|conn@1|y|14|-4
4337 Awire|net@1|||2700|pin@0||0|-11|pms2_sy@0|d|0|-6
4338 Awire|net@2|||0|pms2_sy@0|g|-3|0|conn@2|y|-11|0
4339 Awire|net@3|||1800|pin@0||0|-11|conn@0|a|14.5|-11
4340 Ed||D5G2;|conn@0|y|O|ATTR_le(D5G1;NY-2;)F1.33
4341 Eg||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)F1.33
4342 Eg2||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-2;)F1.33
4343 X
4344
4345 # Cell pms3;1{ic}
4346 Cpms3;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX-3.5;Y-1;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4347 Ngeneric:Facet-Center|art@0||0|0||||AV
4348 NThick-Circle|art@1||-2|-8|1|1|||ART_color()I78
4349 NThick-Circle|art@2||-2|0|1|1|||ART_color()I78
4350 NThick-Circle|art@3||2|-4|1|1|||ART_color()I78
4351 NPin|pin@0||-3|-8|1|1|RR|
4352 NPin|pin@1||-2.5|-8|||RR|
4353 NPin|pin@2||-1.5|-9|1|1|YRR|
4354 NPin|pin@3||-1.5|-7|1|1|YRR|
4355 NPin|pin@4||0|-10|0.5|0.5||
4356 NPin|pin@5||0|-9|0.5|0.5||
4357 NPin|pin@6||-0.75|-9|0.5|0.5||
4358 NPin|pin@7||-0.75|-7|0.5|0.5||
4359 Ngeneric:Invisible-Pin|pin@8||-3|-8||||
4360 Nschematic:Bus_Pin|pin@9||-3|0|-2|-2||
4361 Nschematic:Bus_Pin|pin@10||0|-10|-2|-2||
4362 Nschematic:Bus_Pin|pin@11||3|-4|-2|-2||
4363 NPin|pin@12||0|1|1|1||
4364 NPin|pin@13||-0.75|1|1|1||
4365 NPin|pin@14||-0.75|-1|1|1||
4366 NPin|pin@15||0|-1|1|1|YRR|
4367 NPin|pin@16||0|-2|1|1|YRR|
4368 NPin|pin@17||-3|0|||RR|
4369 NPin|pin@18||-2.5|0|1|1|RR|
4370 NPin|pin@19||0|2|1|1||
4371 NPin|pin@20||-0.5|2.5||||
4372 NPin|pin@21||0.5|1.5|1|1||
4373 NPin|pin@22||-1.5|-1|1|1||
4374 NPin|pin@23||-1.5|1|1|1||
4375 NPin|pin@24||1.5|-3|1|1|YRR|
4376 NPin|pin@25||1.5|-5|1|1|YRR|
4377 NPin|pin@26||0|-2|1|1|YRR|
4378 NPin|pin@27||3|-4|||RR|
4379 NPin|pin@28||2.5|-4|1|1|RR|
4380 NPin|pin@29||0|-7|||RR|
4381 NPin|pin@30||0|-5|1|1|YRR|
4382 NPin|pin@31||0.75|-5|1|1|YRR|
4383 NPin|pin@32||0.75|-3|1|1|YRR|
4384 NPin|pin@33||0|-3|1|1|YRR|
4385 AThicker|net@0|||FS1800|pin@0||-3|-8|pin@1||-2.5|-8|ART_color()I78
4386 AThicker|net@1|||FS900|pin@3||-1.5|-7|pin@2||-1.5|-9|ART_color()I78
4387 AThicker|net@2|||FS2700|pin@4||0|-10|pin@5||0|-9|ART_color()I78
4388 AThicker|net@3|||FS0|pin@5||0|-9|pin@6||-0.75|-9|ART_color()I78
4389 AThicker|net@4|||FS2700|pin@6||-0.75|-9|pin@7||-0.75|-7|ART_color()I78
4390 AThicker|net@5|||FS1800|pin@7||-0.75|-7|pin@29||0|-7|ART_color()I78
4391 AThicker|net@6|||FS900|pin@23||-1.5|1|pin@22||-1.5|-1|ART_color()I78
4392 AThicker|net@7|||FS0|pin@12||0|1|pin@13||-0.75|1|ART_color()I78
4393 AThicker|net@8|||FS2700|pin@12||0|1|pin@19||0|2|ART_color()I78
4394 AThicker|net@9|||FS1800|pin@17||-3|0|pin@18||-2.5|0|ART_color()I78
4395 AThicker|net@10|||FS1800|pin@14||-0.75|-1|pin@15||0|-1|ART_color()I78
4396 AThicker|net@11|||FS900|pin@15||0|-1|pin@16||0|-2|ART_color()I78
4397 AThicker|net@12|||FS900|pin@13||-0.75|1|pin@14||-0.75|-1|ART_color()I78
4398 AThicker|net@13|||FS3150|pin@21||0.5|1.5|pin@20||-0.5|2.5|ART_color()I78
4399 AThicker|net@14|||FS2700|pin@33||0|-3|pin@26||0|-2|ART_color()I78
4400 AThicker|net@15|||FS1800|pin@33||0|-3|pin@32||0.75|-3|ART_color()I78
4401 AThicker|net@16|||FS900|pin@24||1.5|-3|pin@25||1.5|-5|ART_color()I78
4402 AThicker|net@17|||FS1800|pin@28||2.5|-4|pin@27||3|-4|ART_color()I78
4403 AThicker|net@18|||FS0|pin@31||0.75|-5|pin@30||0|-5|ART_color()I78
4404 AThicker|net@19|||FS900|pin@30||0|-5|pin@29||0|-7|ART_color()I78
4405 AThicker|net@20|||FS900|pin@32||0.75|-3|pin@31||0.75|-5|ART_color()I78
4406 Ed||D5G1;|pin@10||O
4407 Eg||D5G1;|pin@9||I
4408 Eg2||D5G1;|pin@11||I
4409 Eg3||D5G1;|pin@8||I
4410 X
4411
4412 # Cell pms3;1{sch}
4413 Cpms3;1{sch}||schematic|1021415734000|1159379854786||ATTR_Delay(D5G1;HNPX-17;Y1;)I100|ATTR_LEGATE(D5G1;HNPTX-17.5;Y-2;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-17;)I-1|ATTR_X(D5G1;HNOJPX-17.25;Y2;)SLE.getdrive()|ATTR_su(D5G1;HNPTX-17.5;Y-1;)I-1|prototype_center()I[0,0]
4414 Ngeneric:Facet-Center|art@0||0|0||||AV
4415 NOff-Page|conn@0||-9.5|7||||
4416 NOff-Page|conn@1||-9|15||||
4417 NOff-Page|conn@2||8|0||||
4418 NOff-Page|conn@3||8.5|11|||YRR|
4419 NWire_Pin|pin@0||0|0||||
4420 Ngeneric:Invisible-Pin|pin@1||-1|31|||||ART_message(D5G6;)S[pms3]
4421 Ngeneric:Invisible-Pin|pin@2||-1|26|||||ART_message(D5G2;)S[three P-type transistors to VDD]
4422 IredFive:pms3;1{ic}|pms3@0||0|15|||D0G4;|ATTR_Delay(D5G1;NOJPX-3;Y-1;)S@Delay|ATTR_X(D5G1.5;NOJPX2.75;Y3;)S@X
4423 Ipms3;1{ic}|pms3@1||27.25|27.88|||D0G4;|ATTR_Delay(D5G1;NPX-3.5;Y-1;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.75;Y3;)SLE.getdrive()|ATTR_su(P)I-1
4424 Awire|net@0|||0|pms3@0|g3|-3|7|conn@0|y|-7.5|7
4425 Awire|net@1|||1800|pms3@0|g2|3|11|conn@3|y|6.5|11
4426 Awire|net@2|||1800|conn@1|y|-7|15|pms3@0|g|-3|15
4427 Awire|net@3|||2700|pin@0||0|0|pms3@0|d|0|5
4428 Awire|net@4|||1800|pin@0||0|0|conn@2|a|6|0
4429 Ed||D5G2;|conn@2|y|O|ATTR_le(D5G1;NY2;)F1.33
4430 Eg||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4431 Eg2||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4432 Eg3||D5G2;|conn@0|a|I|ATTR_le(D5G1;NY-1.5;)F1.33
4433 X
4434
4435 # Cell triInv;1{ic}
4436 CtriInv;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX4;Y-1.5;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[0,0]
4437 Ngeneric:Facet-Center|art@0||0|0||||AV
4438 NThick-Circle|art@1||0|1.25|0.5|0.5|||ART_color()I78
4439 NThick-Circle|art@2||2|0|1|1|||ART_color()I78
4440 NPin|pin@0||0|-1|||R|
4441 NPin|pin@1||0|-2|1|1|YR|
4442 NPin|pin@2||0|2|1|1|R|
4443 NPin|pin@3||0|1.5|||R|
4444 Ngeneric:Invisible-Pin|pin@4||0|2||||
4445 Ngeneric:Invisible-Pin|pin@5||0|-2||||
4446 NPin|pin@6||-1.5|-2|1|1||
4447 NPin|pin@7||-1.5|2|1|1||
4448 Nschematic:Bus_Pin|pin@8||2.5|0|-2|-2||
4449 NPin|pin@9||-2.5|0||||
4450 NPin|pin@10||-1.5|0|1|1||
4451 Nschematic:Bus_Pin|pin@11||-2.5|0|-2|-2||
4452 NPin|pin@12||1.5|0|1|1||
4453 AThicker|net@0|||FS2700|pin@1||0|-2|pin@0||0|-1|ART_color()I78
4454 AThicker|net@1|||FS900|pin@2||0|2|pin@3||0|1.5|ART_color()I78
4455 AThicker|net@2|||FS2700|pin@6||-1.5|-2|pin@7||-1.5|2|ART_color()I78
4456 AThicker|net@3|||FS0|pin@10||-1.5|0|pin@9||-2.5|0|ART_color()I78
4457 AThicker|net@4|||FS337|pin@12||1.5|0|pin@6||-1.5|-2|ART_color()I78
4458 AThicker|net@5|||FS3263|pin@12||1.5|0|pin@7||-1.5|2|ART_color()I78
4459 Een||D5G1;|pin@5||I
4460 EenB||D5G1;|pin@4||I
4461 Ein||D5G1;|pin@11||I
4462 Eout||D5G1;|pin@8||O
4463 X
4464
4465 # Cell triInv;1{sch}
4466 CtriInv;1{sch}||schematic|1021415734000|1159376021331||ATTR_Delay(D5G1;HNPX-12;Y-5.5;)I100|ATTR_LEGATE(D5G1;HNPTX-12;Y-10.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-12;Y-6.5;)I-1|ATTR_X(D5G1;HNOJPX-12.5;Y-4.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-12;Y-7.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-12;Y-8.5;)Sstrong1|ATTR_su(D5G1;HNPTX-12;Y-9.5;)I-1|prototype_center()I[0,0]
4467 Ngeneric:Facet-Center|art@0||0|0||||AV
4468 NOff-Page|conn@0||0.5|8|||RRR|
4469 NOff-Page|conn@1||0.5|-7|||R|
4470 NOff-Page|conn@2||-14|0||||
4471 NOff-Page|conn@3||11|0||||
4472 Ngeneric:Invisible-Pin|pin@0||-1.5|24|||||ART_message(D5G6;)S[invTri]
4473 Ngeneric:Invisible-Pin|pin@1||-2|18.5|||||ART_message(D5G2;)S[one-parameter tri-state inverter]
4474 Ngeneric:Invisible-Pin|pin@2||19|-14|||||ART_message(D5G2;)S[X is drive strength,P and N drive strengths are equal]
4475 Ngeneric:Invisible-Pin|pin@3||-2|15.5|||||ART_message(D5G2;)S[P to N width ratio is 2 to 1]
4476 IredFive:triInv;1{ic}|triInv@0||0.5|0|||D0G4;|ATTR_Delay(D5G1;NOJPX3.5;Y-1.5;)S@Delay|ATTR_X(D5G1.5;NOJPX2.5;Y2;)S@X
4477 ItriInv;1{ic}|triInv@1||28.5|17|||D0G4;|ATTR_Delay(D5G1;NPX4;Y-1.5;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2;Y2;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)S""
4478 Awire|net@0|||2700|triInv@0|enB|0.5|2|conn@0|y|0.5|6
4479 Awire|net@1|||900|triInv@0|en|0.5|-2|conn@1|y|0.5|-5
4480 Awire|net@2|||1800|conn@2|y|-12|0|triInv@0|in|-2|0
4481 Awire|net@3|||1800|triInv@0|out|3|0|conn@3|a|9|0
4482 Een||D5G2;|conn@1|a|I|ATTR_le(D5G1;NX-1;)F0.6667
4483 EenB||D5G2;|conn@0|a|I|ATTR_le(D5G1;NX-2;Y2;)F1.333
4484 Ein||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY-2;)I2
4485 Eout||D5G2;|conn@3|y|O|ATTR_le(D5G1;NY2;)I2
4486 X
4487
4488 # Cell wire350;1{ic}
4489 Cwire350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1;HP)I100|ATTR_LEWIRE(D5G1;HNPY-3.25;)I1|ATTR_layer(D5G1;HNPY-1.25;)I1|ATTR_width(D5G1;HNPY-2.25;)I3|prototype_center()I[2000,0]
4490 Ngeneric:Facet-Center|art@0||0|0||||AV
4491 NThick-Circle|art@1||-1.75|0|1.5|1.5|R||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4492 NThick-Circle|art@2||1.5|0|1.5|1.5|RRR||ART_color()I78|ART_degrees()F[0.0,3.1415927]
4493 Nschematic:Bus_Pin|pin@0||-2.5|0|-2|-2||
4494 Nschematic:Bus_Pin|pin@1||2.25|0|-2|-2||
4495 NPin|pin@2||-1.75|0.75|1|1||
4496 NPin|pin@3||1.5|0.75|1|1||
4497 NPin|pin@4||1.5|-0.75|1|1||
4498 NPin|pin@5||-1.75|-0.75|1|1||
4499 AThicker|net@0|||FS0|pin@3||1.5|0.75|pin@2||-1.75|0.75|ART_color()I78
4500 AThicker|net@1|||FS0|pin@4||1.5|-0.75|pin@5||-1.75|-0.75|ART_color()I78
4501 Ea||D5G1;|pin@0||U
4502 Eb||D5G1;|pin@1||U
4503 X
4504
4505 # Cell wire350;1{sch}
4506 Cwire350;1{sch}||schematic|1012169378000|1056433201000||ATTR_L(D5G1;HNPX-17;Y-11.5;)I100|ATTR_LEWIRE(D5G1;HNPX-17;Y-13.5;)I1|ATTR_layer(D5G1;HNPX-17;Y-12.5;)I1|ATTR_width(D5G1;HNPX-17;Y-13.5;)I3|prototype_center()I[0,0]
4507 Ngeneric:Facet-Center|art@0||0|0||||AV
4508 NOff-Page|conn@0||-22|3||||
4509 NOff-Page|conn@1||22|3|||RR|
4510 Ngeneric:Invisible-Pin|pin@0||-4|22|||||ART_message(D5G6;)S[wire350]
4511 Ngeneric:Invisible-Pin|pin@1||-16|14.5|||||ART_message(D6G2;)S["wire in layer 'layer',","L lambda long,","'width' lambda wide,",for the 350nm tech]
4512 Ngeneric:Invisible-Pin|pin@2||-13|7|||||ART_message(D5G1;)S[1/4 of the length]
4513 Ngeneric:Invisible-Pin|pin@3||-1|7|||||ART_message(D5G1;)S[1/2 of the length]
4514 Ngeneric:Invisible-Pin|pin@4||13|7|||||ART_message(D5G1;)S[1/4 of the length]
4515 NWire_Pin|pin@5||-7|3||||
4516 NWire_Pin|pin@6||7|3||||
4517 Iwire350;1{ic}|wire350@0||26|19|||D0G4;|ATTR_L(D5G1;P)I100|ATTR_LEWIRE(D5G1;NPY-3.25;)I1|ATTR_layer(D5G1;NPY-1.25;)I1|ATTR_width(D5G1;NPY-2.25;)I3
4518 IwireC350;1{ic}|wireC350@0||-7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4519 IwireC350;1{ic}|wireC350@1||7|-6|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S"P(\"L\")/2.0"|ATTR_layer(D5G1;NOJPX3;Y-1.5;)S@layer|ATTR_width(D5G1;NOJPX3;Y-0.5;)S@width
4520 IwireR350;1{ic}|wireR350@0||13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4521 IwireR350;1{ic}|wireR350@1||-0.5|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/2.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4522 IwireR350;1{ic}|wireR350@2||-13|3|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S@L/4.0|ATTR_layer(D5G1;NOJPY-2.5;)S@layer|ATTR_width(D5G1;NOJPY-1.5;)S@width
4523 Awire|net@0|||1800|pin@5||-7|3|wireR350@1|a|-4.5|3
4524 Awire|net@1|||1800|wireR350@1|b|3.5|3|pin@6||7|3
4525 Awire|net@2|||1800|pin@6||7|3|wireR350@0|a|9|3
4526 Awire|net@3|||0|conn@1|y|20|3|wireR350@0|b|17|3
4527 Awire|net@4|||0|pin@5||-7|3|wireR350@2|b|-9|3
4528 Awire|net@5|||1800|conn@0|y|-20|3|wireR350@2|a|-17|3
4529 Awire|net@6|||900|pin@5||-7|3|wireC350@0|a|-7|-4
4530 Awire|net@7|||900|pin@6||7|3|wireC350@1|a|7|-4
4531 Ea||D5G2;|conn@0|a|U
4532 Eb||D5G2;|conn@1|a|U
4533 X
4534
4535 # Cell wireC350;1{ic}
4536 CwireC350;1{ic}||artwork|1014599103000|1204140525662|E|ATTR_L(D6G1.5;HNPX1.5;Y0.5;)I100|ATTR_layer(D5G1;HNPX3;Y-1.5;)I1|ATTR_width(D5G1;HNPX3;Y-0.5;)I3|prototype_center()I[0,0]
4537 Ngeneric:Facet-Center|art@0||0|0||||AV
4538 NPin|pin@0||0|-3|1|1||
4539 NPin|pin@1||-1|-2|1|1|||ART_color()I78
4540 NPin|pin@2||1|-2|1|1|||ART_color()I78
4541 NPin|pin@3||0|-2|1|1||
4542 NPin|pin@4||0|-0.25|1|1|||ART_color()I78
4543 NPin|pin@5||0|2|1|1||
4544 NPin|pin@6||0|0.25|1|1|||ART_color()I78
4545 NPin|pin@7||-1|0.25|1|1|||ART_color()I78
4546 NPin|pin@8||1|0.25|1|1|||ART_color()I78
4547 NPin|pin@9||1|-0.25|1|1|||ART_color()I78
4548 NPin|pin@10||-1|-0.25|1|1|||ART_color()I78
4549 Nschematic:Bus_Pin|pin@11||0|2|-2|-2||
4550 AThicker|net@0|||FS450|pin@2||1|-2|pin@0||0|-3|ART_color()I78
4551 AThicker|net@1|||FS3150|pin@0||0|-3|pin@1||-1|-2|ART_color()I78
4552 AThicker|net@2|||FS0|pin@2||1|-2|pin@1||-1|-2|ART_color()I78
4553 AThicker|net@4|||FS900|pin@5||0|2|pin@6||0|0.25|ART_color()I78
4554 AThicker|net@5|||FS0|pin@8||1|0.25|pin@7||-1|0.25|ART_color()I78
4555 AThicker|net@6|||FS0|pin@9||1|-0.25|pin@10||-1|-0.25|ART_color()I78
4556 Ea||D5G1;|pin@11||I
4557 X
4558
4559 # Cell wireC350;1{sch}
4560 CwireC350;1{sch}||schematic|1014598612000|1025280871000||ATTR_L(D5G1;HNPX-16;Y-4;)I100|ATTR_layer(D5G1;HNPX-16;Y-5;)I1|ATTR_width(D5G1;HNPX-16;Y-6;)I3|prototype_center()I[0,0]
4561 Ngeneric:Facet-Center|art@0||0|0||||AV
4562 NCapacitor|cap@0||0|0|||||SCHEM_capacitance(D5G1;OJUC)S(@layer<4?0.04:0.056) * @L * 1e-15
4563 NOff-Page|conn@0||0|7|||RRR|
4564 NGround|gnd@0||0|-6||||
4565 Ngeneric:Invisible-Pin|pin@0||0|-9|||||ART_message(D5G1;)S["(P(\"M\")<4?0.04:0.056)*P(\"L\")"]
4566 Ngeneric:Invisible-Pin|pin@1||-20|9|||||ART_message(D6G2;)S[the capacitance in fF of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
4567 Ngeneric:Invisible-Pin|pin@2||-2|18|||||ART_message(D5G6;)S[wireC350]
4568 IwireC350;1{ic}|wireC350@0||9|9|||D0G4;|ATTR_L(D6G1.5;NOJPX1.5;Y0.5;)S100|ATTR_layer(D5G1;NPX3;Y-1.5;)I1|ATTR_width(D5G1;NPX3;Y-0.5;)I3
4569 Awire|net@0|||2700|cap@0|a|0|2|conn@0|y|0|5
4570 Awire|net@1|||2700|gnd@0||0|-4|cap@0|b|0|-2
4571 Ea||D5G2;|conn@0|a|I
4572 X
4573
4574 # Cell wireR350;1{ic}
4575 CwireR350;1{ic}||artwork|1012169520000|1204140525662|E|ATTR_L(D5G1.5;HNPY1;)I100|ATTR_layer(D5G1;HNPY-2.5;)I1|ATTR_width(D5G1;HNPY-1.5;)I3|prototype_center()I[0,0]
4576 Ngeneric:Facet-Center|art@0||0|0||||AV
4577 NPin|pin@0||-4|0|1|1||
4578 NPin|pin@1||4|0|1|1||
4579 NPin|pin@2||2.5|0|1|1||
4580 NPin|pin@3||2|-1|1|1||
4581 NPin|pin@4||1|1|1|1||
4582 NPin|pin@5||0|-1|1|1||
4583 NPin|pin@6||-1|1|1|1||
4584 NPin|pin@7||-2|-1|1|1||
4585 NPin|pin@8||-2.5|0|1|1||
4586 Nschematic:Bus_Pin|pin@9||-4|0|-2|-2||
4587 Nschematic:Bus_Pin|pin@10||4|0|-2|-2||
4588 AThicker|net@0|||FS1800|pin@0||-4|0|pin@8||-2.5|0|ART_color()I78
4589 AThicker|net@1|||FS0|pin@1||4|0|pin@2||2.5|0|ART_color()I78
4590 AThicker|net@2|||FS634|pin@2||2.5|0|pin@3||2|-1|ART_color()I78
4591 AThicker|net@3|||FS2966|pin@3||2|-1|pin@4||1|1|ART_color()I78
4592 AThicker|net@4|||FS634|pin@4||1|1|pin@5||0|-1|ART_color()I78
4593 AThicker|net@5|||FS2966|pin@5||0|-1|pin@6||-1|1|ART_color()I78
4594 AThicker|net@6|||FS634|pin@6||-1|1|pin@7||-2|-1|ART_color()I78
4595 AThicker|net@7|||FS2966|pin@7||-2|-1|pin@8||-2.5|0|ART_color()I78
4596 Ea||D5G1;|pin@9||U
4597 Eb||D5G1;|pin@10||U
4598 X
4599
4600 # Cell wireR350;1{sch}
4601 CwireR350;1{sch}||schematic|1012169378000|1025280871000||ATTR_L(D5G1;HNPX-5.5;Y-5;)I100|ATTR_layer(D5G1;HNPX-5.5;Y-6;)I1|ATTR_width(D5G1;HNPX-5.5;Y-7;)I3|prototype_center()I[0,0]
4602 Ngeneric:Facet-Center|art@0||0|0||||AV
4603 NOff-Page|conn@0||-14|0||||
4604 NOff-Page|conn@1||14|0|||RR|
4605 Ngeneric:Invisible-Pin|pin@0||-16|12|||||ART_message(D6G2;)S[the resistance in ohms of,a layer 'layer' wire,L lambda long and,'width' lambda wide]
4606 Ngeneric:Invisible-Pin|pin@1||0|5|||||ART_message(D5G1;)S["(P(\"M\")==0?6.5:P(\"M\")<4?0.084:0.0504)*P(\"L\")/P(\"W\")"]
4607 Ngeneric:Invisible-Pin|pin@2||-4|20.5|||||ART_message(D5G6;)S[wireR350]
4608 NResistor|res@0||0|0|||||SCHEM_resistance(D5G1;OJURY1.5;)S(@layer==0?6.5:@layer<4?0.084:0.0504)*@L/@width
4609 IwireR350;1{ic}|wireR350@0||11|10|||D0G4;|ATTR_L(D5G1.5;NOJPY1;)S100|ATTR_layer(D5G1;NPY-2.5;)I1|ATTR_width(D5G1;NPY-1.5;)I3
4610 Awire|net@0|||0|conn@1|y|12|0|res@0|b|2|0
4611 Awire|net@1|||0|res@0|a|-2|0|conn@0|y|-12|0
4612 Ea||D5G2;|conn@0|a|U
4613 Eb||D5G2;|conn@1|a|U
4614 X
4615
4616 # Cell xor2;1{ic}
4617 Cxor2;1{ic}||artwork|1021415734000|1204140525662|E|ATTR_Delay(D5G1;HNPX2.5;Y-2;)I100|ATTR_LEGATE(D5G1;HPT)I1|ATTR_LEPARALLGRP(D5G1;HPT)I-1|ATTR_X(D5G1.5;HNOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(D5G1;HPT)Sstrong0|ATTR_drive1(D5G1;HPT)Sstrong1|ATTR_su(D5G1;HPT)I-1|prototype_center()I[6000,0]
4618 Ngeneric:Facet-Center|art@0||0|0||||AV
4619 NThick-Circle|art@1||-3.75|0|6|6|3200||ART_color()I78|ART_degrees()I800
4620 NThick-Circle|art@2||-1.5|2|8|7|RRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4621 NThick-Circle|art@3||-1.5|-2|8|7|YRRR||ART_color()I78|ART_degrees()F[0.0,1.0471976]
4622 NThick-Circle|art@4||-4.5|0|6|6|3200||ART_color()I78|ART_degrees()I800
4623 NThick-Circle|art@5||-2|0.5|1|1|||ART_color()I78
4624 NThick-Circle|art@6||-2.5|-1.5|1|1|||ART_color()I78
4625 NPin|pin@0||-0.5|-1.75|1|1||
4626 NPin|pin@1||-1|-1.25|1|1||
4627 NPin|pin@2||-2|1.5|1|1||
4628 NPin|pin@3||-3.5|1.5||||
4629 Nschematic:Bus_Pin|pin@4||1.5|0|-2|-2||
4630 Nschematic:Bus_Pin|pin@5||-3.5|1.5|-2|-2||
4631 NPin|pin@6||-3.5|-0.5||||
4632 NPin|pin@7||-1.5|-0.5|1|1||
4633 Nschematic:Bus_Pin|pin@8||-3.5|-0.5|-2|-2||
4634 Ngeneric:Invisible-Pin|pin@9||-3.5|-1.5||||
4635 Ngeneric:Invisible-Pin|pin@10||-3.5|0.5||||
4636 NPin|pin@11||-3.5|0.5||||
4637 NPin|pin@12||-2.5|0.5|1|1||
4638 NPin|pin@13||-3|-1.5|1|1||
4639 NPin|pin@14||-3.5|-1.5||||
4640 AThicker|net@0|||FS2700|pin@1||-1|-1.25|pin@1||-1|-1.25|ART_color()I78
4641 AThicker|net@1|||FS3150|pin@0||-0.5|-1.75|pin@1||-1|-1.25|ART_color()I78
4642 AThicker|net@2|||FS0|pin@2||-2|1.5|pin@3||-3.5|1.5|ART_color()I78
4643 AThicker|net@3|||FS0|pin@7||-1.5|-0.5|pin@6||-3.5|-0.5|ART_color()I78
4644 AThicker|net@4|||FS0|pin@12||-2.5|0.5|pin@11||-3.5|0.5|ART_color()I78
4645 AThicker|net@5|||FS0|pin@13||-3|-1.5|pin@14||-3.5|-1.5|ART_color()I78
4646 Eina||D5G1;|pin@8||I
4647 EinaB||D5G1;|pin@9||I
4648 Einb||D5G1;|pin@5||I
4649 EinbB||D5G1;|pin@10||I
4650 Eout||D5G1;|pin@4||O
4651 X
4652
4653 # Cell xor2;1{sch}
4654 Cxor2;1{sch}||schematic|1021415734000|1159376016515||ATTR_Delay(D5G1;HNPX-18;Y-8.5;)I100|ATTR_LEGATE(D5G1;HNPTX-18;Y-13.5;)I1|ATTR_LEPARALLGRP(D5G1;HNPTX-18;Y-9.5;)I-1|ATTR_X(D5G1;HNOJPX-18;Y-7.5;)SLE.getdrive()|ATTR_drive0(D5G1;HNPTX-18;Y-11.5;)Sstrong0|ATTR_drive1(D5G1;HNPTX-18;Y-12.5;)Sstrong1|ATTR_su(D5G1;HNPTX-18;Y-10.5;)I-1|prototype_center()I[0,0]
4655 Ngeneric:Facet-Center|art@0||0|0||||AV
4656 NOff-Page|conn@0||14|0|||Y|
4657 NOff-Page|conn@1||-34|1.5||||
4658 NOff-Page|conn@2||-18|-0.5|||Y|
4659 NOff-Page|conn@3||-10.5|-1.5|||Y|
4660 NOff-Page|conn@4||-25.5|0.5||||
4661 Ngeneric:Invisible-Pin|pin@0||-2|15|||||ART_message(D5G6;)S[xor2]
4662 Ngeneric:Invisible-Pin|pin@1||-2|10|||||ART_message(D5G2;)S[one-parameter XOR]
4663 Ixor2;1{ic}|xor2@0||20.48|14.5|||D0G4;|ATTR_Delay(D5G1;NPX2.5;Y-2;)I100|ATTR_LEGATE(P)I1|ATTR_LEPARALLGRP(P)I-1|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)SLE.getdrive()|ATTR_drive0(P)Sstrong0|ATTR_drive1(P)Sstrong1|ATTR_su(P)I-1
4664 IredFive:xor2;1{ic}|xor2@1||0|0|||D0G4;|ATTR_Delay(D5G1;NOJPX2.5;Y-2;)S@Delay|ATTR_X(D5G1.5;NOJPX2.25;Y2.25;)S@X|ATTR_drive0(OJP)S@drive0|ATTR_drive1(OJP)S@drive1
4665 Awire|net@0|||0|conn@0|a|12|0|xor2@1|out|1.5|0
4666 Awire|net@1|||1800|conn@2|y|-16|-0.5|xor2@1|ina|-3.5|-0.5
4667 Awire|net@2|||0|xor2@1|inb|-3.5|1.5|conn@1|y|-32|1.5
4668 Awire|net@3|||0|xor2@1|inaB|-3.5|-1.5|conn@3|y|-8.5|-1.5
4669 Awire|net@4|||0|xor2@1|inbB|-3.5|0.5|conn@4|y|-23.5|0.5
4670 Eina||D5G2;|conn@2|a|I|ATTR_le(D5G1;NY2.5;)I2
4671 EinaB||D5G2;|conn@3|a|I|ATTR_le(D5G1;NY2.5;)I2
4672 Einb||D5G2;|conn@1|a|I|ATTR_le(D5G1;NY2;)I2
4673 EinbB||D5G2;|conn@4|a|I|ATTR_le(D5G1;NY2;)I2
4674 Eout||D5G2;|conn@0|y|O|ATTR_le(D5G1;NX0.5;Y-2;)I4
4675 X